Sample records for n2 plasma annealing

  1. Effect of N2 Plasma Annealing on Properties of Fluorine Doped Silicon Dioxide Films with Low Dielectric Constant for Ultra-Large-Scale Integrated Circuits

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Wang, Peng-Fei; Ding, Shi-Jin; Wang, Ji-Tao; William, Wei Lee

    2002-06-01

    The influence of N2 plasma annealing on the properties of fluorine doped silicon oxide (SiOF) films is investigated. The stability of the dielectric constant of SiOF film is remarkably improved by the N2 plasma annealing. After enduring a moisture absorption test for six hours in a chamber with 60% humidity at 50°C, the dielectric constant variation of the annealed SiOF films is only 1.5%, while the variation for those SiOF films without annealing is 15.5%. Fourier transform infrared spectroscopic results show that the absorption peaks of Si-OH and H-OH of SiOF films are reduced after the N2 plasma annealing because the annealing can wipe off some unstable Si-F2 bonds in SiOF films. These unstable Si-F2 bonds are suitable to react with water, resulting in the degradation of SiOF film properties. Therefore, the N2 plasma annealing meliorates the properties of SiOF films with low dielectric constant.

  2. Effect of Cl2 plasma treatment and annealing on vanadium based metal contacts to Si-doped Al0.75Ga0.25N

    NASA Astrophysics Data System (ADS)

    Lapeyrade, Mickael; Alamé, Sabine; Glaab, Johannes; Mogilatenko, Anna; Unger, Ralph-Stephan; Kuhn, Christian; Wernicke, Tim; Vogt, Patrick; Knauer, Arne; Zeimer, Ute; Einfeldt, Sven; Weyers, Markus; Kneissl, Michael

    2017-09-01

    In order to understand the electrical properties of V/Al/Ni/Au metal contacts to Si-doped Al0.75Ga0.25N layers, X-ray photoelectron spectroscopy analysis was performed on differently treated AlGaN:Si surfaces before metal deposition, and transmission electron microscopy was used to study the semiconductor-metal interface after contact annealing at 900 °C. Cl2 plasma etching of AlGaN increases the aluminum/nitrogen ratio at the surface, and Al oxide or oxynitride is always formed by any surface treatment applied after etching. After contact annealing, a complex interface structure including amorphous AlOx and different metal phases such as Al-Au-Ni, V-Al, and V2N were found. The electrical properties of the contacts were determined by thermionic emission and/or thermionic field emission in the low voltage regime. Nearly ohmic contacts on AlGaN surfaces exposed to a Cl2 plasma were only obtained by annealing the sample at a temperature of 815 °C under N2/NH3 prior to metallization. By this treatment, the oxygen contamination on the surface could be minimized, resulting in a larger semiconductor area to be in direct contact with metal phases such as Al-rich Al-Au-Ni or V-Al and leading to a contact resistivity of 2.5 × 10-2 Ω cm2. This treatment can be used to significantly reduce the operating voltage of current deep ultraviolet light emitting diodes which will increase their wall plug efficiency and lower the thermal stress during their operation.

  3. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  4. Annealing of gallium nitride under high-N 2 pressure

    NASA Astrophysics Data System (ADS)

    Porowski, S.; Jun, J.; Krukowski, S.; Grzegory, I.; Leszczynski, M.; Suski, T.; Teisseyre, H.; Foxon, C. T.; Korakakis, D.

    1999-04-01

    GaN is the key material for blue and ultraviolet optoelectronics. It is a strongly bonded wurztite structure semiconductor with the direct energy gap 3.5 eV. Due to strong bonding, the diffusion processes require high temperatures, above 1300 K. However at this temperature range at ambient pressure, GaN becomes unstable and dissociates into Ga and N 2. Therefore high pressure of N 2 is required to study the diffusion and other annealing related processes. We studied annealing of bulk GaN nitride single crystals grown under high pressure and also annealing of homo- and heteroepitaxial GaN layers grown by MOCVD technique. Annealing at temperatures above 1300 K influences strongly the structural and optical properties of GaN crystals and layers. At this temperature diffusion of the Mg and Zn acceptors have been observed. In spite of very interesting experimental observations the understanding of microscopic mechanisms of these processes is limited.

  5. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    PubMed

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  6. Surface stoichiometry modification and improved DC/RF characteristics by plasma treated and annealed AlGaN/GaN HEMTs

    NASA Astrophysics Data System (ADS)

    Upadhyay, Bhanu B.; Takhar, Kuldeep; Jha, Jaya; Ganguly, Swaroop; Saha, Dipankar

    2018-03-01

    We demonstrate that N2 and O2 plasma treatment followed by rapid thermal annealing leads to surface stoichiometry modification in a AlGaN/GaN high electron mobility transistor. Both the source/drain access and gate regions respond positively improving the transistor characteristics albeit to different extents. Characterizations indicate that the surface show the characteristics of that of a higher band-gap material like AlxOy and GaxOy along with N-vacancy in the sub-surface region. The N-vacancy leads to an increased two-dimensional electron gas density. The formation of oxides lead to a reduced gate leakage current and surface passivation. The DC characteristics show increased transconductance, saturation drain current, ON/OFF current ratio, sub-threshold swing and lower ON resistance by a factor of 2.9, 2.0, 103.3 , 2.3, and 2.1, respectively. The RF characteristics show an increase in unity current gain frequency by a factor of 1.7 for a 500 nm channel length device.

  7. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR <- 3.5 V), both of the two samples show good agreement with the surface leakage mechanism. The leakage current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  8. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  9. Ti{sub 2}AlN thin films synthesized by annealing of (Ti+Al)/AlN multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cabioch, Thierry, E-mail: Thierry.cabioch@univ-poitiers.fr; Alkazaz, Malaz; Beaufort, Marie-France

    2016-08-15

    Highlights: • Epitaxial thin films of the MAX phase Ti{sub 2}AlN are obtained by thermal annealing. • A new metastable (Ti,Al,N) solid solution with the structure of α-T is evidenced. • The formation of the MAX phase occurs at low temperature (600 °C). - Abstract: Single-phase Ti{sub 2}AlN thin films were obtained by annealing in vacuum of (Ti + Al)/AlN multilayers deposited at room temperature by magnetron sputtering onto single-crystalline (0001) 4H-SiC and (0001) Al{sub 2}O{sub 3} substrates. In-situ X-ray diffraction experiments combined with ex-situ cross-sectional transmission electron microscopy observations reveal that interdiffusion processes occur in the multilayer at amore » temperature of ∼400 °C leading to the formation of a (Ti, Al, N) solid solution, having the hexagonal structure of α-Ti, whereas the formation of Ti{sub 2}AlN occurs at 550–600 °C. Highly oriented (0002) Ti{sub 2}AlN thin films can be obtained after an annealing at 750 °C.« less

  10. Influence of N2 annealing on TiO2 tubes structure and its photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Chen, Xiaoxiang; Pan, Zhanchang; Yu, Ke; Xiao, Jun; Wu, Shoukun; Li, Jinghong; Chen, Chun; Lin, Yingsheng; Hu, Guanghui; Xu, Yanbin

    2018-02-01

    In this work, the TiO2 tubes (TBs) were prepared by solvothermal method. The morphology and phase structure of TiO2 TBs is significantly affected by N2 annealing temperature. XRD was used to characterize the phase structure of the as-prepared samples. The morphology and surface areas were characterized by SEM and N2 adsorption-desorption, which show that the tubes were assembled with about 100-nm nanosheets and small ball particles under 400 and 600 °C N2 annealing; when temperature reached 800 °C, the surface of tubes appeared a lot of collapse and many large holes. In addition, the surface areas of 400 °C TiO2, 600 °C TiO2, and 800 °C TiO2 TBs were significantly affected by N2 annealing. Most importantly, the UV-vis and electrochemical tests demonstrate 600 °C TiO2 TBs exhibit higher absorption intensity and photocurrent; thus, it possess on better photocatalytic activity. Therefore, the photocatalytic performance for TiO2 TBs is significantly co-affected by surface area and mix-phase. [Figure not available: see fulltext.

  11. Effect of N2 annealing on AlZrO oxide

    NASA Astrophysics Data System (ADS)

    Pétry, J.; Richard, O.; Vandervorst, W.; Conard, T.; Chen, J.; Cosnier, V.

    2003-07-01

    In the path to the introduction of high-k dielectric into integrated circuit components, a large number of challenges has to be solved. Subsequent to the film deposition, the high-k film is exposed to additional high-temperature anneals for polycrystalline Si activation but also to improve its own electrical properties. Hence, concerns can be raised regarding the thermal stability of these stacks upon annealing. In this study, we investigated the effect of N2 annealing (700 to 900 °C) of atomic layer chemical vapor deposition AlZrO layers using x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (TOFSIMS), transmission electron microscopy (TEM), and Fourier transform infrared (FTIR) spectroscopy. The effect of the Si surface preparation [H-Si, 0.5 nm rapid thermal oxide (RTO), Al2O3] on the modification of the high-k oxide and the interfacial layer upon annealing was also analyzed. Compositional changes can be observed for all temperature and surface preparations. In particular, we observe a segregation of Al(oxide) toward the surface of the mixed oxide. In addition, an increase of the Si concentration in the high-k film itself can be seen with a diffusion profile extending toward the surface of the film. On the other hand, the modification of the interfacial layer is strongly dependent on the system considered. In the case of mixed oxide grown on 0.5 nm RTO, no differences are observed between the as-deposited layer and the layer annealed at 700 °C. At 800 °C, a radical change occurs: The initial RTO layer seems to be converted into a mixed layer composed of the initial SiO2 and Al2O3 coming from the mixed oxide, however without forming an Al-silicate layer. A similar situation is found for anneals at 900 °C, as well. When grown on 1.5 nm Al2O3 on 0.5 nm RTO, the only difference with the previous system is the observation of an Al-silicate fraction in the interfacial layer for the as-deposited and 700 °C annealed samples

  12. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolat, Sami, E-mail: bolat@ee.bilkent.edu.tr; Tekcan, Burak; Ozgit-Akgun, Cagla

    2015-01-15

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N{sub 2}/H{sub 2} PA-ALD based GaN channels aremore » observed to have improved stability and transfer characteristics with respect to NH{sub 3} PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N{sub 2}:H{sub 2} ambient.« less

  13. Influence of p-GaN annealing on the optical and electrical properties of InGaN/GaN MQW LEDs

    NASA Astrophysics Data System (ADS)

    Sun, Li; Weng, Guo-En; Liang, Ming-Ming; Ying, Lei-Ying; Lv, Xue-Qin; Zhang, Jiang-Yong; Zhang, Bao-Ping

    2014-06-01

    Optical and electrical properties of InGaN/GaN multiple quantum wells (MQWs) light emitting diodes (LEDs) annealed in pure O2 ambient (500 °C) and pure N2 ambient (800 °C) were systematically investigated. The temperature-dependent photoluminescence measurements showed that high-temperature thermal annealing in N2 ambient can induce indium clusters in InGaN MQWs. Although the deep traps induced by indium clusters can act as localized centers for carriers, there are many more dislocations out of the trap centers due to high-temperature annealing. As a result, the radiative efficiency of the sample annealed in N2 ambient was lower than that annealed in O2 ambient at room temperature. Electrical measurements demonstrated that the LEDs annealed in O2 ambient were featured by a lower forward voltage and there was an increase of ~41% in wall-plug efficiency at 20 mA in comparison with the LEDs annealed in N2 ambient. It is thus concluded that activation of the Mg-doped p-GaN layer should be carried out at a low-temperature O2 ambient so as to obtain LEDs with better performance.

  14. Effects of annealing on the optical, structural, and chemical properties of TiO2 and MgF2 thin films prepared by plasma ion-assisted deposition.

    PubMed

    Woo, Seouk-Hoon; Hwangbo, Chang Kwon

    2006-03-01

    Effects of thermal annealing at 400 degrees C on the optical, structural, and chemical properties of TiO2 single-layer, MgF2 single-layer, and TiO2/MgF2 narrow-bandpass filters deposited by conventional electron-beam evaporation (CE) and plasma ion-assisted deposition (PIAD) were investigated. In the case of TiO2 films, the results show that the annealing of both CE and PIAD TiO2 films increases the refractive index slightly and the extinction coefficient and surface roughness greatly. Annealing decreases the thickness of CE TiO2 films drastically, whereas it does not vary that of PIAD TiO2 films. For PIAD MgF2 films, annealing increases the refractive index and decreases the extinction coefficient drastically. An x-ray photoelectron spectroscopy analysis suggests that an increase in the refractive index and a decrease in the extinction coefficient for PIAD MgF2 films after annealing may be related to the enhanced concentration of MgO in the annealed PIAD MgF2 films and the changes in the chemical bonding states of Mg 2p, F 1s, and O is. It is found that (TiO2/MgF2) multilayer filters, consisting of PIAD TiO2 and CE MgF2 films, are as deposited without microcracks and are also thermally stable after annealing.

  15. Plasma-assisted ohmic contact for AlGaN/GaN heterostructure field-effect transistors

    NASA Astrophysics Data System (ADS)

    Zhang, Jiaqi; Wang, Lei; Wang, Qingpeng; Jiang, Ying; Li, Liuan; Zhu, Huichao; Ao, Jin-Ping

    2016-03-01

    An Al-based ohmic process assisted by an inductively coupled plasma (ICP) recess treatment is proposed for AlGaN/GaN heterostructure field-effect transistors (HFETs) to realize ohmic contact, which is only needed to anneal at 500 °C. The recess treatment was done with SiCl4 plasma with 100 W ICP power for 20 s and annealing at 575 °C for 1 min. Under these conditions, contact resistance of 0.52 Ωmm was confirmed. To suppress the ball-up phenomenon and improve the surface morphology, an Al/TiN structure was also fabricated with the same conditions. The contact resistance was further improved to 0.30 Ωmm. By using this plasma-assisted ohmic process, a gate-first HFET was fabricated. The device showed high drain current density and high transconductance. The leakage current of the TiN-gate device decreased to 10-9 A, which was 5 orders of magnitude lower than that of the device annealed at 800 °C. The results showed that the low-temperature ohmic contact process assisted by ICP treatment is promising for the fabrication of gate-first and self-aligned gate HFETs.

  16. Effect of annealing temperature on the structural, morphological, and mechanical properties of polycrystalline zirconium oxynitride composite films deposited by plasma focus device

    NASA Astrophysics Data System (ADS)

    Khan, Ijaz A.; Kashif, Muhammad; Farid, Amjad; Rawat, Rajdeep S.; Ahmad, Riaz

    2017-12-01

    In this article, we reveal the post deposition annealing effect on the structural, morphological, and mechanical properties of polycrystalline zirconium oxynitride (P-ZrON) composite films deposited for 40 focus shots using a plasma focus device. The development of Zr(101), ZrN(111), ZrN(200), Zr3N4(320), ZrN0.28(002), and m-ZrO2(200) diffraction peaks confirms the deposition of P-ZrON composite films. The peak intensity, crystallite size, dislocation density, compressive stress, and texture coefficient of the Zr3N4(320) plane and the microstructural features such as the shape, size and distribution of nanoparticles as well as the film compactness are influenced by the annealing temperature. Elemental analysis confirms the presence of Zr, N, and O in the deposited films. The microhardness of the P-ZrON composite film annealed at 500 °C is found to be 11.87 GPa which is 7.8 times that of virgin zirconium.

  17. Nanocrystalline Cs{sub x}WO{sub 3} particles: Effects of N{sub 2} annealing on microstructure and near-infrared shielding characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Jing-Xiao, E-mail: drliu-shi@dlpu.edu.cn; Institute of Multidisciplinary Research for Advanced Material, Tohoku University, Sendai, 980-8577,Japan; Shi, Fei

    2013-10-15

    In order to further improve the near-infrared shielding properties of cesium tungsten bronze (Cs{sub x}WO{sub 3}) for solar filter applications, Cs{sub x}WO{sub 3} particles were prepared by solvothermal reaction method and the effects of nitrogen annealing on the microstructure and near-infrared shielding properties of Cs{sub x}WO{sub 3} were investigated. The obtained Cs{sub x}WO{sub 3} samples were characterized by X-ray diffraction, scanning electron microscopy, transmission electron microscopy, X-ray photoelectron spectroscopy and spectrophotometer. The results indicate that nanosheet-like Cs{sub x}WO{sub 3} particles with hexagonal structure began to transform into nanorods after annealed at temperature higher than 600 °C. The near-infrared shielding propertiesmore » of Cs{sub x}WO{sub 3} particles could be further improved by N{sub 2} annealing at 500–700 °C. Particularly, the 500 °C-annealed Cs{sub x}WO{sub 3} samples in the N{sub 2} atmosphere showed best near-infrared shielding properties. It was suggested that the excellent near-infrared shielding ability of the 500 °C-annealed Cs{sub x}WO{sub 3} samples is correlated with its minimum O/W atomic ratio and most oxygen vacancies. Highlights: • N{sub 2} annealing could further improve the near-infrared (NIR) shielding of Cs{sub x}WO{sub 3}. • Effects of N{sub 2} annealing on microstructure and NIR shielding of Cs{sub x}WO{sub 3} were studied. • The 500 °C-N{sub 2}-annealed Cs{sub x}WO{sub 3} exhibited minimum O/W ratio and most oxygen vacancies. • The 500 °C-N{sub 2}-annealed Cs{sub x}WO{sub 3} particles exhibited best NIR shielding properties.« less

  18. Interface properties of an O2 annealed Au/Ni/n-Al0.18Ga0.82N Schottky contact

    NASA Astrophysics Data System (ADS)

    Legodi, M. J.; Meyer, W. E.; Auret, F. D.

    2012-05-01

    We oxidized a Ni/Au metal bi-layer contact fabricated on HVPE Al0.18Ga0.82N from 373 K to 573 K in 100 K steps. In the range 1 kHz to 2 MHz, the Capacitance-Voltage-Frequency (C-V-f) measurements reveal a frequency dispersion of the capacitance and the presence of an anomalous peak at 0.4 V owing to the presence of interface states in the as deposited contact system. The dispersion was progressively removed by O2 anneals from temperatures as low as 373 K. These changes are accompanied by an improvement in the overall quality of the Schottky system: the ideality factor, n, improves from 2.09 to 1.26; the Schottky barrier height (SBH), determined by the Norde [1] method, increases from 0.72 eV to 1.54 eV. From the Nicollian and Goetzberger model [2], we calculated the energy distribution of the density of interface states, NSS. Around 1 eV above the Al0.18Ga0.82N valence band, NSS, decreases from 2.3×1012 eV-1 cm-2 for the un-annealed diodes to 1.3×1012 eV-1 cm-2 after the 573 K anneal. Our results suggest the formation of an insulating NiO leading to a MIS structure for the oxidized Au/Ni/Al0.18Ga0.82N contact.

  19. Consequences of plasma oxidation and vacuum annealing on the chemical properties and electron accumulation of In2O3 surfaces

    NASA Astrophysics Data System (ADS)

    Berthold, Theresa; Rombach, Julius; Stauden, Thomas; Polyakov, Vladimir; Cimalla, Volker; Krischok, Stefan; Bierwagen, Oliver; Himmerlich, Marcel

    2016-12-01

    The influence of oxygen plasma treatments on the surface chemistry and electronic properties of unintentionally doped and Mg-doped In2O3(111) films grown by plasma-assisted molecular beam epitaxy or metal-organic chemical vapor deposition is studied by photoelectron spectroscopy. We evaluate the impact of semiconductor processing technology relevant treatments by an inductively coupled oxygen plasma on the electronic surface properties. In order to determine the underlying reaction processes and chemical changes during film surface-oxygen plasma interaction and to identify reasons for the induced electron depletion, in situ characterization was performed implementing a dielectric barrier discharge oxygen plasma as well as vacuum annealing. The strong depletion of the initial surface electron accumulation layer is identified to be caused by adsorption of reactive oxygen species, which induce an electron transfer from the semiconductor to localized adsorbate states. The chemical modification is found to be restricted to the topmost surface and adsorbate layers. The change in band bending mainly depends on the amount of attached oxygen adatoms and the film bulk electron concentration as confirmed by calculations of the influence of surface state density on the electron concentration and band edge profile using coupled Schrödinger-Poisson calculations. During plasma oxidation, hydrocarbon surface impurities are effectively removed and surface defect states, attributed to oxygen vacancies, vanish. The recurring surface electron accumulation after subsequent vacuum annealing can be consequently explained by surface oxygen vacancies.

  20. Effect of annealing temperature on the electrical properties of Au/Ta2O5/n-GaN metal-insulator-semiconductor (MIS) structure

    NASA Astrophysics Data System (ADS)

    Prasanna Lakshmi, B.; Rajagopal Reddy, V.; Janardhanam, V.; Siva Pratap Reddy, M.; Lee, Jung-Hee

    2013-11-01

    We report on the effect of an annealing temperature on the electrical properties of Au/Ta2O5/n-GaN metal-insulator-semiconductor (MIS) structure by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The measured Schottky barrier height ( Φ bo) and ideality factor n values of the as-deposited Au/Ta2O5/n-GaN MIS structure are 0.93 eV ( I- V) and 1.19. The barrier height (BH) increases to 1.03 eV and ideality factor decreases to 1.13 upon annealing at 500 ∘C for 1 min under nitrogen ambient. When the contact is annealed at 600 ∘C, the barrier height decreases and the ideality factor increases to 0.99 eV and 1.15. The barrier heights obtained from the C- V measurements are higher than those obtained from I- V measurements, and this indicates the existence of spatial inhomogeneity at the interface. Cheung’s functions are also used to calculate the barrier height ( Φ bo), ideality factor ( n), and series resistance ( R s ) of the Au/Ta2O5/n-GaN MIS structure. Investigations reveal that the Schottky emission is the dominant mechanism and the Poole-Frenkel emission occurs only in the high voltage region. The energy distribution of interface states is determined from the forward bias I- V characteristics by taking into account the bias dependence of the effective barrier height. It is observed that the density value of interface states for the annealed samples with interfacial layer is lower than that of the density value of interface states of the as-deposited sample.

  1. Comparison of as-grown and annealed GaN/InGaN : Mg samples

    NASA Astrophysics Data System (ADS)

    Deng, Qingwen; Wang, Xiaoliang; Xiao, Hongling; Wang, Cuimei; Yin, Haibo; Chen, Hong; Lin, Defeng; Jiang, Lijuan; Feng, Chun; Li, Jinmin; Wang, Zhanguo; Hou, Xun

    2011-08-01

    Mg-doped InGaN was grown on unintentionally doped GaN layer, and Mg and defect behaviours in both GaN and InGaN : Mg were investigated through photoluminescence measurement at 7 K. Mg acceptor was found in unintentionally doped GaN after thermal annealing in N2 ambient, and Mg activation energy was estimated to be 200 meV and 110 meV for GaN and InGaN, respectively. Particularly, the ultraviolet band (3.0-3.2 eV) in the GaN layer was infrequently observed in the unannealed sample but quenched in the annealed sample; this band may be associated with oxygen-substituted nitrogen defects. Moreover, the measurement errors of photoluminescence and x-ray diffraction originated from strain were taken into account.

  2. Thermal annealing effect on the Mg-doped AlGaN/GaN superlattice

    NASA Astrophysics Data System (ADS)

    Wang, Baozhu; An, Shengbiao; Wen, Huanming; Wu, Ruihong; Wang, Xiaojun; Wang, Xiaoliang

    2009-11-01

    Mg-doped AlGaN/GaN superlattice has been grown by metalorganic chemical vapor deposition (MOCVD). Rapid thermal annealing (RTA) treament are carryied out on the samples under nitrogen as protect gas. Hall, photoluminescence (PL), high resolution x-ray diffraction (HRXRD) and atomic-force microscopy (AFM) are used to characterize the electrical, optical and structural properties of the as-grown and annealed samples, respectively. After annealing, the Hall results indicate more Mg acceptors are activated, which leads to higher hole concentration and lower p-type resistivity. The PL intensity of Mg related defect band shows a strong decrease after annealing. The annealing of the superlattice degrade the interface quality of the AlGaN/GaN from the HRXRD results. Many nanometer-grains can be observed on the surface of AlGaN/GaN superlattice from the AFM image. This maybe related with the decomposing of GaN or the separating of Mg from the AlGaN/GaN superlattice.

  3. Formation of (Ti,Al)N/Ti{sub 2}AlN multilayers after annealing of TiN/TiAl(N) multilayers deposited by ion beam sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dolique, V.; Jaouen, M.; Cabioc'h, T.

    2008-04-15

    By using ion beam sputtering, TiN/TiAl(N) multilayers of various modulation wavelengths ({lambda}=8, 13, and 32 nm) were deposited onto silicon substrates at room temperature. After annealing at 600 deg. C in vacuum, one obtains for {lambda}=13 nm a (Ti,Al)N/Ti{sub 2}AlN multilayer as it is evidenced from x-ray diffraction, high resolution transmission electron microscopy, and energy filtered electron imaging experiments. X-ray photoelectron spectroscopy (XPS) experiments show that the as-deposited TiAl sublayers contain a noticeable amount of nitrogen atoms which mean concentration varies with the period {lambda}. They also evidenced the diffusion of aluminum into TiN sublayers after annealing. Deduced from thesemore » observations, we propose a model to explain why this solid-state phase transformation depends on the period {lambda} of the multilayer.« less

  4. Defect reduction in MBE-grown AlN by multicycle rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Gunning, Brendan; Feigelson, Boris N.; Anderson, Travis J.; Koehler, Andrew D.; Hobart, Karl D.; Kub, Francis J.; Doolittle, W. Alan

    2016-01-01

    Multicycle rapid thermal annealing (MRTA) is shown to reduce the defect density of molecular beam epitaxially grown AlN films. No damage to the AlN surface occurred after performing the MRTA process at 1520°C. However, the individual grain structure was altered, with the emergence of step edges. This change in grain structure and diffusion of AlN resulted in an improvement in the crystalline structure. The Raman E2 linewidth decreased, confirming an improvement in crystal quality. The optical band edge of the AlN maintained the expected value of 6.2 eV throughout MRTA annealing, and the band edge sharpened after MRTA annealing at increased temperatures, providing further evidence of crystalline improvement. X-ray diffraction shows a substantial improvement in the (002) and (102) rocking curve FWHM for both the 1400 and 1520°C MRTA annealing conditions compared to the as-grown films, indicating that the screw and edge type dislocation densities decreased. Overall, the MRTA post-growth annealing of AlN lowers defect density, and thus will be a key step to improving optoelectronic and power electronic devices. [Figure not available: see fulltext.

  5. In-situ cyclic pulse annealing of InN on AlN/Si during IR-lamp-heated MBE growth

    NASA Astrophysics Data System (ADS)

    Suzuki, Akira; Bungi, Yu; Araki, Tsutomu; Nanishi, Yasushi; Mori, Yasuaki; Yamamoto, Hiroaki; Harima, Hiroshi

    2009-05-01

    To improve crystal quality of InN, an in-situ cyclic rapid pulse annealing during growth was carried out using infrared-lamp-heated molecular beam epitaxy. A cycle of 4 min growth of InN at 400 °C and 3 s pulse annealing at a higher temperature was repeated 15 times on AlN on Si substrate. Annealing temperatures were 550, 590, 620, and 660 °C. The back of Si was directly heated by lamp irradiation through a quartz rod. A total InN film thickness was about 200 nm. With increasing annealing temperature up to 620 °C, crystal grain size by scanning electron microscope showed a tendency to increase, while widths of X-ray diffraction rocking curve of (0 0 0 2) reflection and E 2 (high) mode peak of Raman scattering spectra decreased. A peak of In (1 0 1) appeared in X-ray diffraction by annealing higher than 590 °C, and In droplets were found on the surface by annealing at 660 °C.

  6. Effects of Post-Deposition Annealing on ZrO2/n-GaN MOS Capacitors with H2O and O3 as the Oxidizers

    NASA Astrophysics Data System (ADS)

    Zheng, Meijuan; Zhang, Guozhen; Wang, Xiao; Wan, Jiaxian; Wu, Hao; Liu, Chang

    2017-04-01

    GaN-based metal-oxide-semiconductor capacitors with ZrO2 as the dielectric layer have been prepared by atomic layer deposition. The accumulation and depletion regions can be clearly distinguished when the voltage was swept from -4 to 4 V. Post-annealing results suggested that the capacitance in accumulation region went up gradually as the annealing temperature increased from 300 to 500 °C. A minimum leakage current density of 3 × 10-9 A/cm2 at 1 V was obtained when O3 was used for the growth of ZrO2. Leakage analysis revealed that Schottky emission and Fowler-Nordheim tunneling were the main leakage mechanisms.

  7. Positive and negative effects of oxygen in thermal annealing of p-type GaN

    NASA Astrophysics Data System (ADS)

    Wu, L. L.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Le, L. C.; Li, L.; Liu, Z. S.; Zhang, S. M.; Zhu, J. J.; Wang, H.; Zhang, B. S.; Yang, H.

    2012-08-01

    The effect of oxygen on ambient gas on activating p-GaN by rapid thermal annealing was investigated. When the ratio of N2 to O2 is 4:1, the sample activated after annealing at 750 °C exhibits the best electrical properties with respect to resistivity. It is confirmed that the concentration of hydrogen which passivates Mg acceptors in GaN decreases more efficiently when oxygen is introduced into N2 ambient gas. Although oxygen-involved annealing at higher temperature may further reduce the concentration of hydrogen, the resistivity of p-GaN may increase due to the negative effect caused by too much incorporation of oxygen-related donors.

  8. Structural changes during annealing of GaInAsN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurtz, Sarah; Webb, J.; Gedvilas, L.

    2001-02-05

    The alloy GaInAsN has great potential as a lower-band-gap material lattice matched to GaAs, but there is little understanding of what causes its poor optoelectronic properties and why these improve with annealing. This study provides information about the structural changes that occur when GaInAsN is annealed. The Fourier transform infrared spectra exhibit two primary features: a triplet at {approx}470 cm-1 (Ga--N stretch) and two or three bands at {approx}3100 cm-1 (N--H stretch). The change in the Ga--N stretch absorption can be explained if the nitrogen environment is converted from NGa{sub 4} to NInGa{sub 3} after annealing. The N--H stretch ismore » also changed after annealing, implying a second, and unrelated, structural change.« less

  9. Effect of sulfur hexafluoride gas and post-annealing treatment for inductively coupled plasma etched barium titanate thin films

    PubMed Central

    2014-01-01

    Aerosol deposition- (AD) derived barium titanate (BTO) micropatterns are etched via SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the sulfur hexafluoride on BTO thin films and the effects of annealing treatment are verified through X-ray photoelectron spectroscopy (XPS) analysis, which confirms the accumulation of reaction products on the etched surface due to the low volatility of the reaction products, such as Ba and Ti fluorides, and these residues could be completely removed by the post-annealing treatment. The exact peak positions and chemicals shifts of Ba 3d, Ti 2p, O 1 s, and F 1 s are deduced by fitting the XPS narrow-scan spectra on as-deposited, etched, and post-annealed BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d 5/2 , Ba 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1 s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.85 eV, respectively. A comparison of the as-deposited film with the post-annealed film after etching revealed that there are no significant differences in the fitted XPS narrow-scan spectra except for the slight chemical shift in the O 1 s peak due to the oxygen vacancy compensation in O2-excessive atmosphere. It is inferred that the electrical properties of the etched BTO film can be restored by post-annealing treatment after the etching process. Moreover, the relative permittivity and loss tangent of the post-annealed BTO thin films are remarkably improved by 232% and 2,695%, respectively. PMID:25249824

  10. Effects of Post-Deposition Annealing on ZrO2/n-GaN MOS Capacitors with H2O and O3 as the Oxidizers.

    PubMed

    Zheng, Meijuan; Zhang, Guozhen; Wang, Xiao; Wan, Jiaxian; Wu, Hao; Liu, Chang

    2017-12-01

    GaN-based metal-oxide-semiconductor capacitors with ZrO 2 as the dielectric layer have been prepared by atomic layer deposition. The accumulation and depletion regions can be clearly distinguished when the voltage was swept from -4 to 4 V. Post-annealing results suggested that the capacitance in accumulation region went up gradually as the annealing temperature increased from 300 to 500 °C. A minimum leakage current density of 3 × 10 -9  A/cm 2 at 1 V was obtained when O 3 was used for the growth of ZrO 2 . Leakage analysis revealed that Schottky emission and Fowler-Nordheim tunneling were the main leakage mechanisms.

  11. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  12. Thermal Plasma Synthesis of Crystalline Gallium Nitride Nanopowder from Gallium Nitrate Hydrate and Melamine

    PubMed Central

    Kim, Tae-Hee; Choi, Sooseok; Park, Dong-Wha

    2016-01-01

    Gallium nitride (GaN) nanopowder used as a blue fluorescent material was synthesized by using a direct current (DC) non-transferred arc plasma. Gallium nitrate hydrate (Ga(NO3)3∙xH2O) was used as a raw material and NH3 gas was used as a nitridation source. Additionally, melamine (C3H6N6) powder was injected into the plasma flame to prevent the oxidation of gallium to gallium oxide (Ga2O3). Argon thermal plasma was applied to synthesize GaN nanopowder. The synthesized GaN nanopowder by thermal plasma has low crystallinity and purity. It was improved to relatively high crystallinity and purity by annealing. The crystallinity is enhanced by the thermal treatment and the purity was increased by the elimination of residual C3H6N6. The combined process of thermal plasma and annealing was appropriate for synthesizing crystalline GaN nanopowder. The annealing process after the plasma synthesis of GaN nanopowder eliminated residual contamination and enhanced the crystallinity of GaN nanopowder. As a result, crystalline GaN nanopowder which has an average particle size of 30 nm was synthesized by the combination of thermal plasma treatment and annealing. PMID:28344295

  13. Electrical, optical, and photoluminescence properties of ZnO films subjected to thermal annealing and treatment in hydrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abdullin, Kh. A.; Gabdullin, M. T.; Gritsenko, L. V.

    The photoluminescence and optical absorption spectra and electrical properties of ZnO films grown by the metal–organic chemical vapor deposition and hydrothermal techniques, subjected to heat treatments and plasma treatment in a hydrogen atmosphere, are studied. It is shown that the adsorption of oxygen at grain boundaries upon annealing in an oxidizing atmosphere determines the electrical properties of the films. Vacuum annealing improves the electrical properties of the samples after degradation induced by annealing in air. Treatment in hydrogen plasma passivates surface states at the grain boundaries. The intrinsic photoluminescence intensity after plasma treatment is higher in the case of increasedmore » amounts of oxygen adsorbed at grain surfaces upon annealing in air. Surface states involving oxygen and hydrogen atoms are responsible for the high-intensity intrinsic photoluminescence band.« less

  14. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  15. Improved contact characteristics of laser-annealed p-GaN coated with Ni films

    NASA Astrophysics Data System (ADS)

    Zheng, Bo-Sheng; Ho, Chong-Long; Cheng, Kai-Yuan; Liao, Chien-Lan; Wu, Meng-Chyi; Hsieh, Kuang-Chien

    2015-08-01

    It is demonstrated that rapid thermal annealing or laser annealing of Mg-doped GaN (about 0.5 μm in thickness) in general helps activate acceptors and increase the average hole concentration by a factor of about 2 from low to mid of 1017/cm3 determined by the Hall measurements. Use of laser annealing of p-GaN coated with Ni and removal afterwards prior to depositing conventional Ni/Au ohmic-contact films, however, greatly improves the contact resistance from 10-2 to 1.6 × 10-4 Ω cm2. Other heat treatment schemes do not improve as much or make it even worse. The most reduction of contact resistance is attributed to the highest surface hole density in an uneven carrier profile achieved by laser annealing with a Ni cap layer.

  16. Electrical effects of plasma damage in p-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cao, X.A.; Pearton, S.J.; Zhang, A.P.

    1999-10-01

    The reverse breakdown voltage of p-GaN Schottky diodes was used to measure the electrical effects of high density Ar or H{sub 2} plasma exposure. The near surface of the p-GaN became more compensated through introduction of shallow donor states whose concentration depended on ion flux, ion energy, and ion mass. At high fluxes or energies, the donor concentration exceeded 10{sup 19}&hthinsp;cm{sup {minus}3} and produced {ital p}-to-{ital n} surface conversion. The damage depth was established as {approximately}400 {Angstrom} based on electrical and wet etch rate measurements. Rapid thermal annealing at 900&hthinsp;{degree}C under a N{sub 2} ambient restored the initial electrical propertiesmore » of the p-GaN. {copyright} {ital 1999 American Institute of Physics.}« less

  17. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    NASA Astrophysics Data System (ADS)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  18. Defect annealing of alpha-particle irradiated n-GaAs

    NASA Astrophysics Data System (ADS)

    Goodman, S. A.; Auret, F. D.; Myburg, G.

    1994-09-01

    The annealing behaviour of irradiation induced defects in n-type GaAs irradiated at 300 K with 5.4 MeV alpha-particles from an americium-241 (Am-241) radio nuclide have been investigated. The annealing kinetics are presented for the alpha-particle induced defects Eα1 Eα5 detected in Organo-Metallic Vapor Phase Epitaxially (OMVPE) grown n-GaAs doped with silicon to 1.2×1016 cm-3, these kinetics are compared to those obtained for similar defects (E1 E5) detected after electron irradiation. While defects Pα1 and Pα2 were detected after removal of the electron defects Eα4 and Eα5, respectively, a new defect labelled Pα0, located 0.152 eV below the conduction band, was introduced by annealing. The thermal behaviour and trap characteristics of these three defects (Pα0 Pα2) are presented. In an attempt to further characterise defects Pα0 and Pα1 a preiliminary study investigating the emission rate field dependence of these defects was conducted, it was observed that defect Pα0 exhibited a fairly strong field dependence while Pα1 exhibited a much weaker dependence.

  19. Effect of using two-step thermal annealing with different ambient gas on Mg activation and crystalline quality in GaN

    NASA Astrophysics Data System (ADS)

    Azimah, E.; Zainal, N.; Shuhaimi, A.; Hassan, Z.

    2015-06-01

    Two-step thermal annealing with different ambient gas was proposed to improve the activation of Mg doping in MOCVD-GaN films; (1) with nitrogen at the first step and followed by oxygen (N2/O2) and (2) with O2 and then by N2 (O2/N2). For comparison, two samples annealed in one-step thermal annealing using air and N2, respectively were also prepared. From Hall-effect measurement, the two-step annealing with the use of O2/N2 treatment was found to give the highest hole concentration at to 5.5 × 1017 cm-3. On the other hand, Raman spectroscopy and XRD measurements revealed that the O2/N2 annealed sample exhibited the smallest compressive strain and FWHM (full width at high maximum) compared to others. Hence, the annealing with O2/N2 is proposed to be the most promising technique that not only to increase the hole concentration effectively but also to improve the crystalline quality of the samples.

  20. Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N2 ambient

    NASA Astrophysics Data System (ADS)

    Ito, Koichi; Ohta, Akio; Kurosawa, Masashi; Araidai, Masaaki; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2018-06-01

    The growth of a two-dimensional crystal of Ge atoms on an atomically flat Ag(111) surface has been demonstrated by the thermal annealing of a heteroepitaxial Ag/Ge structure in N2 ambient at atmospheric pressure. The surface morphology and chemical bonding features of heteroepitaxial Ag(111) grown on wet-cleaned Ge(111) after annealing at different temperatures and for various times have been systematically investigated to control the surface segregation of Ge atoms and the planarization of the heteroepitaxial Ag(111) surface.

  1. Characteristics of n-GaN After Cl2/Ar and Cl2/N2 Inductively Coupled Plasma Etching

    NASA Astrophysics Data System (ADS)

    Han, Yan-Jun; Xue, Song; Guo, Wen-Ping; Sun, Chang-Zheng; Hao, Zhi-Biao; Luo, Yi

    2003-10-01

    A systematic study on the effect of inductively coupled plasma (ICP) etching on n-type GaN is presented. The optical and electrical properties and surface stoichiometry of n-type GaN are evaluated using room-temperature photoluminescence (PL) and current-voltage (I-V) characteristic measurements, and X-ray photoelectron spectroscopy (XPS), respectively. Investigation of the effect of additive gas (N2 and Ar) and RF power on these characteristics has also been carried out. It is shown that the decrease in the O/Ga ratio after ICP etching can suppress the deterioration of the near-band-edge emission intensity. Furthermore, N vacancy (VN) with a shallow donor nature and Ga vacancy (VGa) with a deep acceptor nature are generated after ICP etching upon the addition of Ar and N2 to Cl2 plasma, respectively. Lower ohmic contact resistance could be obtained when VN or ion-bombardment-induced defect is dominant at the surface. Improved etching conditions have been obtained based on these results.

  2. Influence of oxygen annealing on the dielectric properties of SrBi2(V0.1Nb0.9)2O9 ceramics

    NASA Astrophysics Data System (ADS)

    Wu, Y.; Forbess, M.; Seraji, S.; Limmer, S.; Chou, T.; Cao, G. Z.

    2001-09-01

    The influences of O2 and N2 annealing on the dielectric properties of SrBi2(V0.1Nb0.9)2O9 (SBVN) ferroelectrics were studied. Ceramic samples were prepared by reaction sintering a powder mixture of constituent oxides at 950 °C for 2 h in air. Some samples were also subsequently annealed at 800 °C for 3 h in O2 or N2. With O2 annealing, the Curie point of the SBVN ferroelectrics changed from ~433 to ~438 °C and the peak dielectric constant increased from ~760 to ~1010 (at 100 kHz). However, no change in the Curie point was found with N2 annealing. Furthermore, O2 annealing was found to reduce significantly both the dielectric constant and loss tangent of the SBVN ferroelectrics at frequencies below 1000 Hz. XRD results revealed a small reduction in the lattice constants with O2 annealing, but no appreciable change with N2 annealing. In addition, no detectable change in the microstructure of the SBVN samples was found with annealing. These results imply that some V4+ ions, which are compensated by the formation of oxygen vacancies, existed in the SBVN ferroelectrics prior to O2 annealing. V4+ ions were oxidized to V5+ with O2 annealing, which resulted in improved dielectric properties.

  3. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  4. Annealing dependence on flexible p-CuGaO2/n-ZnO heterojunction diode deposited by RF sputtering method

    NASA Astrophysics Data System (ADS)

    Li Lam, Mui; Hafiz Abu Bakar, Muhammad; Lam, Wai Yip; Alias, Afishah; Rahman, Abu Bakar Abd; Anuar Mohamad, Khairul; Uesugi, Katsuhiro

    2017-11-01

    In this work, p-CuGaO2/n-ZnO heterojunction diodes were deposited by RF powered sputtering method on polyethylene terephthalate (PETP, PET) substrates. Structural, morphology, optical and electrical properties of CuGaO2/ZnO heterojunction was investigated as a function of annealing duration. The structural properties show the ZnO films (002) peak were stronger at the range of 34° while CuGaO2 (015) peak is not visible at 44°. The surface morphology revealed that RMS roughness become smoother as the annealing duration increase to 30 minutes and become rougher as the annealing duration is increased to 60 minutes. The optical properties of CuGaO2/ZnO heterojunction diode at 30 minutes exhibit approximately 75% optical transmittance in the invisible region. The diodes exhibited a rectifying characteristic and the maximum forward current was observed for the diode annealed for 30 minutes. The diodes show an ideality factor range from 43.69 to 71.29 and turn on voltage between 0.75 V and 1.05 V.

  5. Microstructure Changes of Plasma Spraying Tungsten Coatings on Cfc after Different Temperature Annealing

    NASA Astrophysics Data System (ADS)

    Liu, X.; Tamura, S.; Tokunaga, K.; Yoshida, N.; Noda, N.

    2003-06-01

    Thermal behaviors of tungsten coating of 0.5 mm thick with multi-layers interface of tungsten (W) and rhenium (Re) coated on CFC (CX-2002U) substrate by vacuum plasma spraying (VPS) technique were examined by annealing with an electron beam thermal load facility between 1200 °C and 2000 °C. Change of the microstructure was observed and its chemical composition was analyzed by EDS after annealing. It was observed that remarkable recrystallization of VPS-W occurred above 1400 °C. The structure of the multi-layers of W and Re become obscure by the mutual diffusion of W, Re and C above 1600°C and finally disappeared after annealing at 2000 °C for one hour. Very hard tungsten carbides are formed at the interface above 1600 °C and they were broadening with increasing annealing temperature and time.

  6. Unipolar resistive switching behaviors and mechanisms in an annealed Ni/ZrO2/TaN memory device

    NASA Astrophysics Data System (ADS)

    Tsai, Tsung-Ling; Ho, Tsung-Han; Tseng, Tseung-Yuen

    2015-01-01

    The effects of Ni/ZrO2/TaN resistive switching memory devices without and with a 400 °C annealing process on switching properties are investigated. The devices exhibit unipolar resistive switching behaviors with low set and reset voltages because of a large amount of Ni diffusion with no reaction with ZrO2 after the annealing process, which is confirmed by ToF-SIMS and XPS analyses. A physical model based on a Ni filament is constructed to explain such phenomena. The device that undergoes the 400 °C annealing process exhibits an excellent endurance of more than 1.5  ×  104 cycles. The improvement can be attributed to the enhancement of oxygen ion migration along grain boundaries, which result in less oxygen ion consumption during the reset process. The device also performs good retention up to 105 s at 150 °C. Therefore, it has great potential for high-density nonvolatile memory applications.

  7. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  8. Charge separation and transport of the n=2 instability in C-2 FRC plasmas

    NASA Astrophysics Data System (ADS)

    Deng, Bihe; Sun, Xuan; Tuszewski, Michel

    2012-10-01

    Charge separation is critical in the positive feedback loop for gravitational type instabilities to grow [1], such as in the case of the n=2 mode in the C-2 field reversed configuration (FRC) experiment [2]. A fast time response Langmuir probe with minimum perturbation to the plasma is inserted into the edge of the C-2 plasma to measure the plasma floating potential. With the combined plasma wobble motion and spin motion, 2-D scans of the plasma floating potential are obtained, and evidence of charge separation associated with the n=2 instability is observed. The transport due to charge separation is estimated. Charge neutralization can provide an alternative method to stabilize the n=2 mode. An experiment is proposed to test this method with two probes inserted into the plasma from two azimuthally separated ports and an external shorting circuit, to constantly neutralize the charge separation, thus suppress the growth of the n=2 mode. [4pt] [1] R.J. Goldston and P.H. Rutherford, Introduction to Plasma Physics (Institute of Physics Publishing, Bristol, 2000).[0pt] [2]. M.W. Binderbauer et al, Phys. Rev. Lett. 105, 045003 (2010).

  9. A pulsed plasma jet with the various Ar/N2 mixtures

    NASA Astrophysics Data System (ADS)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.; Rostami Ravari, M. N.

    2017-12-01

    In this paper, using the Optical Emission Spectroscopy technique, the physical properties of a fabricated pulsed DBD plasma jet are studied. Ar/N2 gaseous mixture is taken as operational gas, and Ar contribution in Ar/N2 mixture is varied from 75 to 95%. Through the optical emission spectra analysis of the pulsed DBD plasma jet, the rotational, vibrational and excitation temperatures and density of electrons in plasma medium of the pulsed plasma jet are obtained. It is seen that, at the wavelength of 750.38 nm, the radiation intensity from the Ar 4p → 4 s transition increases at the higher Ar contributions in Ar/N2 mixture. It is found that, for 95% of Ar presence in the mixture, the emission intensities from argon and molecular nitrogen are higher, and the emission line intensities will increase nonlinearly. In addition, it is observed that the quenching of Ar* by N2 results in the higher intensities of N2 excited molecules. Moreover, at the higher percentages of Ar in Ar/N2 mixture, while all the plasma temperatures are increased, the plasma electron density is reduced.

  10. Parametric study of plasma-mediated thermoluminescence produced by Al2O3 sub-micron powders

    NASA Astrophysics Data System (ADS)

    Morávek, T.; Ambrico, P. F.; Ambrico, M.; Schiavulli, L.; Ráheľ, J.

    2017-10-01

    Sub-micron Al2O3 powders with a surface activated by dielectric barrier discharge exhibit improved performance in wet deposition of ceramic layers. In addressing the possible mechanisms responsible for the observed improvement, a comprehensive thermoluminescence (TL) study of plasma-activated powders was performed. TL offers the unique possibility of exploring the population of intrinsic electrons/holes in the charge trapping states. This study covers a wide range of experimental conditions affecting the TL of powders: treatment time, plasma working gas composition, change of discharge configuration, step-annealing of powder, exposure to laser irradiation and aging time. Deconvoluted TL spectra were followed for the changes in their relative contributions. The TL spectra of all tested gases (air, Ar, N2 and 5% He in N2) consist of the well-known main dosimetric peak at 450 K and a peak of similar magnitude at higher temperatures, centered between 700 and 800 K depending on the working gas used. N2 plasma treatment gave rise to a new specific TL peak at 510 K, which exhibited several peculiarities. Initial thermal annealing of Al2O3 powders led to its significant amplification (unlike the other peaks); the peak was insensitive to optical bleaching, and it exhibited slow gradual growth during the long-term aging test. Besides its relevance to the ceramic processing studies, a comprehensive set of data is presented that provides a useful and unconventional view on plasma-mediated material changes.

  11. Structural changes of a-CNx thin films induced by thermal annealing

    NASA Astrophysics Data System (ADS)

    Aziz, Siti Aisyah Abd; Awang, Rozidawati

    2018-04-01

    In this work, amorphous carbon nitride (a-CNx) thin films were deposited by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) technique at different RF powers of 60, 70, 80, 90 and 100 W for 30 min. These films were prepared using a mixture of acetylene (C2H2) at 20 sccm and nitrogen (N2) gases at 50 sccm. The films were then annealed at 400 °C in a quartz tube furnace in argon (Ar) gas. The chemical bondings of the film were analyzed by Fourier Transform Infra-red Spectroscopy (FTIR) while surface morphology and film roughness were determined by Atomic Force Microscopy (AFM). The FTIR analysis reveals that annealing resulted in the loss of C-H and C-N bonds and formation of graphitic sp2C cluster with the dissociation of N and C in the films. AFM indicates that the film surface becomes less rough which effectually enhances structural modifications and the rearrangement of the microstructure of the films after annealing.

  12. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    PubMed

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  13. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  14. Different annealing temperature suitable for different Mg doped P-GaN

    NASA Astrophysics Data System (ADS)

    Liu, S. T.; Yang, J.; Zhao, D. G.; Jiang, D. S.; Liang, F.; Chen, P.; Zhu, J. J.; Liu, Z. S.; Li, X.; Liu, W.; Zhang, L. Q.; Long, H.; Li, M.

    2017-04-01

    In this work, epitaxial GaN with different Mg doping concentration annealed at different temperature is investigated. Through Hall and PL spectra measurement we found that when Mg doping concentration is different, different annealing temperature is needed for obtaining the best p-type conduction of GaN, and this difference comes from the different influence of annealing on compensated donors. For ultra-heavily Mg doped sample, the process of Mg related donors transferring to non-radiative recombination centers is dominated, so the performance of P-GaN deteriorates with temperature increase. But for low Mg doped sample, the process of Mg related donors transfer to non-raditive recombination is weak compare to the Mg acceptor activation, so along the annealing temperature increase the performance GaN gets better.

  15. Thermal Improvement and Stability of Si3N4/GeNx/p- and n-Ge Structures Prepared by Electron-Cyclotron-Resonance Plasma Nitridation and Sputtering at Room Temperature

    NASA Astrophysics Data System (ADS)

    Fukuda, Yukio; Okamoto, Hiroshi; Iwasaki, Takuro; Izumi, Kohei; Otani, Yohei; Ishizaki, Hiroki; Ono, Toshiro

    2012-09-01

    This paper reports on the thermal improvement of Si3N4/GeNx/Ge structures. After the Si3N4 (5 nm)/GeNx (2 nm) stacks were prepared on Ge substrates by electron-cyclotron-resonance plasma nitridation and sputtering at room temperature, they were thermally annealed in atmospheric N2 + 10% H2 ambient at temperatures from 400 to 600 °C. It was demonstrated that the electronic properties of the GeNx/Ge interfaces were thermally improved at temperatures of up to 500 °C with a minimum interface trap density (Dit) of ˜1×1011 cm-2 eV-1 near the Ge midgap, whereas the interface properties were slightly degraded after annealing at 600 °C with a minimum Dit value of ˜4×1011 cm-2 eV-1.

  16. Layer-controllable graphene by plasma thinning and post-annealing

    NASA Astrophysics Data System (ADS)

    Zhang, Lufang; Feng, Shaopeng; Xiao, Shaoqing; Shen, Gang; Zhang, Xiumei; Nan, Haiyan; Gu, Xiaofeng; Ostrikov, Kostya (Ken)

    2018-05-01

    The electronic structure of graphene depends crucially on its layer number and therefore engineering the number of graphene's atomic stacking layers is of great importance for the preparation of graphene-based devices. In this paper, we demonstrated a relatively less invasive, high-throughput and uniform large-area plasma thinning of graphene based on direct bombardment effect of fast-moving ionic hydrogen or argon species. Any desired number of graphene layers including trilayer, bilayer and monolayer can be obtained. Structural changes of graphene layers are studied by optical microscopy, Raman spectroscopy and atomic force microscopy. Post annealing is adopted to self-heal the lattice defects induced by the ion bombardment effect. This plasma etching technique is efficient and compatible with semiconductor manufacturing processes, and may find important applications for graphene-based device fabrication.

  17. Determination of N-methylsuccinimide and 2-hydroxy-N-methylsuccinimide in human urine and plasma.

    PubMed

    Jönsson, B A; Akesson, B

    1997-12-19

    A method for determination of N-methylsuccinimide (MSI) and 2-hydroxy-N-methylsuccinimide (2-HMSI) in human urine and of MSI in human plasma was developed. MSI and 2-HMSI are metabolites of the widely used organic solvent N-methyl-2-pyrrolidone (NMP). MSI and 2-HMSI were purified from urine and plasma by C8 solid-phase extraction and analysed by gas chromatography-mass spectrometry in the negative-ion chemical ionisation mode. The intra-day precisions in urine were 2-6% for MSI (50 and 400 ng/ml) and 3-5% for 2-HMSI (1000 and 8000 ng/ml). For MSI in plasma it was 2% (60 and 1200 ng/ml). The between-day precisions in urine were 3-4% for MSI (100 and 1000 ng/ml) and 2-4% for 2-HMSI (10,000 and 18,000 ng/ml) and 3-4% for MSI in plasma (100 and 900 ng/ml). The recoveries from urine were 109-117% for MSI (50 and 400 ng/ml) and 81-89% for 2-HMSI (1000 and 8000 ng/ml). The recovery of MSI from plasma was 91-101% (50 and 500 ng/ml). The detection limits for MSI were 3 ng/ml in urine and 1 ng/ml in plasma and that of 2-HMSI in urine was 200 ng/ml. The method is applicable for analysis of urine and plasma samples from workers exposed to NMP.

  18. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  19. Effects of copper vapour on thermophysical properties of CO2-N2 plasma

    NASA Astrophysics Data System (ADS)

    Zhong, Linlin; Wang, Xiaohua; Rong, Mingzhe; Cressault, Yann

    2016-10-01

    CO2-N2 mixtures are often used as arc quenching medium (to replace SF6) in circuit breakers and shielding gas in arc welding. In such applications, copper vapour resulting from electrode surfaces can modify characteristics of plasmas. This paper therefore presents an investigation of the effects of copper on thermophysical properties of CO2-N2 plasma. The equilibrium compositions, thermodynamic properties (including mass density, specific enthalpy, and specific heat), transport coefficients (including electrical conductivity, viscosity, and thermal conductivity), and four kinds of combined diffusion coefficients due to composition gradients, applied electric fields, temperature gradients, and pressure gradients respectively, were calculated and discussed for CO2-N2 (mixing ratio 7:3) plasma contaminated by different proportions of copper vapour. The significant influences of copper were observed on all the properties of CO2-N2-Cu mixtures. The better ionization ability and larger molar mass of copper and larger collision integrals related to copper, should be responsible for such influences.

  20. Plasma sterilization of Geobacillus Stearothermophilus by O{mathsf2}:N{mathsf2} RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Sasaki, T.; Rossi, F.

    2006-05-01

    The aim of this work is to identify the main process responsible for sterilization of Geobacillus Stearothermophilus spores in O{2}:N{2} RF inductively coupled plasma. In order to meet this objective the sterilization efficiencies of discharges in mixtures differing in the initial O{2}/N{2} ratios are compared with plasma properties and with scanning electron microscopy images of treated spores. According to the obtained results it can be concluded that under our experimental conditions the time needed to reach complete sterilization is more related to O atom density than UV radiation intensity, i.e. complete sterilization is not related only to DNA damage as in UV sterilization but more likely to the etching of the spore.

  1. Study of ND3-enhanced MAR processes in D2-N2 plasmas to induce plasma detachment

    NASA Astrophysics Data System (ADS)

    Abe, Shota; Chakraborty Thakur, Saikat; Doerner, Russ; Tynan, George

    2017-10-01

    The Molecular Assisted Recombination (MAR) process is thought to be a main channel of volumetric recombination to induce the plasma detachment operation. Authors have focused on a new plasma recombination process supported by ammonia molecules, which will be formed by impurity seeding of N2 for controlling divertor plasma temperature and heat loads in ITER. This ammonia-enhanced MAR process would occur throughout two steps. In this study, the first step of the new MAR process is investigated in low density plasmas (Ne 1016 m-3, Te 4 eV) fueled by D2 and N2. Ion and neutral densities are measured by a calibrated Electrostatic Quadrupole Plasma (EQP) analyzer, combination of an ion energy analyzer and mass spectrometer. The EQP shows formation of ND3 during discharges. Ion densities calculated by a rate equation model are compared with experimental results. We find that the model can reproduce the observed ion densities in the plasma. The model calculation shows that the dominant neutralization channel of Dx+(x =1-3) ions in the volume is the formation of NDy+(y =3 or 4) throughout charge/D+ exchange reactions with ND3. Furthermore, high density plasmas (Ne 1016 m-3) have been achieved to investigate electron-impact dissociative recombination processes of formed NDy+,which is the second step of this MAR process.

  2. Coplanar amorphous-indium-gallium-zinc-oxide thin film transistor with He plasma treated heavily doped layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeong, Ho-young; LG Display R and D Center, 245 Lg-ro, Wollong-myeon, Paju-si, Gyeonggi-do 413-811; Lee, Bok-young

    We report thermally stable coplanar amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with heavily doped n{sup +} a-IGZO source/drain regions. Doping is through He plasma treatment in which the resistivity of the a-IGZO decreases from 2.98 Ω cm to 2.79 × 10{sup −3} Ω cm after treatment, and then it increases to 7.92 × 10{sup −2} Ω cm after annealing at 300 °C. From the analysis of X-ray photoelectron spectroscopy, the concentration of oxygen vacancies in He plasma treated n{sup +}a-IGZO does not change much after thermal annealing at 300 °C, indicating thermally stable n{sup +} a-IGZO, even for TFTs with channel length L = 4 μm. Field-effect mobility of the coplanar a-IGZO TFTsmore » with He plasma treatment changes from 10.7 to 9.2 cm{sup 2}/V s after annealing at 300 °C, but the performance of the a-IGZO TFT with Ar or H{sub 2} plasma treatment degrades significantly after 300 °C annealing.« less

  3. Control of conduction type in ferromagnetic (Zn,Sn,Mn)As2 thin films by changing Mn content and effect of annealing on thin films with n-type conduction

    NASA Astrophysics Data System (ADS)

    Minamizawa, Yuto; Kitazawa, Tomohiro; Hidaka, Shiro; Toyota, Hideyuki; Nakamura, Shin-ichi; Uchitomi, Naotaka

    2018-04-01

    The conduction type in (Zn,Sn,Mn)As2 thin films grown by molecular beam epitaxy (MBE) on InP substrates was found to be controllable from p-type to n-type as a function of Mn content. n-type (Zn,Sn,Mn)As2 thin films were obtained by Mn doping of more than approximately 11 cat.%. It is likely that Mn interstitials (MnI) incorporated by excess Mn doping are located at tetrahedral hollow spaces surrounded by Zn and Sn cation atoms and four As atoms, which are expected to act as donors in (Zn,Sn,Mn)As2, resulting in n-type conduction. The effect of annealing on the structural, electrical and magnetic properties of n-type (Zn,Sn,Mn)As2 thin films was investigated as functions of annealing temperature and time. It was revealed that even if the annealing temperature is considerably higher than the growth temperature of 320 °C, the magnetic properties of the thin films remain stable. This suggests that a MnI complex surrounded by Zn and Sn atoms is thermally stable during high-temperature annealing. The n-type (Zn,Sn,Mn)As2 thin films may be suitable for application as n-type spin-polarized injectors.

  4. Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO{sub 2}/TiN stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chernikova, A. G.; Kuzmichev, D. S.; Negrov, D. V.

    2016-06-13

    We report the possibility of employment of low temperature (≤330 °C) plasma-enhanced atomic layer deposition for the formation of both electrodes and hafnium-oxide based ferroelectric in the metal-insulator-metal structures. The structural and ferroelectric properties of La doped HfO{sub 2}-based layers and its evolution with the change of both La content (2.1, 3.7 and 5.8 at. %) and the temperature of the rapid thermal processing (550–750 °C) were investigated in detail. Ferroelectric properties emerged only for 2.1 and 3.7 at. % of La due to the structural changes caused by the given doping levels. Ferroelectric properties were also found to depend strongly on annealing temperature,more » with the most robust ferroelectric response for lowest La concentration and intermediate 650 °C annealing temperature. The long term wake-up effect and such promising endurance characteristics as 3 × 10{sup 8} switches by bipolar voltage cycles with 30 μs duration and ± 3 MV/cm amplitude without any decrease of remnant polarization value were demonstrated.« less

  5. Enhanced exchange bias in MnN/CoFe bilayers after high-temperature annealing

    NASA Astrophysics Data System (ADS)

    Dunz, M.; Schmalhorst, J.; Meinert, M.

    2018-05-01

    We report an exchange bias of more than 2700 Oe at room temperature in MnN/CoFe bilayers after high-temperature annealing. We studied the dependence of exchange bias on the annealing temperature for different MnN thicknesses in detail and found that samples with tMnN > 32nm show an increase of exchange bias for annealing temperatures higher than TA = 400 °C. Maximum exchange bias values exceeding 2000 Oe with reasonably small coercive fields around 600 Oe are achieved for tMnN = 42, 48 nm. The median blocking temperature of those systems is determined to be 180 °C after initial annealing at TA = 525 °C. X-ray diffraction measurements and Auger depth profiling show that the large increase of exchange bias after high-temperature annealing is accompanied by strong nitrogen diffusion into the Ta buffer layer of the stacks.

  6. Annealing Time Effect on Nanostructured n-ZnO/p-Si Heterojunction Photodetector Performance

    NASA Astrophysics Data System (ADS)

    Habubi, Nadir. F.; Ismail, Raid. A.; Hamoudi, Walid K.; Abid, Hassam. R.

    2015-02-01

    In this work, n-ZnO/p-Si heterojunction photodetectors were prepared by drop casting of ZnO nanoparticles (NPs) on single crystal p-type silicon substrates, followed by (15-60) min; step-annealing at 600∘C. Structural, electrical, and optical properties of the ZnO NPs films deposited on quartz substrates were studied as a function of annealing time. X-ray diffraction studies showed a polycrystalline, hexagonal wurtizte nanostructured ZnO with preferential orientation along the (100) plane. Atomic force microscopy measurements showed an average ZnO grain size within the range of 75.9 nm-99.9 nm with a corresponding root mean square (RMS) surface roughness between 0.51 nm-2.16 nm. Dark and under illumination current-voltage (I-V) characteristics of the n-ZnO/p-Si heterojunction photodetectors showed an improving rectification ratio and a decreasing saturation current at longer annealing time with an ideality factor of 3 obtained at 60 min annealing time. Capacitance-voltage (C-V) characteristics of heterojunctions were investigated in order to estimate the built-in-voltage and junction type. The photodetectors, fabricated at optimum annealing time, exhibited good linearity characteristics. Maximum sensitivity was obtained when ZnO/Si heterojunctions were annealed at 60 min. Two peaks of response, located at 650 nm and 850 nm, were observed with sensitivities of 0.12-0.19 A/W and 0.18-0.39 A/W, respectively. Detectivity of the photodetectors as function of annealing time was estimated.

  7. Effect of O2, N2 and H2 on annealing of pad printed high conductive Ag-Cu nano-alloy electrodes

    NASA Astrophysics Data System (ADS)

    Manjunath, G.; Anusha, P.; Salian, Ashritha; Gupta, Bikesh; Mandal, Saumen

    2018-01-01

    In this study, annealing of pad printed Ag-Cu based conducting ink was studied in oxidizing, inert and reducing atmosphere to verify its oxidation dependent conductivity. Ag-Cu manually was formulated adopting polyol method; where silver nitrate and copper nitrate serve as initial metal precursors. Polyvinylpyrrolidone (PVP), ethylene glycol and sodium borohydride act as a stabilizer, solvent and reducing agent respectively. The nanoalloys were with an average particle size ˜48 ± 15 nm, capped with polyvinylpyrrolidone to avoid agglomeration and stable in non-polar solvents. Formation of nanoalloy, Ag 90 wt%-Cu 10 wt%, was verified through a peak shift in UV-visible spectroscopy, found at 470 nm along with Nelson-Relay curve fitting and x-ray photoelectron spectroscopy study. The calculated lattice parameter of nanoalloy ˜4.034 Å, was in between pure silver and copper. The crystallite size was calculated using Debye-Scherrer, Williamson-Hall isotropic strain model and Halder-Wagner method. Electrode patterns were printed on a glass substrate by pad printing and were annealed under O2, N2 and H2 atmosphere to study the oxidation kinetics of copper. A maximum conductivity of -6.6 × 105 S m-1 was observed in inert atmosphere annealing as the conductivity is solely depends on the oxidation of copper; appears with uttermost Cu0 and least Cu2+ in x-ray photoelectron spectroscopy. High conductive space required between manually and dispersion ink can have a potential application as an electrode in printed electronics. Further refinement of size of the nanopaticles by polyol method could help to obtain the effect of quantum confinement.

  8. Origin of the Electroluminescence from Annealed-ZnO/GaN Heterojunction Light-Emitting Diodes

    PubMed Central

    Hsu, Kai-Chiang; Hsiao, Wei-Hua; Lee, Ching-Ting; Chen, Yan-Ting; Liu, Day-Shan

    2015-01-01

    This paper addressed the effect of post-annealed treatment on the electroluminescence (EL) of an n-ZnO/p-GaN heterojunction light-emitting diode (LED). The bluish light emitted from the 450 °C-annealed LED became reddish as the LED annealed at a temperature of 800 °C under vacuum atmosphere. The origins of the light emission for these LEDs annealed at various temperatures were studied using measurements of electrical property, photoluminescence, and Auger electron spectroscopy (AES) depth profiles. A blue-violet emission located at 430 nm was associated with intrinsic transitions between the bandgap of n-ZnO and p-GaN, the green-yellow emission at 550 nm mainly originating from the deep-level transitions of native defects in the n-ZnO and p-GaN surfaces, and the red emission at 610 nm emerging from the Ga-O interlayer due to interdiffusion at the n-ZnO/p-GaN interface. The above-mentioned emissions also supported the EL spectra of LEDs annealed at 700 °C under air, nitrogen, and oxygen atmospheres, respectively. PMID:28793675

  9. Origin of the Electroluminescence from Annealed-ZnO/GaN Heterojunction Light-Emitting Diodes.

    PubMed

    Hsu, Kai-Chiang; Hsiao, Wei-Hua; Lee, Ching-Ting; Chen, Yan-Ting; Liu, Day-Shan

    2015-11-16

    This paper addressed the effect of post-annealed treatment on the electroluminescence (EL) of an n -ZnO/ p -GaN heterojunction light-emitting diode (LED). The bluish light emitted from the 450 °C-annealed LED became reddish as the LED annealed at a temperature of 800 °C under vacuum atmosphere. The origins of the light emission for these LEDs annealed at various temperatures were studied using measurements of electrical property, photoluminescence, and Auger electron spectroscopy (AES) depth profiles. A blue-violet emission located at 430 nm was associated with intrinsic transitions between the bandgap of n -ZnO and p -GaN, the green-yellow emission at 550 nm mainly originating from the deep-level transitions of native defects in the n -ZnO and p -GaN surfaces, and the red emission at 610 nm emerging from the Ga-O interlayer due to interdiffusion at the n -ZnO/ p -GaN interface. The above-mentioned emissions also supported the EL spectra of LEDs annealed at 700 °C under air, nitrogen, and oxygen atmospheres, respectively.

  10. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  11. Identification of nitrogen- and host-related deep-level traps in n-type GaNAs and their evolution upon annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gelczuk, Ł., E-mail: lukasz.gelczuk@pwr.edu.pl; Kudrawiec, R., E-mail: robert.kudrawiec@pwr.edu.pl; Henini, M.

    2014-07-07

    Deep level traps in as-grown and annealed n-GaNAs layers (doped with Si) of various nitrogen concentrations (N=0.2%, 0.4%, 0.8%, and 1.2%) were investigated by deep level transient spectroscopy. In addition, optical properties of GaNAs layers were studied by photoluminescence and contactless electroreflectance. The identification of N- and host-related traps has been performed on the basis of band gap diagram [Kudrawiec, Appl. Phys. Lett. 101, 082109 (2012)], which assumes that the activation energy of electron traps of the same microscopic nature decreases with the rise of nitrogen concentration in accordance with the N-related shift of the conduction band towards trap levels.more » The application of this diagram has allowed to investigate the evolution of donor traps in GaNAs upon annealing. In general, it was observed that the concentration of N- and host-related traps decreases after annealing and PL improves very significantly. However, it was also observed that some traps are generated due to annealing. It explains why the annealing conditions have to be carefully optimized for this material system.« less

  12. CURRENT-VOLTAGE CHARACTERISTICS OF THERMALLY ANNEALED Ni/n-GaAs SCHOTTKY CONTACTS

    NASA Astrophysics Data System (ADS)

    Yildirim, Nezir; Turut, Abdulmecit; Dogan, Hulya

    The Schottky barrier type Ni/n-GaAs contacts fabricated by us were thermally annealed at 600∘C and 700∘C for 1min. The apparent barrier height Φap and ideality factor of the diodes were calculated from the forward bias current-voltage characteristic in 60-320K range. The Φap values for the nonannealed and 600∘C and 700∘C annealed diodes were obtained as 0.80, 0.81 and 0.67eV at 300K, respectively. Thus, it has been concluded that the reduced barrier due to the thermal annealing at 700∘C promises some device applications. The current preferentially flows through the lowest barrier height (BH) with the temperature due to the BH inhomogeneities. Therefore, it was seen that the Φap versus (2kT)‑1 plots for the nonannealed and annealed diodes showed the linear behavior according to Gaussian distributions.

  13. AC Glow Discharge Plasma in N2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yousif, F. B.; Martinez, H.; Robledo-Martinez, A.

    2006-12-04

    This paper considers the optical and electrical characterization of AC glow discharge plasma in the abnormal glow mode used for optical emission spectroscopy. The total discharge current and applied voltage are measured using conventional techniques. The electrical characteristics of the planer-cathode glow discharge confirmed that the plasma is operating at abnormal discharge mode characterized by the increases in the operating voltage as the current was raised under given pressure. Optical emission spectroscopy was used to determine the main emission lines of the glow discharge plasma of N2O at pressures between 0.5 and 4.0 Torr. It shows that the discharge emissionmore » range is mainly within 300-400 nm. The emission lines correspond to NO, O2, and O{sub 2}{sup +} are the dominant lines in the glow discharge plasma in the present study. Intensity of the emission lines show linear increase with the discharge current up to 0.4 A followed by saturation at higher currents. No emission lines were observed in this work corresponding to atomic oxygen or nitrogen.« less

  14. High-resistive layers obtained through periodic growth and in situ annealing of InGaN by metalorganic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Shuo; Ma, Ping, E-mail: maping@semi.ac.cn; Liu, Boting

    2016-06-15

    High-resistive layers were obtained by periodic growth and in situ annealing of InGaN. The effect of the annealing temperature of InGaN on the indium content and the material sheet resistive was investigated. The indium content decreased as the increase of in situ annealing temperature. Additionally, the material sheet resistance increased with the increase of the in situ annealing temperature for the annealed samples and reached 2 × 10{sup 10}Ω/sq in the light and 2 × 10{sup 11}Ω/sq in the dark when the in situ annealing temperature reached 970{sup ∘}C. The acquirement of high-resistive layers is attributed to the generation ofmore » indium vacancy-related defects. Introducing indium vacancy-related defects to compensate background carriers can be an effective method to grow high-resistance material.« less

  15. P-type single-crystalline ZnO films obtained by (N,O) dual implantation through dynamic annealing process

    NASA Astrophysics Data System (ADS)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2016-12-01

    Single-crystalline ZnO films were grown on a-plane sapphire substrates by plasma-assisted molecular beam epitaxy technique. The films have been implanted with fixed fluence of 120 keV N and 130 keV O ions at 460 °C. Hall measurements show that the dually-implanted single-crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 2.1 × 1018-1.1 × 1019 cm-3, hole mobilities between 1.6 and 1.9 cm2 V-1 s-1, and resistivities in the range of 0.353-1.555 Ω cm. The ZnO films exhibit (002) (c-plane) orientation as identified by the X-ray diffraction pattern. It is confirmed that N ions were effectively implanted by SIMS results. Raman spectra, polarized Raman spectra, and X-ray photoelectron spectroscopy results reflect that the concentration of oxygen vacancies is reduced, which is attributed to O ion implantation. It is concluded that N and O implantation and dynamic annealing play a critical role in forming p-type single-crystalline ZnO films.

  16. Annealing of irradiated n+p InP buried homojunctions

    NASA Technical Reports Server (NTRS)

    Walters, Robert J.; Summers, Geoffrey P.; Timmons, M. L.; Venkatasubramanian, R.; Hancock, J. A.; Hills, J. S.

    1994-01-01

    At the last SPRAT conference, the Naval Research Laboratory (NRL) presented results from two experiments. One studied n+p diffused junction (DJ) InP solar cells, and the other studied n+p shallow homojunction (SHJ) InP mesa diodes grown by metalorganic chemical vapor deposition (MOCVD). The former work showed that a DJ solar cell in which the maximum power P(sub max) had been degraded by nearly 80 percent under irradiation recovered completely under short circuit illumination at 450K. The recovery was accompanied by the removal of all but one of the radiation-induced defect levels. The latter work, on the other hand, showed that the radiation-induced defects in the SHJ diodes did not anneal until the temperature reached 650K. These results suggest that an irradiated DJ solar cell, under illumination, will anneal at a temperature 200K lower than an irradiated SHJ cell. This is an unexpected result considering the similarity of the devices. The goal of the present research is to explain this different behavior. This paper investigates two points which arose from the previous studies. The first point is that the DJ cells were annealed under illumination while the SHJ diodes were annealed without bias. The second point investigated here is that the emitters of the DJ and SHJ devices were significantly different.

  17. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  18. Carrier capture efficiency in InGaN/GaN LEDs: Role of high temperature annealing

    NASA Astrophysics Data System (ADS)

    Vinattieri, A.; Batignani, F.; Bogani, F.; Meneghini, M.; Meneghesso, G.; Zanoni, E.; Zhu, D.; Humphreys, C. J.

    2014-02-01

    By means of time integrated (TI), time-resolved (TR) photoluminescence (PL) and PL excitation spectra, we investigate the role of an high temperature post-growth thermal annealing (TA) on a set of InGaN/GaN LED structures with different dislocation densities. We provide evidence of the nature of the radiative recombination from a wide distribution of non-interacting localised states and we show the beneficial effect of thermal annealing in reducing the contribution of non-radiative recombination in the well region.

  19. Post deposition annealing effect on the properties of Al2O3/InP interface

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Dong Ha; Choi, Byung Joon

    2018-02-01

    Post deposition in-situ annealing effect on the interfacial and electrical properties of Au/Al2O3/n-InP junctions were investigated. With increasing the annealing time, both the barrier height and ideality factor changed slightly but the series resistance decreased significantly. Photoluminescence (PL) measurements showed that the intensities of both the near band edge (NBE) emission from InP and defect-related bands (DBs) from Al2O3 decreased with 30 min annealing. With increasing the annealing time, the diffusion of oxygen (indium) atoms into Al2O3/InP interface (into Al2O3 layer) occurred more significantly, giving rise to the increase of the interface state density. Therefore, the out-diffusion of oxygen atoms from Al2O3 during the annealing process should be controlled carefully to optimize the Al2O3/InP based devices.

  20. Characteristics of surface-wave plasma with air-simulated N2 O2 gas mixture for low-temperature sterilization

    NASA Astrophysics Data System (ADS)

    Xu, L.; Nonaka, H.; Zhou, H. Y.; Ogino, A.; Nagata, T.; Koide, Y.; Nanko, S.; Kurawaki, I.; Nagatsu, M.

    2007-02-01

    Sterilization experiments using low-pressure air discharge plasma sustained by the 2.45 GHz surface-wave have been carried out. Geobacillus stearothermoplilus spores having a population of 3.0 × 106 were sterilized for only 3 min using air-simulated N2-O2 mixture gas discharge plasma, faster than the cases of pure O2 or pure N2 discharge plasmas. From the SEM analysis of plasma-irradiated spores and optical emission spectroscopy measurements of the plasmas, it has been found that the possible sterilization mechanisms of air-simulated plasma are the chemical etching effect due to the oxygen radicals and UV emission from the N2 molecules and NO radicals in the wavelength range 200-400 nm. Experiment suggested that UV emission in the wavelength range less than 200 nm might not be significant in the sterilization. The UV intensity at 237.0 nm originated from the NO γ system (A 2Σ+ → X 2Π) in N2-O2 plasma as a function of the O2 percentage added to N2-O2 mixture gas has been investigated. It achieved its maximum value when the O2 percentage was roughly 10-20%. This result suggests that air can be used as a discharge gas for sterilization, and indeed we have confirmed a rapid sterilization with the actual air discharge at a sample temperature of less than 65 °C.

  1. Ta2O5 Polycrystalline Silicon Capacitors with CF4 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kao, Chyuan-Haur; Chen, Hsiang

    2012-04-01

    In this research, the effects of CF4 plasma treatment with post annealing on the electrical characteristics and material properties of Ta2O5 dielectrics were determined. The dielectric performance characteristics of samples under different treatment conditions were measured using equivalent oxide thickness (EOT), current density-electric field (J-E) characteristics, gate voltage shift versus time, and Weibull plots. In addition, X-ray diffraction (XRD) analysis provided insight into the changes in crystalline structure, atomic force microscopy (AFM) measurements visualized the surface roughness, and secondary ion mass spectroscopy (SIMS) revealed the distribution of fluorine ions inside the dielectric samples. Findings indicate that dielectric performance can be significantly improved by CF4 plasma treatment for 1 min with post annealing at 800 °C. The improvements in electrical characteristics were caused by the appropriate incorporation of the fluorine atoms and the removal of the dangling bonds and traps. The Ta2O5 dielectric incorporated with appropriate CF4 plasma and annealing treatments shows great promise for future generation of nonvolatile memory applications.

  2. Effect of non-vacuum thermal annealing on high indium content InGaN films deposited by pulsed laser deposition.

    PubMed

    Wang, Tzu-Yu; Ou, Sin-Liang; Shen, Kun-Ching; Wuu, Dong-Sing

    2013-03-25

    InGaN films with 33% and 60% indium contents were deposited by pulsed laser deposition (PLD) at a low growth temperature of 300 °C. The films were then annealed at 500-800 °C in the non-vacuum furnace for 15 min with an addition of N(2) atmosphere. X-ray diffraction results indicate that the indium contents in these two films were raised to 41% and 63%, respectively, after annealing in furnace. In(2)O(3) phase was formed on InGaN surface during the annealing process, which can be clearly observed by the measurements of auger electron spectroscopy, transmission electron microscopy and x-ray photoelectron spectroscopy. Due to the obstruction of indium out-diffusion by forming In(2)O(3) on surface, it leads to the efficient increment in indium content of InGaN layer. In addition, the surface roughness was greatly improved by removing In(2)O(3) with the etching treatment in HCl solution. Micro-photoluminescence measurement was performed to analyze the emission property of InGaN layer. For the as-grown InGaN with 33% indium content, the emission wavelength was gradually shifted from 552 to 618 nm with increasing the annealing temperature to 800 °C. It reveals the InGaN films have high potential in optoelectronic applications.

  3. P-type single-crystalline ZnO films obtained by (Na,N) dual implantation through dynamic annealing process

    NASA Astrophysics Data System (ADS)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2018-02-01

    Single-crystalline ZnO films were grown by plasma-assisted molecular beam epitaxy technique on c-plane sapphire substrates. The films have been implanted with fixed fluence of 130 keV Na and 90 keV N ions at 460 °C. It is observed that dually-implanted single crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 1.24 × 1016-1.34 × 1017 cm-3, hole mobilities between 0.65 and 8.37 cm2 V-1 s-1, and resistivities in the range of 53.3-80.7 Ω cm by Hall-effect measurements. There are no other secondary phase appearing, with (0 0 2) (c-plane) orientation after ion implantation as identified by the X-ray diffraction pattern. It is obtained that Na and N ions were successfully implanted and activated as acceptors measured by XPS and SIMS results. Also compared to other similar studies, lower amount of Na and N ions make p-type characteristics excellent as others deposited by traditional techniques. It is concluded that Na and N ion implantation and dynamic annealing are essential in forming p-type single-crystalline ZnO films.

  4. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  5. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  6. CO2 conversion in non-thermal plasma and plasma/g-C3N4 catalyst hybrid processes

    NASA Astrophysics Data System (ADS)

    Lu, Na; Sun, Danfeng; Zhang, Chuke; Jiang, Nan; Shang, Kefeng; Bao, Xiaoding; Li, Jie; Wu, Yan

    2018-03-01

    Carbon dioxide conversion at atmosphere pressure and low temperature has been studied in a cylindrical dielectric barrier discharge (DBD) reactor. Pure CO2 feed flows to the discharge zone and typical filamentary discharges were obtained in each half-cycle of the applied voltage. The gas temperature increased with discharge time and discharge power, which was found to affect the CO2 decomposition deeply. As the DBD reactor was cooled to ambient temperature, both the conversion of CO2 and the CO yield were enhanced. Especially the energy efficiencies changed slightly with the increase of discharge power and were much higher in cooling condition comparing to those without cooling. At a discharge power of 40 W, the energy efficiency under cooling condition was approximately six times more than that without cooling. Gas flow rate was observed to affect CO2 conversion and 0.1 L min-1 was obtained as optimum gas flow rate under cooling condition. In addition, the CO2 conversion rate in plasma/g-C3N4 catalyst hybrid system was twice times as that in plasma-alone system. In case of cooling, the existence of g-C3N4 catalyst contributed to a 47% increase of CO2 conversion compared to the sole plasma process. The maximum energy-efficiency with g-C3N4 was 0.26 mmol kJ-1 at 20 W, which increased by 157% compared to that without g-C3N4. The synergistic effect of DBD plasma with g-C3N4 on pure CO2 conversion was verified.

  7. Temperature dependence of annealing on the contact resistance of MoS2 with graphene electrodes observed

    NASA Astrophysics Data System (ADS)

    Lu, Qin; Fang, Cizhe; Liu, Yan; Shao, Yao; Han, Genquan; Zhang, Jincheng; Hao, Yue

    2018-04-01

    Two-dimensional (2D) materials are promising candidates for atomically thin nanoelectronics. Among them, MoS2 has attracted considerable attention in the nanoscience and nanotechnology community owing to its unique characteristics including high electron mobility and intrinsic band gap. In this study, we experimentally explored the contact resistances of MoS2 films based on much layered graphene films as electrodes using the circular transmission line model (CTLM). The variation in the chemical composition of the material is thoroughly analyzed by Raman and X-ray photoelectric spectroscopy (XPS) measurements. Experimental results demonstrate that annealing followed by oxygen plasma treatment can effectively improve the contact resistance. Furthermore, the current-voltage curves measured after different annealing temperatures indicate good linear characteristics, which means a marked improvement in electrical property. Calculations show that a relatively low contact resistance of ˜4.177 kΩ (ignoring its size) without back gate voltage in a single-layer graphene/MoS2 structure at an optimal annealing temperature of 500 °C is achieved. This work about the effect of annealing temperature on contact resistance can also be employed for other 2D materials, which lays a foundation for further development of novel 2D material devices.

  8. Solution-derived SiO2 gate insulator formed by CO2 laser annealing for polycrystalline silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Hishitani, Daisuke; Horita, Masahiro; Ishikawa, Yasuaki; Ikenoue, Hiroshi; Uraoka, Yukiharu

    2017-05-01

    The formation of perhydropolysilazane (PHPS)-based SiO2 films by CO2 laser annealing is proposed. Irradiation with a CO2 laser with optimum fluence transformed a prebaked PHPS film into a SiO2 film with uniform composition in the thickness direction. Polycrystalline silicon thin-film transistors (poly-Si TFTs) with a SiO2 film as the gate insulator were fabricated. When the SiO2 film was formed by CO2 laser annealing (CO2LA) at the optimum fluence of 20 mJ/cm2, the film had fewer OH groups which was one-twentieth that of the furnace annealed PHPS film and one-hundredth that of the SiO2 film deposited by plasma-enhanced chemical vapor deposition (PECVD) using tetraethyl orthosilicate (TEOS). The resulting TFTs using PHPS showed a clear transistor operation with a field-effect mobility of 37.9 ± 1.2 cm2 V-1 s-1, a threshold voltage of 9.8 ± 0.2 V, and a subthreshold swing of 0.76 ± 0.02 V/decade. The characteristics of such TFTs were as good as those of a poly-Si TFT with a SiO2 gate insulator prepared by PECVD using TEOS.

  9. Reactive ion etching of GaN using BCl 3, BCl 3/Ar and BCl 3/ N 2 gas plasmas

    NASA Astrophysics Data System (ADS)

    Basak, D.; Nakanishi, T.; Sakai, S.

    2000-04-01

    Reactive ion etching (RIE) of GaN has been performed using BCl 3 and additives, Ar and N 2, to BCl 3 plasma. The etch rate, surface roughness and the etch profile have been investigated. The etch rate of GaN is found to be 104 nm/min at rf power of 200 W, pressure of 2 Pa, with 9.5 sccm flow rate of BCl 3. The addition of 5 sccm of Ar to 9.5 sccm of BCl 3 reduces the etch rate of GaN while the addition of N 2 does not influence the etch rate significantly. The RIE of GaN layer with BCl 3/Ar and BCl 3/N 2 results in a smoother surface compared to surfaces etched with BCl 3 only. The etched side-wall in BCl 3 plasma makes an angle of 60° with the normal surface, and the angle of inclination is more in cases of BCl 3/Ar and BCl 3/N 2 plasmas. The RIE induced damage to the surface is measured qualitatively by PL measurements. It is observed that the damage to the etched surfaces is similar for all the plasmas.

  10. Chlorine mobility during annealing in N2 in ZrO2 and HfO2 films grown by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Ferrari, S.; Scarel, G.; Wiemer, C.; Fanciulli, M.

    2002-12-01

    Atomic layer deposition (ALD) growth of high-κ dielectric films (ZrO2 and HfO2) was performed using ZrCl4, HfCl4, and H2O as precursors. In this work, we use time of flight secondary ion mass spectrometry to investigate the chlorine distribution in ALD grown ZrO2 and HfO2 films, and its evolution during rapid thermal processes in nitrogen atmosphere. Chlorine outdiffusion is found to depend strongly upon annealing temperature and weakly upon the annealing time. While in ZrO2 chlorine concentration is significantly decreased already at 900 °C, in HfO2 it is extremely stable, even at temperatures as high as 1050 °C.

  11. Copper diffusion in Ti Si N layers formed by inductively coupled plasma implantation

    NASA Astrophysics Data System (ADS)

    Ee, Y. C.; Chen, Z.; Law, S. B.; Xu, S.; Yakovlev, N. L.; Lai, M. Y.

    2006-11-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into TixSiy substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 °C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers.

  12. Degradation and annealing effects caused by oxygen in AlGaN/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, R., E-mail: rong.jiang@vanderbilt.edu; Chen, J.; Duan, G. X.

    Hot-carrier degradation and room-temperature annealing effects are investigated in unpassivated ammonia-rich AlGaN/GaN high electron mobility transistors. Devices exhibit a fast recovery when annealed after hot carrier stress with all pins grounded. The recovered peak transconductance can exceed the original value, an effect that is not observed in control passivated samples. Density functional theory calculations suggest that dehydrogenation of pre-existing O{sub N}-H defects in AlGaN plays a significant role in the observed hot carrier degradation, and the resulting bare O{sub N} can naturally account for the “super-recovery” in the peak transconductance.

  13. Semiconducting ZnSnN{sub 2} thin films for Si/ZnSnN{sub 2} p-n junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin, Ruifeng; Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, and Key Laboratory of Additive Manufacturing Materials of Zhejiang Province, Ningbo 315201; Cao, Hongtao

    ZnSnN{sub 2} is regarded as a promising photovoltaic absorber candidate due to earth-abundance, non-toxicity, and high absorption coefficient. However, it is still a great challenge to synthesize ZnSnN{sub 2} films with a low electron concentration, in order to promote the applications of ZnSnN{sub 2} as the core active layer in optoelectronic devices. In this work, polycrystalline and high resistance ZnSnN{sub 2} films were fabricated by magnetron sputtering technique, then semiconducting films were achieved after post-annealing, and finally Si/ZnSnN{sub 2} p-n junctions were constructed. The electron concentration and Hall mobility were enhanced from 2.77 × 10{sup 17} to 6.78 × 10{sup 17 }cm{sup −3} and frommore » 0.37 to 2.07 cm{sup 2} V{sup −1} s{sup −1}, corresponding to the annealing temperature from 200 to 350 °C. After annealing at 300 °C, the p-n junction exhibited the optimum rectifying characteristics, with a forward-to-reverse ratio over 10{sup 3}. The achievement of this ZnSnN{sub 2}-based p-n junction makes an opening step forward to realize the practical application of the ZnSnN{sub 2} material. In addition, the nonideal behaviors of the p-n junctions under both positive and negative voltages are discussed, in hope of suggesting some ideas to further improve the rectifying characteristics.« less

  14. Connected Au network in annealed Ni/Au thin films on p-GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, S. P.; Jang, H. W.; Noh, D. Y.

    2007-11-12

    We report the formation of a connected Au network in annealed Ni/Au thin films on p-GaN, which was studied by scanning electron microscopy, transmission electron microscopy, and synchrotron x-ray diffraction. As the Ni was oxidized into NiO upon annealing at 530 deg. C in air, the Au layer was transformed to an interconnected network with an increased thickness. During annealing, Ni atoms diffuse out onto the Au through defects to form NiO, while Au atoms replace the Ni positions. The Au network grows downward until it reaches the p-GaN substrate, and NiO columns fill the space between the Au network.

  15. Effect of Hydrogen Post-Annealing on Transparent Conductive ITO/Ga2O3 Bi-Layer Films for Deep Ultraviolet Light-Emitting Diodes.

    PubMed

    Kim, Kyeong Heon; Kim, Su Jin; Park, Sang Young; Kim, Tae Geun

    2015-10-01

    The effect of hydrogen post-annealing on the electrical and optical properties of ITO/Ga2O bi-layer films, deposited by RF magnetron sputtering, is investigated for potential applications to transparent conductive electrodes of ultraviolet (UV) light-emitting diodes. Three samples--an as-deposited sample and two samples post-annealed in N2 gas and N2-H2 gas mixture--were prepared and annealed at different temperatures ranging from 100 °C to 500 °C for comparison. Among these samples, the sample annealed at 300 °C in a mixture of N2 and H2 gases shows the lowest sheet resistance of 301.3 Ω/square and a high UV transmittance of 87.1% at 300 nm.

  16. Recombination reduction at the c-Si/RCA oxide interface through Ar-H2 plasma treatment

    NASA Astrophysics Data System (ADS)

    Landheer, Kees; Bronsveld, Paula C. P.; Poulios, Ioannis; Tichelaar, Frans D.; Kaiser, Monja; Schropp, Ruud E. I.; Rath, Jatin K.

    2017-02-01

    An Ar-H2 plasma treatment was applied on an ultrathin RCA oxide to create well-passivated silicon wafers with symmetric c-Si/SiOx:H/a-Si:H passivation layer stacks. The effective lifetime of these samples increased from 10 μs to 4 ms after annealing at 200 °C through Ar-H2 plasma treatment of the oxide. The results indicate that the plasma treatment can modify the RCA oxide and this enables atomic hydrogen diffusion at low annealing temperature, leading to a well passivated c-Si/SiOx:H interface. This might provide new possibilities to use wet chemical oxides in c-Si solar cells, for example as tunnel contacts.

  17. Two-temperature transport coefficients of SF{sub 6}–N{sub 2} plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Fei; Chen, Zhexin; Wu, Yi, E-mail: wuyic51@mail.xjtu.edu.cn

    Sulfur hexafluoride (SF{sub 6}) is widely adopted in electric power industry, especially in high-voltage circuit breakers and gas-insulated switchgear. However, the use of SF{sub 6} is limited by its high liquidation temperature and high global warming potential. Recently, research shows SF{sub 6}–N{sub 2} mixture, which shows environmental friendliness and good electrical properties, may be a feasible substitute for pure SF{sub 6}. This paper is devoted to the calculation of and transport coefficients of SF{sub 6}–N{sub 2} mixture under both LTE (local thermodynamic equilibrium) and non-LTE condition. The two–temperature mass action law was used to determine the composition. The transport coefficientsmore » were calculated by classical Chapman–Enskog method simplified by Devoto. The thermophysical properties are presented for electron temperatures of 300–40 000 K, ratios of electron to heavy species temperature of 1–10 and N{sub 2} mole fraction of 0%–100% at atmospheric pressure. The ionization processes under both LTE and non-LTE have been discussed. The results show that deviations from local thermodynamic equilibrium significantly affect the properties of SF{sub 6}–N{sub 2} plasma, especially before the plasma is fully ionized. The different influence of N{sub 2} on properties for SF{sub 6}–N{sub 2} plasma in and out of LTE has been found. The results will serve as reliable reference data for computational simulation of the behavior of SF{sub 6}–N{sub 2} plasmas.« less

  18. Schottky barrier parameters and structural properties of rapidly annealed Zr Schottky electrode on p-type GaN

    NASA Astrophysics Data System (ADS)

    Rajagopal Reddy, V.; Asha, B.; Choi, Chel-Jong

    2017-06-01

    The Schottky barrier junction parameters and structural properties of Zr/p-GaN Schottky diode are explored at various annealing temperatures. Experimental analysis showed that the barrier height (BH) of the Zr/p-GaN Schottky diode increases with annealing at 400 °C (0.92 eV (I-V)/1.09 eV (C-V)) compared to the as-deposited one (0.83 eV (I-V)/0.93 eV (C-V)). However, the BH decreases after annealing at 500 °C. Also, at different annealing temperatures, the series resistance and BH are assessed by Cheung's functions and their values compared. Further, the interface state density (N SS) of the diode decreases after annealing at 400 °C and then somewhat rises upon annealing at 500 °C. Analysis reveals that the maximum BH is obtained at 400 °C, and thus the optimum annealing temperature is 400 °C for the diode. The XPS and XRD analysis revealed that the increase in BH may be attributed to the creation of Zr-N phases with increasing annealing up to 400 °C. The BH reduces for the diode annealed at 500 °C, which may be due to the formation of Ga-Zr phases at the junction. The AFM measurements reveal that the overall surface roughness of the Zr film is quite smooth during rapid annealing process. Project supported by the R&D Program for Industrial Core Technology (No. 10045216) and the Transfer Machine Specialized Lighting Core Technology Development Professional Manpower Training Project (No. N0001363) Funded by the Ministry of Trade, Industry and Energy (MOTIE), Republic of Korea.

  19. Optimization of Urea-EnFET Based on Ta2O5 Layer with Post Annealing

    PubMed Central

    Lue, Cheng-En; Yu, Ting-Chun; Yang, Chia-Ming; Pijanowska, Dorota G.; Lai, Chao-Sung

    2011-01-01

    In this study, the urea-enzymatic field effect transistors (EnFETs) were investigated based on pH-ion sensitive field effect transistors (ISFETs) with tantalum pentoxide (Ta2O5) sensing membranes. In addition, a post N2 annealing was used to improve the sensing properties. At first, the pH sensitivity, hysteresis, drift, and light induced drift of the ISFETs were evaluated. After the covalent bonding process and urease immobilization, the urea sensitivity of the EnFETs were also investigated and compared with the conventional Si3N4 sensing layer. The ISFETs and EnFETs with annealed Ta2O5 sensing membranes showed the best responses, including the highest pH sensitivity (56.9 mV/pH, from pH 2 to pH 12) and also corresponded to the highest urea sensitivity (61 mV/pCurea, from 1 mM to 7.5 mM). Besides, the non-ideal factors of pH hysteresis, time drift, and light induced drift of the annealed samples were also lower than the controlled Ta2O5 and Si3N4 sensing membranes. PMID:22163862

  20. Optimization of urea-EnFET based on Ta2O5 layer with post annealing.

    PubMed

    Lue, Cheng-En; Yu, Ting-Chun; Yang, Chia-Ming; Pijanowska, Dorota G; Lai, Chao-Sung

    2011-01-01

    In this study, the urea-enzymatic field effect transistors (EnFETs) were investigated based on pH-ion sensitive field effect transistors (ISFETs) with tantalum pentoxide (Ta(2)O(5)) sensing membranes. In addition, a post N(2) annealing was used to improve the sensing properties. At first, the pH sensitivity, hysteresis, drift, and light induced drift of the ISFETs were evaluated. After the covalent bonding process and urease immobilization, the urea sensitivity of the EnFETs were also investigated and compared with the conventional Si(3)N(4) sensing layer. The ISFETs and EnFETs with annealed Ta(2)O(5) sensing membranes showed the best responses, including the highest pH sensitivity (56.9 mV/pH, from pH 2 to pH 12) and also corresponded to the highest urea sensitivity (61 mV/pC(urea), from 1 mM to 7.5 mM). Besides, the non-ideal factors of pH hysteresis, time drift, and light induced drift of the annealed samples were also lower than the controlled Ta(2)O(5) and Si(3)N(4) sensing membranes.

  1. Single photon emission from plasma treated 2D hexagonal boron nitride.

    PubMed

    Xu, Zai-Quan; Elbadawi, Christopher; Tran, Toan Trong; Kianinia, Mehran; Li, Xiuling; Liu, Daobin; Hoffman, Timothy B; Nguyen, Minh; Kim, Sejeong; Edgar, James H; Wu, Xiaojun; Song, Li; Ali, Sajid; Ford, Mike; Toth, Milos; Aharonovich, Igor

    2018-05-03

    Artificial atomic systems in solids are becoming increasingly important building blocks in quantum information processing and scalable quantum nanophotonic networks. Amongst numerous candidates, 2D hexagonal boron nitride has recently emerged as a promising platform hosting single photon emitters. Here, we report a number of robust plasma and thermal annealing methods for fabrication of emitters in tape-exfoliated hexagonal boron nitride (hBN) crystals. A two-step process comprising Ar plasma etching and subsequent annealing in Ar is highly robust, and yields an eight-fold increase in the concentration of emitters in hBN. The initial plasma-etching step generates emitters that suffer from blinking and bleaching, whereas the two-step process yields emitters that are photostable at room temperature with emission wavelengths greater than ∼700 nm. Density functional theory modeling suggests that the emitters might be associated with defect complexes that contain oxygen. This is further confirmed by generating the emitters via annealing hBN in air. Our findings advance the present understanding of the structure of quantum emitters in hBN and enhance the nanofabrication toolkit needed to realize integrated quantum nanophotonic circuits.

  2. Degradation and annealing effects caused by oxygen in AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Jiang, R.; Shen, X.; Chen, J.; Duan, G. X.; Zhang, E. X.; Fleetwood, D. M.; Schrimpf, R. D.; Kaun, S. W.; Kyle, E. C. H.; Speck, J. S.; Pantelides, S. T.

    2016-07-01

    Hot-carrier degradation and room-temperature annealing effects are investigated in unpassivated ammonia-rich AlGaN/GaN high electron mobility transistors. Devices exhibit a fast recovery when annealed after hot carrier stress with all pins grounded. The recovered peak transconductance can exceed the original value, an effect that is not observed in control passivated samples. Density functional theory calculations suggest that dehydrogenation of pre-existing ON-H defects in AlGaN plays a significant role in the observed hot carrier degradation, and the resulting bare ON can naturally account for the "super-recovery" in the peak transconductance.

  3. Synthesis of Nano-Size AlN Powders by Carbothermal Reduction from Plasma-Assisted Ball Milling Precursor

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Wang, Wenchun; Yang, Dezheng; Wang, Sen; Dai, Leyang

    2016-07-01

    Nano-size aluminum nitride (AlN) powders have been successfully synthesized with a high efficiency method through annealing from milling assisted by discharge plasma (p-milling) alumina (Al2O3) precursors. The characterization of the p-milling Al2O3 powders and the synthesized AlN are investigated. Compared to conventional ball milling (c-milling), it can be found that the precursors by p-milling have a finer grain size with a higher specific surface area, which lead to a faster reaction efficiency and higher conversion to AlN at lower temperatures. The activation energy of p-milling Al2O3 is found to be 371.5 kJ/mol, a value that is much less than the reported value of the unmilled and the conventional milled Al2O3. Meanwhile, the synthesized AlN powders have unique features, such as an irregular lamp-like morphology with uniform particle distribution and fine average particle size. The results are attributed to the unique synergistic effect of p-milling, which is the effect of deformation, fracture, and cold welding of Al2O3 powders resulting from ball milling, that will be enhanced due to the introduction of discharge plasma. supported by National Natural Science Foundation of China (No. 51177008)

  4. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Minami, Masaki; Tomiya, Shigetaka; Ishikawa, Kenji; Matsumoto, Ryosuke; Chen, Shang; Fukasawa, Masanaga; Uesawa, Fumikatsu; Sekine, Makoto; Hori, Masaru; Tatsumi, Tetsuya

    2011-08-01

    GaN-based optical devices are fabricated using a GaN/InGaN/GaN sandwiched structure. The effect of radicals, ions, and UV light on the GaN optical properties during Cl2/SiCl4/Ar plasma etching was evaluated using photoluminescence (PL) analysis. The samples were exposed to plasma (radicals, ions, and UV light) using an inductively coupled plasma (ICP) etching system and a plasma ion beam apparatus that can separate the effects of UV and ions both with and without covering the SiO2 window on the surface. Etching damage in an InGaN single quantum well (SQW) was formed by exposing the sample to plasma. The damage, which decreases PL emission intensity, was generated not only by ion beam irradiation but also by UV light irradiation. PL intensity decreased when the thickness of the upper GaN layer was etched to less than 60 nm. In addition, simultaneous irradiation of UV light and ions slightly increased the degree of damage. There seems to be a synergistic effect between the UV light and the ions. For high-quality GaN-based optoelectronics and power devices, UV light must be controlled during etching processes in addition to the etching profile, selectivity, and ion bombardment damage.

  5. Effect of post annealing on spin accumulation and transport signals in Co{sub 2}FeSi/MgO/n{sup +}-Si on insulator devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tiwari, Ajay, E-mail: ajay1.tiwari@toshiba.co.jp; Inokuchi, Tomoaki; Ishikawa, Mizue

    The post annealing temperature dependence of spin accumulation and transport signals in Co{sub 2}FeSi/MgO/n{sup +}-Si on insulator were investigated. The spin signals were detected using 3- and 4-terminal Hanle, 2-terminal local and 4-terminal nonlocal magnetoresistance measurements. The post annealing temperature (T{sub A}) dependence of the magnitude in 3-terminal narrow Hanle signals is nearly constant up to T{sub A} < 400°C, however a slight decrease above T{sub A} ≥ 400°C is observed. This behavior is consistent with the T{sub A} dependence of the magnitude of 4-terminal nonlocal magnetoresistance (MR) signals. The spin polarization estimated from the 3-terminal narrow Hanle signals andmore » the magnitude of 2-terminal local MR signals show a slight improvement with increasing post annealing temperature with a peak at around 325°C and then start reducing slowly. The slight increase in the spin signal would be due to high spin polarization of Co{sub 2}FeSi as a result of structural ordering. The 2-terminal local MR signals do not vary significantly by annealing between as-deposited and T{sub A} = 400°C, indicating the robustness of our device. This result would be useful for future Si spintronics devices.« less

  6. Effects on external quantum efficiency of electrochemically constructed n-ZnO/p-Cu2O photovoltaic device by annealing

    NASA Astrophysics Data System (ADS)

    Khoo, Pei Loon; Kikkawa, Yuuki; Shinagawa, Tsutomu; Izaki, Masanobu

    2017-07-01

    Cuprous oxide (Cu2O), a terrestrial abundant, low cost, nontoxic, intrinsically p-type oxide semiconductor with bandgap energy of about 2eV, has recently received increasing attention as a light absorbing layer in solar cells. However, the performances of electrochemically constructed Cu2O solar devices are poor compared to the theoretical power conversion efficiency. This research was conducted focusing on the EQE performance, which is closely related to the short circuit current of a solar device. ZnO/Cu2O-PV-devices were constructed electrochemically with 3-electrode cell on Ga:ZnO/SLG substrates; ZnO layers were deposited from an aqueous solution of 8 mmolL-1 zinc nitrate hexahydrate at 63°C, 0.01 Coulomb cm-2, and -0.8V, while Cu2O layers were deposited from aqueous solution containing 0.4 molL-1 copper (II) acetate monohydrate (pH12.5), at 40°C, 1.5 Coulomb cm-2, and -0.4V. Devices were then annealed under different temperatures of 150°C, 200°C, 250°C, and 300°C for 60 minutes with a Rapid Thermal Anneal furnace (RTA). The EQE of the devices were measured with a spectral sensitivity device and compared to the non-annealed device. Further studies were made such as morphology observation of the films by FE-SEM and measurements of X-ray diffraction patterns. Annealed samples showed improved maximum EQE at 150-200°C of annealing, indicating that EQE above 90% can be achieved, proving the validity of EQE improvement via low temperature annealing method for thin film Cu2O photovoltaic devices.

  7. Enhancement of the barrier performance in organic/inorganic multilayer thin-film structures by annealing of the parylene layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Namsu, E-mail: nkim@keti.re.kr; Components and Materials Physics Research Center, #68 Yatop-dong, Korea Electronics Technology Institute, Bundang-gu, 463-816; Graham, Samuel

    2014-10-15

    Highlights: • High performance thin-film barrier structure for encapsulation was fabricated. • By annealing parylene in encapsulation structure, the barrier performance was improved. • The effective water vapor transmission rate is 7.2 ± 3.0 × 10{sup −6} g/m{sup 2}/day. - Abstract: A multilayered barrier structure was fabricated by chemical vapor deposition of parylene and subsequent plasma-enhanced chemical vapor deposition of SiO{sub x} or SiN{sub x}. The barrier performance against water vapor ingress was significantly improved by annealing the parylene layer before the deposition of either SiO{sub x} or SiN{sub x}. The mechanism of this enhancement was investigated using atomic forcemore » microscopy, Raman spectroscopy, and X-ray diffraction. The surface roughness of the parylene before the deposition of either SiO{sub x} or SiN{sub x} was found to correlate closely with the barrier performance of the multilayered structures. In addition, removing absorbed water vapor in the film by annealing results in a lower water vapor transmission rate in the transient region and a longer lag time. Annealing the parylene leads to a large decrease in the effective water vapor transmission rate, which reaches 7.2 ± 3.0 × 10{sup −6} g/m{sup 2}/day.« less

  8. Defect annealing and thermal desorption of deuterium in low dose HFIR neutron-irradiated tungsten

    NASA Astrophysics Data System (ADS)

    Shimada, Masashi; Hara, Masanori; Otsuka, Teppei; Oya, Yasuhisa; Hatano, Yuji

    2015-08-01

    Three tungsten samples irradiated at High Flux Isotope Reactor at Oak Ridge National Laboratory were exposed to deuterium plasma (ion fluence of 1 × 1026 m-2) at three different temperatures (100, 200, and 500 °C) in Tritium Plasma Experiment at Idaho National Laboratory. Subsequently, thermal desorption spectroscopy was performed with a ramp rate of 10 °C min-1 up to 900 °C, and the samples were annealed at 900 °C for 0.5 h. These procedures were repeated three times to uncover defect-annealing effects on deuterium retention. The results show that deuterium retention decreases approximately 70% for at 500 °C after each annealing, and radiation damages were not annealed out completely even after the 3rd annealing. TMAP modeling revealed the trap concentration decreases approximately 80% after each annealing at 900 °C for 0.5 h.

  9. Enhancement of visible photoluminescence in the SiNx films by SiO2 buffer and annealing

    NASA Astrophysics Data System (ADS)

    Xu, M.; Xu, S.; Chai, J. W.; Long, J. D.; Ee, Y. C.

    2006-12-01

    The authors report a simple method to significantly enhance the photoluminescence (PL) of SiNx films by incorporating a SiO2 buffer and annealing treatment under N2 protection. Strong visible PL is achieved with annealing temperature above 650°C. Optimal PL is obtained at 800°C. The composition and structure analysis reveal that strong PL is directly related to the content of the Si-O and Si-N bonds in the SiNx films. These bonds provide effective luminescent centers and passivate the interface between Si core and the surrounding oxide.

  10. Effect of Rapid Thermal Annealing on the Electrical Characteristics of ZnO Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Remashan, Kariyadan; Hwang, Dae-Kue; Park, Seong-Ju; Jang, Jae-Hyung

    2008-04-01

    Thin-film transistors (TFTs) with a bottom-gate configuration were fabricated with an RF magnetron sputtered undoped zinc oxide (ZnO) channel layer and plasma-enhanced chemical vapor deposition (PECVD) grown silicon nitride as a gate dielectric. Postfabrication rapid thermal annealing (RTA) and subsequent nitrous oxide (N2O) plasma treatment were employed to improve the performance of ZnO TFTs in terms of on-current and on/off current ratio. The RTA treatment increases the on-current of the TFT significantly, but it also increases its off-current. The off-current of 2×10-8 A and on/off current ratio of 3×103 obtained after the RTA treatment were improved to 10-10 A and 105, respectively, by the subsequent N2O plasma treatment. The better device performance can be attributed to the reduction of oxygen vacancies at the top region of the channel due to oxygen incorporation from the N2O plasma. X-ray photoelectron spectroscopy (XPS) analysis of the TFT samples showed that the RTA-treated ZnO surface has more oxygen vacancies than as-deposited samples, which results in the increased drain current. The XPS study also showed that the subsequent N2O plasma treatment reduces oxygen vacancies only at the surface of ZnO so that the better off-current and on/off current ratio can be obtained.

  11. Enhancement of thermoelectric power factor of Sr2CoMoO6 double perovskite by annealing in reducing atmosphere

    NASA Astrophysics Data System (ADS)

    Tanwar, Khagesh; Saxena, Mandvi; Maiti, Tanmoy

    2017-10-01

    In general, n-type thermoelectric materials are rather difficult to design. This study particularly pivoted on designing potential environmentally benign oxides based n-type thermoelectric material. We synthesized Sr2CoMoO6 (SCMO) polycrystalline ceramics via the solid-state synthesis route. XRD, SEM, and thermoelectric measurements were carried out for phase constitution, microstructure analysis, and to determine its potential for thermoelectric applications. As-sintered SCMO sample showed an insulator like behavior till 640 °C after which it exhibited an n-type non-degenerate semiconductor behavior followed by a p-n type conduction switching. To stabilize a high temperature n-type behavior, annealing of SCMO in reducing atmosphere (H2) at 1000 °C was carried out. After annealing, the SCMO demonstrated an n-type semiconductor behavior throughout the temperature range of measurement. The electrical conductivity (σ) and the power factor (S2σ) were found to be increased manifold in the annealed SCMO double perovskite.

  12. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  13. Self-Healing Thermal Annealing: Surface Morphological Restructuring Control of GaN Nanorods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Conroy, Michele; Li, Haoning; Zubialevich, Vitaly Z.

    With advances in nanolithography and dry etching, top-down methods of nanostructuring have become a widely used tool for improving the efficiency of optoelectronics. These nano dimensions can offer various benefits to the device performance in terms of light extraction and efficiency, but often at the expense of emission color quality. Broadening of the target emission peak and unwanted yellow luminescence are characteristic defect-related effects due to the ion beam etching damage, particularly for III–N based materials. In this article we focus on GaN based nanorods, showing that through thermal annealing the surface roughness and deformities of the crystal structure canmore » be “self-healed”. Correlative electron microscopy and atomic force microscopy show the change from spherical nanorods to faceted hexagonal structures, revealing the temperature-dependent surface morphology faceting evolution. The faceted nanorods were shown to be strain- and defect-free by cathodoluminescence hyperspectral imaging, micro-Raman, and transmission electron microscopy (TEM). In-situ TEM thermal annealing experiments allowed for real time observation of dislocation movements and surface restructuring observed in ex-situ annealing TEM sampling. This thermal annealing investigation gives new insight into the redistribution path of GaN material and dislocation movement post growth, allowing for improved understanding and in turn advances in optoelectronic device processing of compound semiconductors.« less

  14. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  15. The quenching effect of hydrogen on the nitrogen in metastable state in atmospheric-pressure N{sub 2}-H{sub 2} microwave plasma torch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shou-Zhe, E-mail: lisz@dlut.edu.cn; Zhang, Xin; Chen, Chuan-Jie

    2014-07-15

    The atmospheric-pressure microwave N{sub 2}-H{sub 2} plasma torch is generated and diagnosed by optical emission spectroscopy. It is found that a large amount of N atoms and NH radicals are generated in the plasma torch and the emission intensity of N{sub 2}{sup +} first negative band is the strongest over the spectra. The mixture of hydrogen in nitrogen plasma torch causes the morphology of the plasma discharge to change with appearance that the afterglow shrinks greatly and the emission intensity of N{sub 2}{sup +} first negative band decreases with more hydrogen mixed into nitrogen plasma. In atmospheric-pressure microwave-induced plasma torch,more » the hydrogen imposes a great influence on the characteristics of nitrogen plasma through the quenching effect of the hydrogen on the metastable state of N{sub 2}.« less

  16. Determination of 5-hydroxy-N-methyl-2-pyrrolidone and 2-hydroxy-N-methylsuccinimide in human plasma and urine using liquid chromatography-electrospray tandem mass spectrometry.

    PubMed

    Carnerup, M A; Akesson, B; Jönsson, B A

    2001-09-15

    A method for simultaneous determination of 5-hydroxy-N-methyl-2-pyrrolidone (5-HNMP) and 2-hydroxy-N-methylsuccinimide (2-HMSI) was developed. These compounds are metabolites from N-methyl-2-pyrrolidone (NMP), a powerful and widely used organic solvent. 5-HNMP and 2-HMSI were purified from plasma and urine by solid-phase extraction using Isolute ENV+ columns, and analysed by liquid chromatography coupled to a mass spectrometer fitted with an atmospheric pressure turbo ion spray ionisation interface in the positive ion mode. The method was validated for plasma and urine concentrations from 0.12 to 25 microg/ml. The recoveries for 5-HNMP and 2-HMSI in plasma were 99 and 98%, respectively, and in urine 111 and 106%, respectively. For 5-HNMP and 2-HMSI, the within-day precision in plasma was 1-4 and 3-6%, respectively, and in urine 2-12 and 3-10%, respectively. The corresponding data for the between-day precision was 5 and 3-6%, respectively, and 4-6 and 7-8%, respectively. The detection limit for 5-HNMP was 4 ng/ml in plasma and 120 ng/ml in urine. For 2-HMSI, it was 5 ng/ml in plasma and 85 ng/ml in urine. The method is applicable for analysis of plasma and urine samples from workers exposed to NMP.

  17. Angular filter refractometry analysis using simulated annealing [An improved method for characterizing plasma density profiles using angular filter refractometry

    DOE PAGES

    Angland, P.; Haberberger, D.; Ivancic, S. T.; ...

    2017-10-30

    Here, a new method of analysis for angular filter refractometry images was developed to characterize laser-produced, long-scale-length plasmas using an annealing algorithm to iterative converge upon a solution. Angular filter refractometry (AFR) is a novel technique used to characterize the density pro files of laser-produced, long-scale-length plasmas. A synthetic AFR image is constructed by a user-defined density profile described by eight parameters, and the algorithm systematically alters the parameters until the comparison is optimized. The optimization and statistical uncertainty calculation is based on a minimization of themore » $$\\chi$$2 test statistic. The algorithm was successfully applied to experimental data of plasma expanding from a flat, laser-irradiated target, resulting in average uncertainty in the density profile of 5-10% in the region of interest.« less

  18. Angular filter refractometry analysis using simulated annealing [An improved method for characterizing plasma density profiles using angular filter refractometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Angland, P.; Haberberger, D.; Ivancic, S. T.

    Here, a new method of analysis for angular filter refractometry images was developed to characterize laser-produced, long-scale-length plasmas using an annealing algorithm to iterative converge upon a solution. Angular filter refractometry (AFR) is a novel technique used to characterize the density pro files of laser-produced, long-scale-length plasmas. A synthetic AFR image is constructed by a user-defined density profile described by eight parameters, and the algorithm systematically alters the parameters until the comparison is optimized. The optimization and statistical uncertainty calculation is based on a minimization of themore » $$\\chi$$2 test statistic. The algorithm was successfully applied to experimental data of plasma expanding from a flat, laser-irradiated target, resulting in average uncertainty in the density profile of 5-10% in the region of interest.« less

  19. Effect of annealing atmosphere on properties of Cu2ZnSn(S,Se)4 thin films

    NASA Astrophysics Data System (ADS)

    Xue, Yuming; Yu, Bingbing; Li, Wei; Feng, Shaojun; Wang, Yukun; Huang, Shengming; Zhang, Chao; Qiao, Zaixiang

    2017-12-01

    Earth-abundant Cu2ZnSn(S,Se)4(CZTSSe) thin film photovoltaic absorber layers were fabricated by co-evaporated Cu, ZnS, SnS and Se sources in a vacuum chamber followed by annealing at tubular furnace for 30 min at 550 °C. In this paper, we investigated the metal elements with stoichiometric ratio film to study the effect of annealing conditions of Se, SnS + Se, S and SnS + S atmosphere on the structure, surface morphological, optical and electrical properties of Cu2ZnSn(S,Se)4 thin films respectively. These films were characterized by Inductively Coupled Plasma-Mass Spectrometer, scanning electron microscopy, X-ray diffraction to investigate the composition, morphological and crystal structural properties. The grain size of samples were found to increase after annealing. XRD patterns confirmed the formation of pure polycrystalline CZTSSe thin films at S atmosphere, the optical band gaps are 1.02, 1.05, 1.23, 1.35 eV for Se, SnS + Se, SnS + S and S atmosphere respectively.

  20. Global minimum-energy structure and spectroscopic properties of I2(*-) x n H2O clusters: a Monte Carlo simulated annealing study.

    PubMed

    Pathak, Arup Kumar; Mukherjee, Tulsi; Maity, Dilip Kumar

    2010-01-18

    The vibrational (IR and Raman) and photoelectron spectral properties of hydrated iodine-dimer radical-anion clusters, I(2)(*-) x n H(2)O (n=1-10), are presented. Several initial guess structures are considered for each size of cluster to locate the global minimum-energy structure by applying a Monte Carlo simulated annealing procedure including spin-orbit interaction. In the Raman spectrum, hydration reduces the intensity of the I-I stretching band but enhances the intensity of the O-H stretching band of water. Raman spectra of more highly hydrated clusters appear to be simpler than the corresponding IR spectra. Vibrational bands due to simultaneous stretching vibrations of O-H bonds in a cyclic water network are observed for I(2)(*-) x n H(2)O clusters with n > or = 3. The vertical detachment energy (VDE) profile shows stepwise saturation that indicates closing of the geometrical shell in the hydrated clusters on addition of every four water molecules. The calculated VDE of finite-size small hydrated clusters is extrapolated to evaluate the bulk VDE value of I(2)(*-) in aqueous solution as 7.6 eV at the CCSD(T) level of theory. Structure and spectroscopic properties of these hydrated clusters are compared with those of hydrated clusters of Cl(2)(*-) and Br(2)(*-).

  1. Multicycle rapid thermal annealing technique and its application for the electrical activation of Mg implanted in GaN

    NASA Astrophysics Data System (ADS)

    Feigelson, B. N.; Anderson, T. J.; Abraham, M.; Freitas, J. A.; Hite, J. K.; Eddy, C. R.; Kub, F. J.

    2012-07-01

    No reliable results were reported up-to-date on electrical activation of Mg implanted GaN without co-doping with other ions. The main reason of the poor ion-implanted activation in GaN is lack of the adequate GaN annealing technique. We have developed a new approach, Multicycle Rapid Thermal Annealing to overcome this limitation and enable longer annealing times at high temperature. We have applied this new technique to Mg-implanted GaN, and demonstrated p-type conductivity.

  2. Radiation damage annealing mechanisms and possible low temperature annealing in silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.

    1980-01-01

    Deep level transient spectroscopy and the Shockley-Read-Hall recombination theory are used to identify the defect responsible for reverse annealing in 2 ohm-cm n+/p silicon solar cells. This defect, with energy level at Ev + 0.30 eV, has been tentatively identified as a boron-oxygen-vacancy complex. It has been also determined by calculation that the removal of this defect could result in significant annealing at temperatures as low as 200 C for 2 ohm-cm and lower resistivity cells.

  3. Optimization of process parameters in the RF-DC plasma N2-H2 for AISI420 molds and dies

    NASA Astrophysics Data System (ADS)

    Herdianto, Hengky; Djoko, D. J.; Santjojo, H.; Masruroh

    2017-11-01

    The RF-DC plasma N2-H2 was used to make precise AISI420 molds and dies have complex textured geometry. The quality of the molds and dies directly affect the quality of the produced parts. The excellent examples of molds were used for injection molding lenses and dies used for the precision forging of automotive drive train components. In this study, a temperature, DC bias, and duration as process parameters of the RF-DC plasma N2-H2 have been optimized for molds and dies fabrication. The mask-less micro-patterned method was utilized to draw the initial 2D micro patterns directly onto the AISI420 substrate surface. The unprinted substrate surfaces were selectively nitrided by the RF-DC plasma N2-H2 at 673 K for 5400 s by 70 Pa with hollow cathode device. Energy Dispersive X-ray was utilized to describe the nitrogen content distribution at the vicinity of the border between the unprinted surfaces. This exclusive nitrogen mapping proves that only the unprinted parts of the substrate have high content nitrogen solutes. XRD analysis was performed to investigate whether the iron nitrides were precipitated by RF-DC plasma N2-H2 in the AISI420.

  4. Degradation of 2DEG transport properties in GaN-capped AlGaN/GaN heterostructures at 600 °C in oxidizing and inert environments

    NASA Astrophysics Data System (ADS)

    Hou, Minmin; Jain, Sambhav R.; So, Hongyun; Heuser, Thomas A.; Xu, Xiaoqing; Suria, Ateeq J.; Senesky, Debbie G.

    2017-11-01

    In this paper, the electron mobility and sheet density of the two-dimensional electron gas (2DEG) in both air and argon environments at 600 °C were measured intermittently over a 5 h duration using unpassivated and Al2O3-passivated AlGaN/GaN (with 3 nm GaN cap) van der Pauw test structures. The unpassivated AlGaN/GaN heterostructures annealed in air showed the smallest decrease (˜8%) in 2DEG electron mobility while Al2O3-passivated samples annealed in argon displayed the largest drop (˜70%) based on the Hall measurements. Photoluminescence and atomic force microscopy showed that minimal strain relaxation and surface roughness changes have occurred in the unpassivated samples annealed in air, while those with Al2O3 passivation annealed in argon showed significant microstructural degradations. This suggests that cracks developed in the samples annealed in air were healed by oxidation reactions. To further confirm this, Auger electron spectroscopy was conducted on the unpassivated samples after the anneal in air and results showed that extra surface oxides have been generated, which could act as a dislocation pinning layer to suppress the strain relaxation in AlGaN. On the other hand, similar 2DEG sheet densities were observed in passivated and unpassivated AlGaN/GaN samples at the end of the 5-h anneal in air or argon due to the combined impact of strain relaxation and changes in the ionized electronic states. The results support the use of unpassivated GaN-capped AlGaN/GaN heterostructures as the material platform for high-temperature electronics and sensors used in oxidizing environmental conditions.

  5. Formation of Ge nanoparticles in SiO xN y by ion implantation and thermal annealing

    DOE PAGES

    Mirzaei, Sahar; Kremer, F.; Sprouster, D. J.; ...

    2015-10-20

    Germanium nanoparticles embedded within dielectric matrices hold much promise for applications in optoelectronic and electronic devices. Here we investigate the formation of Ge nanoparticles in amorphous SiO 1.67N 0.14 as a function of implanted atom concentration and thermal annealing temperature. Using x-ray absorption spectroscopy and other complementary techniques, we show Ge nanoparticles exhibit significant finite-size effects such that the coordination number decreases and structural disorder increases as the nanoparticle size decreases. While the composition of SiO 1.67N 0.14 is close to that of SiO 2, we demonstrate that the addition of this small fraction of N yields a much reducedmore » nanoparticle size relative to those formed in SiO 2 under comparable implantation and annealing conditions. We attribute this difference to an increase in an atomic density and a much reduced diffusivity of Ge in the oxynitride matrix. Finally, these results demonstrate the potential for tailoring Ge nanoparticle sizes and structural properties in the SiO xN y matrices by controlling the oxynitride stoichiometry.« less

  6. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  7. Effects of post-deposition annealing ambient on band alignment of RF magnetron-sputtered Y2O3 film on gallium nitride

    PubMed Central

    2013-01-01

    The effects of different post-deposition annealing ambients (oxygen, argon, forming gas (95% N2 + 5% H2), and nitrogen) on radio frequency magnetron-sputtered yttrium oxide (Y2O3) films on n-type gallium nitride (GaN) substrate were studied in this work. X-ray photoelectron spectroscopy was utilized to extract the bandgap of Y2O3 and interfacial layer as well as establishing the energy band alignment of Y2O3/interfacial layer/GaN structure. Three different structures of energy band alignment were obtained, and the change of band alignment influenced leakage current density-electrical breakdown field characteristics of the samples subjected to different post-deposition annealing ambients. Of these investigated samples, ability of the sample annealed in O2 ambient to withstand the highest electric breakdown field (approximately 6.6 MV/cm) at 10−6 A/cm2 was related to the largest conduction band offset of interfacial layer/GaN (3.77 eV) and barrier height (3.72 eV). PMID:23360596

  8. Vanadium-based Ohmic contacts to n-AlGaN in the entire alloy composition

    NASA Astrophysics Data System (ADS)

    France, Ryan; Xu, Tao; Chen, Papo; Chandrasekaran, R.; Moustakas, T. D.

    2007-02-01

    The authors report on the formation and evaluation of V-based Ohmic contacts to n-AlGaN films in the entire alloy composition. The films were produced by plasma assisted molecular beam epitaxy and doped n-type with Si. The conductivity of the films was determined to vary from 103to10-2(Ωcm )-1 as the AlN mole fraction increases from 0% to 100%. Ohmic contacts were formed by e-beam evaporation of V(15nm )/Al(80nm)/V(20nm)/Au(100nm). These contacts were rapid thermal annealed in N2 for 30s at various temperatures. The optimum annealing temperature for this contact scheme to n-GaN is about 650°C and increases monotonically to about 1000°C for 95%-100% AlN mole fraction. The specific contact resistivity was found to be about 10-6Ωcm2 for all films up to 70% AlN mole fraction and then increases to 0.1-1Ωcm2 for films from 95%-100% AlN mole fraction. These results were accounted for by hypothesizing that vanadium, upon annealing, interacts with the nitride film and forms vanadium nitride, which is consistent with reports that it is a metal with low work function.

  9. Influence of Thermal Annealing on Free Carrier Concentration in (GaN) 1–x(ZnO) x Semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Huafeng; Sklute, Elizabeth C.; Lehuta, Keith A.

    It has been previously demonstrated that the efficiency of (GaN) 1–x(ZnO) x semiconductors for solar water splitting can be improved by thermal annealing, though the origin of this improvement was not resolved. In the present work, it is shown that annealing reduces the free carrier (electron) concentration of (GaN) 1–x(ZnO) x. The time-, temperature-, and atmosphere-dependent changes were followed through two simple techniques: indirect diffuse reflectance measurements from 0.5 to 3.0 eV which show very high sensitivity to the free carrier response at the lowest energies and EPR measurements which directly probe the number of unpaired electrons. For the thermalmore » annealing of investigated compositions, it is found that temperatures of 250 °C and below do not measurably change the free carrier concentration, a gradual reduction of the free carrier concentration occurs over a time period of many hours at 350 °C, and the complete elimination of free carriers happens within an hour at 550 °C. These changes are driven by an oxidative process which is effectively suppressed under actively reducing atmospheres (H 2, NH 3) but which can still occur under nominally inert gases (N 2, Ar). Surprisingly, it is found that the N 2 gas released during thermal oxidation of (GaN) 1–x(ZnO) x samples remains trapped within the solid matrix and is not expelled until temperatures of about 900 °C, a result directly confirmed through neutron pair-distribution fuction (PDF) measurements which show a new peak at the 1.1 Å bond length of molecular nitrogen after annealing. Preliminary comparative photoelectrochemical (PEC) measurements of the influence of free carrier concentration on photoactivity for water oxidation were carried out for a sample with x = 0.64. Samples annealed to eliminate free carriers exhibited no photoactivity for water oxidation, while a complex dependence on carrier concentration was observed for samples with intermediate free carrier concentrations

  10. Influence of Thermal Annealing on Free Carrier Concentration in (GaN) 1–x(ZnO) x Semiconductors

    DOE PAGES

    Huang, Huafeng; Sklute, Elizabeth C.; Lehuta, Keith A.; ...

    2017-09-13

    It has been previously demonstrated that the efficiency of (GaN) 1–x(ZnO) x semiconductors for solar water splitting can be improved by thermal annealing, though the origin of this improvement was not resolved. In the present work, it is shown that annealing reduces the free carrier (electron) concentration of (GaN) 1–x(ZnO) x. The time-, temperature-, and atmosphere-dependent changes were followed through two simple techniques: indirect diffuse reflectance measurements from 0.5 to 3.0 eV which show very high sensitivity to the free carrier response at the lowest energies and EPR measurements which directly probe the number of unpaired electrons. For the thermalmore » annealing of investigated compositions, it is found that temperatures of 250 °C and below do not measurably change the free carrier concentration, a gradual reduction of the free carrier concentration occurs over a time period of many hours at 350 °C, and the complete elimination of free carriers happens within an hour at 550 °C. These changes are driven by an oxidative process which is effectively suppressed under actively reducing atmospheres (H 2, NH 3) but which can still occur under nominally inert gases (N 2, Ar). Surprisingly, it is found that the N 2 gas released during thermal oxidation of (GaN) 1–x(ZnO) x samples remains trapped within the solid matrix and is not expelled until temperatures of about 900 °C, a result directly confirmed through neutron pair-distribution fuction (PDF) measurements which show a new peak at the 1.1 Å bond length of molecular nitrogen after annealing. Preliminary comparative photoelectrochemical (PEC) measurements of the influence of free carrier concentration on photoactivity for water oxidation were carried out for a sample with x = 0.64. Samples annealed to eliminate free carriers exhibited no photoactivity for water oxidation, while a complex dependence on carrier concentration was observed for samples with intermediate free carrier concentrations

  11. Recovery in dc and rf performance of off-state step-stressed AlGaN/GaN high electron mobility transistors with thermal annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Byung-Jae; Hwang, Ya-Hsi; Ahn, Shihyun

    The recovery effects of thermal annealing on dc and rf performance of off-state step-stressed AlGaN/GaN high electron mobility transistors were investigated. After stress, reverse gate leakage current and sub-threshold swing increased and drain current on-off ratio decreased. However, these degradations were completely recovered after thermal annealing at 450 °C for 10 mins for devices stressed either once or twice. The trap densities, which were estimated by temperature-dependent drain-current sub-threshold swing measurements, increased after off-state step-stress and were reduced after subsequent thermal annealing. In addition, the small signal rf characteristics of stressed devices were completely recovered after thermal annealing.

  12. Plasma n-3 and n-6 fatty acids and inflammatory markers in Chinese vegetarians.

    PubMed

    Yu, Xiaomei; Huang, Tao; Weng, Xiumei; Shou, Tianxing; Wang, Qiang; Zhou, Xiaoqiong; Hu, Qinxin; Li, Duo

    2014-09-29

    Polyunsaturated fatty acid (PUFA) intake favorably affects chronic inflammatory-related diseases such as cardiovascular disease; however, the relationship between the PUFA and inflammatory factors in the healthy vegetarians were not clear. We aimed to investigate the plasma fatty acids status, and its association with plasma inflammatory factors in Chinese vegetarians and omnivores. A total of 89 male vegetarians and 106 male omnivores were participated the study. Plasma concentrations of inflammatory factors were detected by ELISA, and as standard methods fatty acids were extracted and determined by chromatography. Compared with omnivores, vegetarians have significant higher interleukin-6 (IL-6), plasma n-6 PUFA, n-6/n-3, and 18:3n-3; while they have significant lower leukotriene B4 (LTB4), cyclo-oxygenase-2 (COX2) and prostaglandin E2 (PGE2), 20:5n-3, 22:5n-3, 22:6n-3, and n-3 PUFA. In vegetarians, plasma 20:4n-6 was significant positively related to TNF-α. LTB4 was significantly positively related to plasma 22:6n-3, and negatively associated with n-6 PUFA. Vegetarians have higher plasma n-6 PUFA and IL-6, but lower LTB4, n-3 PUFA, 22:6n-3, COX2 and PGE2 levels. It would seem appropriate for vegetarians to increase their dietary n-3 PUFA, while reduce dietary n-6 PUFA and thus reduce the risk of chronic inflammatory-related diseases.

  13. A novel technique based on a plasma focus device for nano-porous gallium nitride formation on P-type silicon

    NASA Astrophysics Data System (ADS)

    Sharifi Malvajerdi, S.; Salar Elahi, A.; Habibi, M.

    2017-04-01

    A new deposition formation was observed with a Mather-type Plasma Focus Device (MPFD). MPFD was unitized to fabricate porous Gallium Nitride (GaN) on p-type Silicon (Si) substrate with a (100) crystal orientation for the first time in a deposition process. GaN was deposited on Si with 4 and 7 shots. The samples were subjected to a 3 phase annealing procedure. First, the semiconductors were annealed in the PFD with nitrogen plasma shots after their deposition. Second, a thermal chemical vapor deposition annealed the samples for 1 h at 1050 °C by nitrogen gas at a pressure of 1 Pa. Finally, an electric furnace annealed the samples for 1 h at 1150 °C with continuous flow of nitrogen. Porous GaN structures were observed by Field emission scanning electron microscopy and atomic force microscopy. Furthermore, X-Ray diffraction analysis was carried out to determine the crystallinity of GaN after the samples were annealed. Energy-Dispersive X-Ray Spectroscopy indicated the amount of gallium, nitrogen, and oxygen due to the self-oxidation of the samples. Photoluminescence spectroscopy revealed emissions at 2.94 eV and 3.39 eV, which shows that hexagonal wurtzite crystal structures were formed.

  14. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  15. Achieving composition-controlled Cu2ZnSnS4 films by sulfur-free annealing process

    NASA Astrophysics Data System (ADS)

    Jiang, Hailong; Wei, Xiaoqing; Huang, Yongliang; Wang, Xian; Han, Anjun; Liu, Xiaohui; Liu, Zhengxin; Meng, Fanying

    2017-06-01

    Cu2ZnSnS4 (CZTS) films were firstly prepared by the nonvacuum spin-coating method, and then annealed at 550 °C in N2 atmosphere. A graphite box was used to inhibit the volatilization of gaseous SnS and S2 to suppress the CZTS decomposition and generation of MoS2 during annealing. The sulfur supplementation carried out in a conventional annealing process was not applied in this work. It was found that Sn loss was overcome and the compositions of postannealed films were close to that of precursor solution. Thus, by this method, the compositions of CZTS films can be controlled by adjusting the elemental ratios of the precursor solution. Besides, the increase in inert atmosphere pressure could further minimize the Sn loss and improve the crystallinity of CZTS films. Furthermore, the resistive MoS2 layer between the CZTS film and the Mo layer was suppressed because sulfur was not used and CZTS decomposition was suppressed.

  16. Surface morphological, electrical and transport properties of rapidly annealed double layers Ru/Cr Schottky structure on n-type InP

    NASA Astrophysics Data System (ADS)

    Shanthi Latha, K.; Rajagopal Reddy, V.

    2017-07-01

    The electrical and transport properties of a fabricated bilayer Ru/Cr/ n-InP Schottky diode (SD) have been investigated at different annealing temperatures. Atomic force microscopy results have showed that the overall surface morphology of the Ru/Cr/ n-InP SD is fairly smooth at elevated temperatures. High barrier height is achieved for the diode annealed at 300 °C compared to the as-deposited, annealed at 200 and 400 °C diodes. The series resistance and shunt resistance of the Ru/Cr/ n-InP SD are estimated by current-voltage method at different annealing temperatures. The barrier heights and series resistance are also determined by Cheung's and modified Norde functions. The interface state density of the Ru/Cr/ n-InP SD is found to be decreased after annealing at 300 °C and then slightly increased upon annealing at 400 °C. The difference between barrier heights obtained from current-voltage and capacitance-voltage is also discussed. Experimental results have showed that the Poole-Frenkel emission is found to be dominant in the lower bias region whereas Schottky emission is dominant in the higher bias region for the Ru/Cr/ n-InP SDs irrespective of annealing temperatures.

  17. Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N2-H2 Mixture Plasmas

    NASA Astrophysics Data System (ADS)

    Chen, Shang; Kondo, Hiroki; Ishikawa, Kenji; Takeda, Keigo; Sekine, Makoto; Kano, Hiroyuki; Den, Shoji; Hori, Masaru

    2011-01-01

    For an innovation of molecular-beam-epitaxial (MBE) growth of gallium nitride (GaN), the measurements of absolute densities of N, H, and NH3 at the remote region of the radical source excited by plasmas have become absolutely imperative. By vacuum ultraviolet absorption spectroscopy (VUVAS) at a relatively low pressure of about 1 Pa, we obtained a N atom density of 9×1012 cm-3 for a pure nitrogen gas used, a H atom density of 7×1012 cm-3 for a gas composition of 80% hydrogen mixed with nitrogen gas were measured. The maximum density 2×1013 cm-3 of NH3 was measured by quadruple mass spectrometry (QMS) at H2/(N2+H2)=60%. Moreover, we found that N atom density was considerably affected by processing history, where the characteristic instability was observed during the pure nitrogen plasma discharge sequentially after the hydrogen-containing plasma discharge. These results indicate imply the importance of establishing radical-based processes to control precisely the absolute densities of N, H, and NH3 at the remote region of the radical source.

  18. Investigation of the thermal annealing effect on electrical properties of Ni/Au, Ni/Mo/Au and Mo/Au Schottky barriers on AlGaN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Sleptsov, E. V.; Chernykh, A. V.; Chernykh, S. V.; Dorofeev, A. A.; Gladysheva, N. B.; Kondakov, M. N.; Sleptsova, A. A.; Panichkin, A. V.; Konovalov, M. P.; Didenko, S. I.

    2017-03-01

    Investigation of the thermal annealing effect on Schottky barrier parameters and the leakage current of Ni/Au, Ni/Mo/Au and Mo/Au Schottky barriers on AlGaN/GaN heterostructures has been performed. Improvement of Schottky barrier parameters after annealing of the investigated metallization schemes was observed. Ni/Au and Mo/Au contacts drastically degrade after annealing at the temperatures higher than 400 °C, whereas the Ni/Mo/Au contact exhibits excellent parameters after 500 °C annealing (qϕb = 1.00 eV, n = 1.13 и Ileak = 5 μA).

  19. Thermal annealing behavior of hydrogen and surface topography of H 2 + ion implanted tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Jiandong; Jiang, Weilin; Zhu, Zihua

    2018-01-25

    Tungsten (W) has been proposed as a plasma-facing material (PFM) in fusion reactors due to its outstanding properties. Degradation of the material properties is expected to occur as a result of hydrogen (H) isotope permeation and trapping in W. In this study, two polycrystalline W plates were implanted with 80 keV H 2 + ions to a fluence of 2E21 H+/m2 at room temperature (RT). Time-of-flight secondary ion mass spectrometry (ToF-SIMS), focused ion beam (FIB) and scanning electron microscopy (SEM) were used for sample characterization. The SIMS data shows that H atoms are distributed well beyond the ion projected range.more » Isochronal annealing appears to suggest two H release stages that might be associated with the reported activation energies. H release at RT was observed between days 10 and 70 following ion implantation, and the level was maintained over the next 60 days. In addition, FIB/SEM results exhibit H2 blister formation near the surface of the as-implanted W. The blister distribution remains unchanged after thermal annealing up to 600 °C.« less

  20. Optical properties of p–i–n structures based on amorphous hydrogenated silicon with silicon nanocrystals formed via nanosecond laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krivyakin, G. K.; Volodin, V. A., E-mail: volodin@isp.nsc.ru; Kochubei, S. A.

    Silicon nanocrystals are formed in the i layers of p–i–n structures based on a-Si:H using pulsed laser annealing. An excimer XeCl laser with a wavelength of 308 nm and a pulse duration of 15 ns is used. The laser fluence is varied from 100 (below the melting threshold) to 250 mJ/cm{sup 2} (above the threshold). The nanocrystal sizes are estimated by analyzing Raman spectra using the phonon confinement model. The average is from 2.5 to 3.5 nm, depending on the laser-annealing parameters. Current–voltage measurements show that the fabricated p–i–n structures possess diode characteristics. An electroluminescence signal in the infrared (IR)more » range is detected for the p–i–n structures with Si nanocrystals; the peak position (0.9–1 eV) varies with the laser-annealing parameters. Radiative transitions are presumably related to the nanocrystal–amorphous-matrix interface states. The proposed approach can be used to produce light-emitting diodes on non-refractory substrates.« less

  1. Detection of deep-level defects and reduced carrier concentration in Mg-ion-implanted GaN before high-temperature annealing

    NASA Astrophysics Data System (ADS)

    Akazawa, Masamichi; Yokota, Naoshige; Uetake, Kei

    2018-02-01

    We report experimental results for the detection of deep-level defects in GaN after Mg ion implantation before high-temperature annealing. The n-type GaN samples were grown on GaN free-standing substrates by metalorganic vapor phase epitaxy. Mg ions were implanted at 50 keV with a small dosage of 1.5×1011 cm-2, which did not change the conduction type of the n-GaN. By depositing Al2O3 and a Ni/Au electrode onto the implanted n-GaN, metal-oxide-semiconductor (MOS) diodes were fabricated and tested. The measured capacitance-voltage (C-V) characteristics showed a particular behavior with a plateau region and a region with an anomalously steep slope. Fitting to the experimental C-V curves by simulation showed the existence of deep-level defects and a reduction of the carrier concentration near the GaN surface. By annealing at 800oC, the density of the deep-level defects was reduced and the carrier concentration partially recovered.

  2. Control of O-H bonds at a-IGZO/SiO2 interface by long time thermal annealing for highly stable oxide TFT

    NASA Astrophysics Data System (ADS)

    Jeon, Jae Kwon; Um, Jae Gwang; Lee, Suhui; Jang, Jin

    2017-12-01

    We report two-step annealing, high temperature and sequent low temperature, for amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) to improve its stability and device performance. The annealing is carried out at 300 oC in N2 ambient for 1 h (1st step annealing) and then at 250 oC in vacuum for 10 h (2nd step annealing). It is found that the threshold voltage (VTH) changes from 0.4 V to -2.0 V by the 1st step annealing and to +0.6 V by 2nd step annealing. The mobility changes from 18 cm2V-1s-1 to 25 cm2V-1s-1 by 1st step and decreases to 20 cm2V-1s-1 by 2nd step annealing. The VTH shift by positive bias temperature stress (PBTS) is 3.7 V for the as-prepared TFT, and 1.7 V for the 1st step annealed TFT, and 1.3 V for the 2nd step annealed TFT. The XPS (X-ray photoelectron spectroscopy) depth analysis indicates that the reduction in O-H bonds at the top interface (SiO2/a-IGZO) by 2nd step annealing appears, which is related to the positive VTH shift and smaller VTH shift by PBTS.

  3. Surface passivation of p-type Ge substrate with high-quality GeN{sub x} layer formed by electron-cyclotron-resonance plasma nitridation at low temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fukuda, Yukio; Otani, Yohei; Okamoto, Hiroshi

    2011-09-26

    We have investigated the effects of the formation temperature and postmetallization annealing (PMA) on the interface properties of GeN{sub x}/p-Ge fabricated by the plasma nitridation of Ge substrates using an electron-cyclotron-resonance-generated nitrogen plasma. The nitridation temperature is found to be a critical parameter in improving the finally obtained GeN{sub x}/Ge interface properties. The GeN{sub x}/Ge formed at room temperature and treated by PMA at 400 deg. C exhibits the best interface properties with an interface trap density of 1 x 10{sup 11 }cm{sup -2 }eV{sup -1}. The GeN{sub x}/Ge interface is unpinned and the Fermi level at the Ge surfacemore » can move from the valence band edge to the conduction band edge.« less

  4. The effects of lithium counterdoping on radiation damage and annealing in n(+)p silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Brandhorst, H. W., Jr.; Mehta, S.; Swartz, C. K.

    1984-01-01

    Boron-doped silicon n(+)p solar cells were counterdoped with lithium by ion implantation and the resultant n(+)p cells irradiated by 1 MeV electrons. Performance parameters were determined as a function of fluence and a deep level transient spectroscopy (DLTS) study was conducted. The lithium counterdoped cells exhibited significantly increased radiation resistance when compared to boron doped control cells. Isochronal annealing studies of cell performance indicate that significant annealing occurs at 100 C. Isochronal annealing of the deep level defects showed a correlation between a single defect at E sub v + 0.43 eV and the annealing behavior of short circuit current in the counterdoped cells. The annealing behavior was controlled by dissociation and recombination of this defect. The DLTS studies showed that counterdoping with lithium eliminated three deep level defects and resulted in three new defects. The increased radiation resistance of the counterdoped cells is due to the interaction of lithium with oxygen, single vacancies and divacancies. The lithium-oxygen interaction is the most effective in contributing to the increased radiation resistance.

  5. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  6. [Optical emission analyses of N2/TMG ECR plasma for deposition of GaN film].

    PubMed

    Fu, Si-Lie; Wang, Chun-An; Chen, Jun-Fang

    2013-04-01

    The optical emission spectroscopy of hybrid N2/trimethylgallium (TMG) plasma in an ECR-PECVD system was investigated. The results indicate that the TMG gas is strongly dissociated into Ga*, CH and H even under self-heating condition. Ga species and nitrogen molecule in metastable state are dominant in hybrid ECR plasma. The concentration of metastable nitrogen molecule increases with the microwave power. On the other hand, the concentration of excited nitrogen molecules and of nitrogen ion decreases when the microwave power is higher than 400 W.

  7. Ultra-Smooth Nanostructured Diamond Films Deposited from He/H2/CH4/N2 Microwave Plasmas

    PubMed Central

    Konovalov, Valery V.; Melo, Andrew; Catledge, Shane A.; Chowdhury, Shafiul

    2008-01-01

    Addition of He to a high CH4 content (10.7 vol%) H2/CH4/N2 feedgas mixture for microwave plasma chemical vapor deposition produced hard (56–72 GPa), ultra-smooth nanostructured diamond films on Ti-6Al-4V alloy substrates. Upon increase in He content up to 71 vol%, root mean squared (RMS) surface roughness of the film decreased to 9–10 nm and average diamond grain size to 5–6 nm. Our studies show that increased nanocrystallinity with He addition in plasma is related to plasma dilution, enhanced fragmentation of carbon containing species, and enhanced formation of CN radical. PMID:16573106

  8. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  9. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  10. Polarization resolved electric field measurements on plasma bullets in N2 using four-wave mixing

    NASA Astrophysics Data System (ADS)

    van der Schans, Marc; Boehm, Patrick; Nijdam, Sander; Ijzerman, Wilbert; Czarnetzki, Uwe

    2016-09-01

    Atmospheric pressure plasma jets generated by kHz AC or pulsed DC voltages typically consist of discrete guided ionization waves called plasma bullets. In this work, the electric field of plasma bullets generated in a pulsed DC jet with N2 as feed gas is investigated using the four-wave mixing method. In this diagnostic two laser beams, where one is Stokes shifted from the other, non-linearly interact with the N2 molecules and the bullet's electric field. As a result of the interaction a coherent anti-Stokes Raman scattered (CARS) beam and an infrared beam are generated from which the electric field can be determined. Compared to emission-based methods, this technique has the advantage of being able to also probe the electric field in regions around the plasma bullet where no photons are emitted. The four-wave mixing method and its analysis have been adapted to work with the non-uniform electric field of plasma bullets. In addition, an ex-situ calibration procedure using an electrode geometry different from the discharge geometry has been developed. An experimentally obtained radial profile of the axial electric field component of a plasma bullet in N2 is presented. The position of this profile is related to the location of the propagating bullet from temporally resolved images.

  11. Thermal annealing induced multiple phase in V/V2O5 alternating multilayer structure

    NASA Astrophysics Data System (ADS)

    Ilahi, B.; Abdel-Rahman, M.; Zaaboub, Z.; Zia, M. F.; Alduraibi, M.; Maaref, H.

    2016-09-01

    In this paper, we report on microstructural, optical and electrical properties of alternating multilayer of vanadium pentoxide (V2O5), 25 nm, and vanadium (V), 5 nm, thin films deposited at room temperature by radio frequency (RF) and DC magnetron sputtering, respectively. Raman and photoluminescence (PL) spectroscopy have been employed to investigate the effects of thermal annealing for 20, 30 and 40 min at 400∘C in Nitrogen (N2) atmosphere on the multiple phase formation and its impact on the film resistance and temperature coefficient of resistance (TCR). We demonstrate that the oxygen free annealing environment allows the formation of multiple phases including V2O5, V6O13 and VO2 through oxygen diffusion and consequent deficiency in V2O5 layer.

  12. High-Temperature Isothermal Capacitance Transient Spectroscopy Study on Inductively Coupled Plasma Etching Damage for p-GaN Surfaces

    NASA Astrophysics Data System (ADS)

    Aoki, Toshichika; Wakayama, Hisashi; Kaneda, Naoki; Mishima, Tomoyoshi; Nomoto, Kazuki; Shiojima, Kenji

    2013-11-01

    The effects of the inductively coupled plasma (ICP) etching damage on the electrical characteristics of low-Mg-doped p-GaN Schottky contacts were evaluated by high-temperature isothermal capacitance transient spectroscopy. A large single peak for an acceptor-type surface state was dominantly detected for as-grown samples. The energy level and state density were obtained to be 1.18 eV above the valence band, which is close to a Ga vacancy (VGa), and 1.5×1013 cm-2, respectively. It was speculated that a small portion of Ga atoms were missing from the surface, and a high VGa density was observed in a few surface layers. The peak intensity decreased by 60% upon annealing at 800 °C, and further decrease was found by ICP etching. This decrease is consistent with the suppression of the memory effect in current-voltage characteristics. Upon annealing and ICP etching, since the VGa structure might be disordered, the peak intensity decreased.

  13. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  14. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  15. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    NASA Astrophysics Data System (ADS)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  16. Study of SiO{sub 2}/4H-SiC interface nitridation by post-oxidation annealing in pure nitrogen gas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chanthaphan, Atthawut, E-mail: chanthaphan@asf.mls.eng.osaka-u.ac.jp; Hosoi, Takuji, E-mail: hosoi@mls.eng.osaka-u.ac.jp; Shimura, Takayoshi

    An alternative and effective method to perform interface nitridation for 4H-SiC metal-oxide-semiconductor (MOS) devices was developed. We found that the high-temperature post-oxidation annealing (POA) in N{sub 2} ambient was beneficial to incorporate a sufficient amount of nitrogen atoms directly into thermal SiO{sub 2}/SiC interfaces. Although N{sub 2}-POA was ineffective for samples with thick thermal oxide layers, interface nitridation using N{sub 2}-POA was achieved under certain conditions, i.e., thin SiO{sub 2} layers (< 15 nm) and high annealing temperatures (>1350°C). Electrical characterizations of SiC-MOS capacitors treated with high-temperature N{sub 2}-POA revealed the same evidence of slow trap passivation and fast trapmore » generation that occurred in NO-treated devices fabricated with the optimized nitridation conditions.« less

  17. Electrical transport and current properties of rare-earth dysprosium Schottky electrode on p-type GaN at various annealing temperatures

    NASA Astrophysics Data System (ADS)

    Nagaraju, G.; Ravindranatha Reddy, K.; Rajagopal Reddy, V.

    2017-11-01

    The electrical and current transport properties of rapidly annealed Dy/p-GaN SBD are probed by I-V and C-V techniques. The estimated barrier heights (BH) of as-deposited and 200 °C annealed SBDs are 0.80 eV ( I-V)/0.93 eV (C-V) and 0.87 eV (I-V)/1.03 eV (C-V). However, the BH rises to 0.99 eV (I-V)/ 1.18 eV(C-V) and then slightly deceases to 0.92 eV (I-V)/1.03 eV (C-V) after annealing at 300 °C and 400 °C. The utmost BH is attained after annealing at 300 °C and thus the optimum annealing for SBD is 300 °C. By applying Cheung’s functions, the series resistance of the SBD is estimated. The BHs estimated by I-V, Cheung’s and Ψ S-V plot are closely matched; hence the techniques used here are consistency and validity. The interface state density of the as-deposited and annealed contacts are calculated and we found that the N SS decreases up to 300 °C annealing and then slightly increases after annealing at 400 °C. Analysis indicates that ohmic and space charge limited conduction mechanisms are found at low and higher voltages in forward-bias irrespective of annealing temperatures. Our experimental results demonstrate that the Poole-Frenkel emission is leading under the reverse bias of Dy/p-GaN SBD at all annealing temperatures.

  18. Annealing studies of heteroepitaxial InSbN on GaAs grown by molecular beam epitaxy for long-wavelength infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patra, Nimai C.; Bharatan, Sudhakar; Li Jia

    2012-10-15

    We report the effect of annealing on the structural, vibrational, electrical, and optical properties of heteropepitaxially grown InSbN epilayers on GaAs substrate by molecular beam epitaxy for long-wavelength infrared detector applications. As-grown epilayers exhibited high N incorporation in the both substitutional and interstitial sites, with N induced defects as evidenced from high resolution x-ray diffraction, secondary ion mass spectroscopy, and room temperature (RT) micro-Raman studies. The as-grown optical band gap was observed at 0.132 eV ({approx}9.4 {mu}m) and the epilayer exhibited high background carrier concentration at {approx}10{sup 18} cm{sup -3} range with corresponding mobility of {approx}10{sup 3} cm{sup 2}/Vs. Exmore » situ and in situ annealing at 430 Degree-Sign C though led to the loss of N but improved InSb quality due to effective annihilation of N related defects and other lattice defects attested to enhanced InSb LO phonon modes in the corresponding Raman spectra. Further, annealing resulted in the optical absorption edge red shifting to 0.12 eV ({approx}10.3 {mu}m) and the layers were characterized by reduced background carrier concentration in the {approx}10{sup 16} cm{sup -3} range with enhanced mobility in {approx}10{sup 4} cm{sup 2}/Vs range.« less

  19. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma

    NASA Astrophysics Data System (ADS)

    Yamamoto, Taishi; Taoka, Noriyuki; Ohta, Akio; Truyen, Nguyen Xuan; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Makihara, Katsunori; Nakatsuka, Osamu; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The energy band structure of a Ga-oxide/GaN structure formed by remote oxygen plasma exposure and the electrical interface properties of the GaN metal–oxide–semiconductor (MOS) capacitors with the SiO2/Ga-oxide/GaN structures with postdeposition annealing (PDA) at various temperatures have been investigated. Reflection high-energy electron diffraction and X-ray photoelectron spectroscopy clarified that the formed Ga-oxide layer is neither a single nor polycrystalline phase with high crystallinity. We found that the energy band offsets at the conduction band minimum and at the valence band maximum between the Ga-oxide layer and the GaN surface were 0.4 and 1.2 ± 0.2 eV, respectively. Furthermore, capacitance–voltage (C–V) characteristics revealed that the interface trap density (D it) is lower than the evaluation limit of Terman method without depending on the PDA temperatures, and that the SiO2/Ga-oxide stack can work as a protection layer to maintain the low D it, avoiding the significant decomposition of GaN at the high PDA temperature of 800 °C.

  20. Influence of Growth Parameters and Annealing on Properties of MBE Grown GaAsSbN SQWs

    NASA Technical Reports Server (NTRS)

    Wu, Liangjin; Iyer, Shanthi; Nunna, Kalyan; Bharatan, Sudhakar; Li, Jia; Collis, Ward J.

    2005-01-01

    In this paper we report the growth of GaAsSbN/GaAs single quantum well (SQW) heterostructures by molecular beam epitaxy (MBE) and their properties. A systematic study has been carried out to determine the effect of growth conditions, such as the source shutter opening sequence and substrate temperature, on the structural and optical properties of the layers. The substrate temperatures in the range of 450-470 C were found to be optimal. Simultaneous opening of the source shutters (SS) resulted in N incorporation almost independent of substrate temperature and Sb incorporation higher at lower substrate temperatures. The effects of ex-situ annealing in nitrogen ambient and in-situ annealing under As ovepressure on the optical properties of the layers have also been investigated. A significant increase in photoluminescence (PL) intensity with reduced full width at half maxima (FWHM) in conjunction with a blue shift in the emission energy was observed on 10 annealing the samples. In in-situ annealed samples, the PL line shapes were more symmetric and the temperature dependence of the PL peak energy indicated significant decrease in the exciton localization energy as exhibited by a less pronounced S-shaped curve. The inverted S-shaped curve observed in the temperature dependence of PL FWHM is also discussed. 1.61 micrometer emission with FWHM of 25 meV at 20K has been obtained in in-situ annealed GaAsSbN/GaAs SQW grown at 470 C by SS.

  1. Synthesis of N-graphene using microwave plasma-based methods

    NASA Astrophysics Data System (ADS)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  2. Effect of the addition of SF6 and N2 in inductively coupled SiCl4 plasma for GaN etching

    NASA Astrophysics Data System (ADS)

    Oubensaid, E. H.; Duluard, C. Y.; Pichon, L. E.; Pereira, J.; Boufnichel, M.; Lefaucheux, P.; Dussart, R.; Ranson, P.

    2009-07-01

    The GaN etching by SiCl4 plasma is considered in an ICP tool. By respecting some material limitations, it has been possible to etch the gallium nitride in pure SiCl4 plasma, with an etch rate of 19 nm min-1. This result is comparable to other reported results. Thereafter, the combination of SiCl4 with SF6 and N2 was tested in order to increase the etch rate. The addition of SF6 in the plasma has enabled us to reach an etch rate of 53 nm min-1. However, best results were obtained with the addition of N2, with an increase of the etch rate by a factor of 6. Mass spectrometry was also performed in order to determine the effects of the additional gases. The surface morphology of the GaN was also analysed by scanning electron microscope after etching.

  3. Annealing effects on electron-beam evaporated Al 2O 3 films

    NASA Astrophysics Data System (ADS)

    Shuzhen, Shang; Lei, Chen; Haihong, Hou; Kui, Yi; Zhengxiu, Fan; Jianda, Shao

    2005-04-01

    The effects of post-deposited annealing on structure and optical properties of electron-beam evaporated Al 2O 3 single layers were investigated. The films were annealed in air for 1.5 h at different temperatures from 250 to 400 °C. The optical constants and cut-off wavelength were deduced. Microstructure of the samples was characterized by X-ray diffraction (XRD). Profile and surface roughness measurement instrument was used to determine the rms surface roughness. It was found that the cut-off wavelength shifted to short wavelength as the annealing temperature increased and the total optical loss decreased. The film structure remained amorphous even after annealing at 400 °C temperature and the samples annealed at higher temperature had the higher rms surface roughness. The decreasing total optical loss with annealing temperature was attributed to the reduction of absorption owing to oxidation of the film by annealing. Guidance to reduce the optical loss of excimer laser mirrors was given.

  4. Radiation damage and annealing in large area n+/p/p+ GaAs shallow homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Brinker, D. J.; Swartz, C. K.; Hart, R. E., Jr.; Fan, J. C. C.

    1982-01-01

    Annealing of radiation damage was observed for the first time in VPE-grown, 2- by 2-cm, n+/p/p+ GaAs shallow homojunction solar cells. Electrical performance of several cells was determined as a function of 1-MeV electron fluence in the range of 10 to the 13th power to 10 to the 15th power e-/sq cm and as a function of thermal annealing time at various temperatures. Degradation of normalized power output after a fluence of 10 to the 15th power 1-MeV electrons/sq cm ranged from a low of 24 to 31 percent of initial maximum power. Normalized short circuit current degradation was limited to the range from 10 to 19 percent of preirradiated values. Thermal annealing was carried out in a flowing nitrogen gas ambient, with annealing temperatures spanning the range from 125 to 200 C. Substantial recovery of short circuit current was observed at temperatures as low as 175 C. In one case improvement by as much as 10 percent of the postirradiated value was observed. The key features of these cells are their extremely thin emitter layers (approxmately 0.05 micrometers), the absence of any Al sub xGd sub 1-x As passivating window layer, and their fabrication by vapor phase epitaxy.

  5. High-efficiency impurity activation by precise control of cooling rate during atmospheric pressure thermal plasma jet annealing of 4H-SiC wafer

    NASA Astrophysics Data System (ADS)

    Maruyama, Keisuke; Hanafusa, Hiroaki; Ashihara, Ryuhei; Hayashi, Shohei; Murakami, Hideki; Higashi, Seiichiro

    2015-06-01

    We have investigated high-temperature and rapid annealing of a silicon carbide (SiC) wafer by atmospheric pressure thermal plasma jet (TPJ) irradiation for impurity activation. To reduce the temperature gradient in the SiC wafer, a DC current preheating system and the lateral back-and-forth motion of the wafer were introduced. A maximum surface temperature of 1835 °C within 2.4 s without sample breakage was achieved, and aluminum (Al), phosphorus (P), and arsenic (As) activations in SiC were demonstrated. We have investigated precise control of heating rate (Rh) and cooling rate (Rc) during rapid annealing of P+-implanted 4H-SiC and its impact on impurity activation. No dependence of resistivity on Rh was observed, while increasing Rc significantly decreased resistivity. A minimum resistivity of 0.0025 Ω·cm and a maximum carrier concentration of 2.9 × 1020 cm-3 were obtained at Rc = 568 °C/s.

  6. Experimental quantum annealing: case study involving the graph isomorphism problem.

    PubMed

    Zick, Kenneth M; Shehab, Omar; French, Matthew

    2015-06-08

    Quantum annealing is a proposed combinatorial optimization technique meant to exploit quantum mechanical effects such as tunneling and entanglement. Real-world quantum annealing-based solvers require a combination of annealing and classical pre- and post-processing; at this early stage, little is known about how to partition and optimize the processing. This article presents an experimental case study of quantum annealing and some of the factors involved in real-world solvers, using a 504-qubit D-Wave Two machine and the graph isomorphism problem. To illustrate the role of classical pre-processing, a compact Hamiltonian is presented that enables a reduced Ising model for each problem instance. On random N-vertex graphs, the median number of variables is reduced from N(2) to fewer than N log2 N and solvable graph sizes increase from N = 5 to N = 13. Additionally, error correction via classical post-processing majority voting is evaluated. While the solution times are not competitive with classical approaches to graph isomorphism, the enhanced solver ultimately classified correctly every problem that was mapped to the processor and demonstrated clear advantages over the baseline approach. The results shed some light on the nature of real-world quantum annealing and the associated hybrid classical-quantum solvers.

  7. Experimental quantum annealing: case study involving the graph isomorphism problem

    PubMed Central

    Zick, Kenneth M.; Shehab, Omar; French, Matthew

    2015-01-01

    Quantum annealing is a proposed combinatorial optimization technique meant to exploit quantum mechanical effects such as tunneling and entanglement. Real-world quantum annealing-based solvers require a combination of annealing and classical pre- and post-processing; at this early stage, little is known about how to partition and optimize the processing. This article presents an experimental case study of quantum annealing and some of the factors involved in real-world solvers, using a 504-qubit D-Wave Two machine and the graph isomorphism problem. To illustrate the role of classical pre-processing, a compact Hamiltonian is presented that enables a reduced Ising model for each problem instance. On random N-vertex graphs, the median number of variables is reduced from N2 to fewer than N log2 N and solvable graph sizes increase from N = 5 to N = 13. Additionally, error correction via classical post-processing majority voting is evaluated. While the solution times are not competitive with classical approaches to graph isomorphism, the enhanced solver ultimately classified correctly every problem that was mapped to the processor and demonstrated clear advantages over the baseline approach. The results shed some light on the nature of real-world quantum annealing and the associated hybrid classical-quantum solvers. PMID:26053973

  8. Electrical and structural properties of (Pd/Au) Schottky contact to as grown and rapid thermally annealed GaN grown by MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nirwal, Varun Singh, E-mail: varun.nirwal30@gmail.com; Singh, Joginder; Gautam, Khyati

    2016-05-06

    We studied effect of thermally annealed GaN surface on the electrical and structural properties of (Pd/Au) Schottky contact to Ga-polar GaN grown by molecular beam epitaxy on Si substrate. Current voltage (I-V) measurement was used to study electrical properties while X-ray diffraction (XRD) measurement was used to study structural properties. The Schottky barrier height calculated using I-V characteristics was 0.59 eV for (Pd/Au) Schottky contact on as grown GaN, which increased to 0.73 eV for the Schottky contact fabricated on 700 °C annealed GaN film. The reverse bias leakage current at -1 V was also significantly reduced from 6.42×10{sup −5} Amore » to 7.31×10{sup −7} A after annealing. The value of series resistance (Rs) was extracted from Cheung method and the value of R{sub s} decreased from 373 Ω to 172 Ω after annealing. XRD results revealed the formation of gallide phases at the interface of (Pd/Au) and GaN for annealed sample, which could be the reason for improvement in the electrical properties of Schottky contact after annealing.« less

  9. Indium tin oxide films prepared by atmospheric plasma annealing and their semiconductor-metal conductivity transition around room temperature

    NASA Astrophysics Data System (ADS)

    Li, Yali; Li, Chunyang; He, Deyan; Li, Junshuai

    2009-05-01

    We report the synthesis of indium tin oxide (ITO) films using the atmospheric plasma annealing (APA) technique combined with the spin-coating method. The ITO film with a low resistivity of ~4.6 × 10-4 Ω cm and a high visible light transmittance, above 85%, was achieved. Hall measurement indicates that compared with the optimized ITO films deposited by magnetron sputtering, the above-mentioned ITO film has a higher carrier concentration of ~1.21 × 1021 cm-3 and a lower mobility of ~11.4 cm2 V-1 s-1. More interestingly, these electrical characteristics result in the semiconductor-metal conductivity transition around room temperature for the ITO films prepared by APA.

  10. Synthesis of ultrasmooth nanostructured diamond films by microwave plasma chemical vapor deposition using a He/H(2)/CH(4)/N(2) gas mixture.

    PubMed

    Chowdhury, S; Hillman, Damon A; Catledge, Shane A; Konovalov, Valery V; Vohra, Yogesh K

    2006-10-01

    Ultrasmooth nanostructured diamond (USND) films were synthesized on Ti-6Al-4V medical grade substrates by adding helium in H(2)/CH(4)/N(2) plasma and changing the N(2)/CH(4) gas flow from 0 to 0.6. We were able to deposit diamond films as smooth as 6 nm (root-mean-square), as measured by an atomic force microscopy (AFM) scan area of 2 μm(2). Grain size was 4-5 nm at 71% He in (H(2) + He) and N(2)/CH(4) gas flow ratio of 0.4 without deteriorating the hardness (~50-60 GPa). The characterization of the films was performed with AFM, scanning electron microscopy, x-ray diffraction (XRD), Raman spectroscopy, and nanoindentation techniques. XRD and Raman results showed the nanocrystalline nature of the diamond films. The plasma species during deposition were monitored by optical emission spectroscopy. With increasing N(2)/CH(4) feedgas ratio (CH(4) was fixed) in He/H(2)/CH(4)/N(2) plasma, a substantial increase of CN radical (normalized by Balmer H(α) line) was observed along with a drop in surface roughness up to a critical N(2)/CH(4) ratio of 0.4. The CN radical concentration in the plasma was thus correlated to the formation of ultrasmooth nanostructured diamond films.

  11. Thermal defect annealing of swift heavy ion irradiated ThO 2

    DOE PAGES

    Palomares, Raul I.; Tracy, Cameron L.; Neuefeind, Joerg; ...

    2017-05-19

    Neutron total scattering and Raman spectroscopy were used to characterize the structural recovery of irradiated polycrystalline ThO 2 (2.2 GeV Au, = 1 x 10 13 ions/cm 2) during isochronal annealing. Here, neutron diffraction patterns showed that the Bragg signal-to-noise ratio increases and the unit cell parameter decreases as a function of isochronal annealing temperature, with the latter reaching its pre-irradiation value by 750 °C. Diffuse neutron scattering and Raman spectroscopy measurements indicate that an isochronal annealing event occurs between 275$-$425 °C. This feature is attributed to the annihilation of oxygen point defects and small oxygen defect clusters.

  12. CO2 laser annealing of 50-microns-thick silicon solar cells

    NASA Technical Reports Server (NTRS)

    Walker, F. E.

    1979-01-01

    A test program is conducted to determine thin solar cell annealing effects using a laser energy source. A CO2 continuous-wave laser was used in annealing experiments on 50 micrometers-thick silicon solar cells after proton irradiation. Test cells were irradiated to a fluence of 1.0 x 10 to the 12th power protons/sq cm with 1.9 MeV protons. After irradiation, those cells receiving full proton dosage were degraded by an average of 30% in output power. In annealing tests laser beam exposure times on the solar cell varied from 2 seconds to 16 seconds reaching cell temperatures of from 400 C to 500 C. Under those conditions annealing test results showed recovery in cell output power of from 33% to 90%.

  13. Annealing and anomalous high-energy electron irradiation effects in low-cost silicon N+P solar cells

    NASA Technical Reports Server (NTRS)

    Garlick, G. F. J.; Kachare, A. H.

    1981-01-01

    Silicon solar cells of N(+)P type were subjected to 1 MeV electron irradiation (up to 10 to the 16th electrons/sq cm) and then annealed at 450 C for 20 min or annealed with no electron irradiation. Electron irradiation resulted in a degradation of longer wavelength cell response, but produced a marked enhancement of response at shorter wavelengths with a peak change of 40% at 0.44 microns. Subsequent thermal anneal at 450 C reduced the long-wavelength degradation, but enhancement at shorter wavelengths persisted. Excitation at the shorter wavelengths was in the N(+)-diffused layer and in the junction region of the cell. Anneal of unirradiated cells produced shorter-wavelength enhancement with a similar peaking at 0.44 microns, but with a relative change of only 20%. More enhancement was produced in the longer wavelength region (up to 0.8 microns). These effects in the different cell regions are explained by a decrease in the interstitial oxygen-impurity complexes (deep recombination levels) and the formation of substantial oxygen-silicon vacancy centers (donors).

  14. Dietary (n-3) fatty acids reduce plasma F2-isoprostanes but not prostaglandin F2alpha in healthy humans.

    PubMed

    Nälsén, Cecilia; Vessby, Bengt; Berglund, Lars; Uusitupa, Matti; Hermansen, Kjeld; Riccardi, Gabrielle; Rivellese, Angela; Storlien, Len; Erkkilä, Arja; Ylä-Herttuala, Seppo; Tapsell, Linda; Basu, Samar

    2006-05-01

    (n-3) Fatty acids are unsaturated and are therefore easily subject to oxidization; however, they have several beneficial health effects, which include protection against cardiovascular diseases. The aim of this study was to investigate whether (n-3) fatty acids, with a controlled fat quality in the background diet, affect nonenzymatic and enzymatic lipid peroxidation and antioxidant status in humans. A total of 162 men and women in a multicenter study (The KANWU study) were randomly assigned to a diet containing a high proportion of saturated fatty acids or monounsaturated fatty acids (MUFA) for 3 mo. Within each diet group, there was a second random assignment to supplementation with fish-oil capsules [3.6 g (n-3) fatty acids/d] or placebo. Biomarkers of nonenzymatic and enzymatic lipid peroxidation in vivo were determined by measuring 8-iso-prostaglandin F(2alpha) (8-iso-PGF(2alpha)) and prostaglandin F(2alpha) (PGF(2alpha)) concentrations in plasma at baseline and after 3 mo. Antioxidant status was determined by measuring plasma antioxidant capacity with an enhanced chemiluminescence assay. The plasma 8-iso-PGF(2alpha) concentration was significantly decreased after 3 mo of supplementation with (n-3) fatty acids (P = 0.015), whereas the PGF(2alpha) concentration was not affected. The antioxidant status was not affected by supplementation of (n-3) fatty acids, but was improved by the background diet with a high proportion of MUFA. We conclude that supplementation with (n-3) fatty acids decreases nonenzymatic free radical-catalyzed isoprostane formation, but does not affect cyclooxygenase-mediated prostaglandin formation.

  15. Surface Chemistry, Friction, and Wear Properties of Untreated and Laser-Annealed Surfaces of Pulsed-Laser-Deposited WS(sub 2) Coatings

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wheeler, Donald R.; Zabinski, Jeffrey S.

    1996-01-01

    An investigation was conducted to examine the surface chemistry, friction, and wear behavior of untreated and annealed tungsten disulfide (WS2) coatings in sliding contact with a 6-mm-diameter 440C stainless-steel ball. The WS2 coatings and annealing were performed using the pulsed-laser-deposition technique. All sliding friction experiments were conducted with a load of 0.98 N (100 g), an average Hertzian contact pressure of 0.44 GPa, and a constant rotating speed of 120 rpm. The sliding velocity ranged from 31 to 107 mm/s because of the range of wear track radii involved in the experiments. The experiment was performed at room temperature in three environments: ultrahigh vacuum (vacuum pressure, 7X(exp -10) Pa), dry nitrogen (relative humidity, less than 1 percent), and humid air (relative humidity, 15 to 40 percent). Analytical techniques, including scanning electron microscopy (SEM), energy-dispersive x-ray spectroscopy (EDX), x-ray photo electron spectroscopy (XPS), surface profilometry, and Vickers hardness testing, were used to characterize the tribological surfaces of WS2 coatings. The results of the investigation indicate that the laser annealing decreased the wear of a WS2 coating in an ultrahigh vacuum. The wear rate was reduced by a factor of 30. Thus, the laser annealing increased the wear life and resistance of the WS2 coating. The annealed WS 2 coating had a low coefficient of friction (less than O.1) and a low wear rate ((10(exp -7) mm(exp 3)/N-m)) both of which are favorable in an ultrahigh vacuum.

  16. [Measurement of rotational and vibrational temperatures in arc plasma based on the first negative system of N2+ (B(2) sigma --> X(2) sigma)].

    PubMed

    Tu, Xin; Yan, Jian-hua; Ma, Zeng-yi; Li, Xiao-dong; Pan, Xin-chao; Cen, Ke-fa; Cheron, Bruno

    2006-12-01

    The molecular emission spectra lines of the first negative system N2+ (B(2) sigma--> X(2) sigma ) are frequently observed in the plasma source containing nitrogen. (0-0) and (1--1) N2+ first negative system molecular bands around 391. 4 nm can be used to the measure the rotational and vibrational temperatures in a DC argon-nitrogen plasma at atmospheric pressure. The proposed method based on the comparison between this experimental emission spectrum and the computer simulated one is presented. The effect of the apparatus function, vibrational temperature and rotational temperatures on the line structure of numerical simulated spectrum is discussed. The results show that the electron temperature, rotational temperature, vibrational temperature and kinetic temperature of plasma arc are almost the same, which can be interpreted as that DC argon-nitrogen arc plasma at atmospheric pressure is in LTE under their experimental conditions.

  17. Thermal defect annealing of swift heavy ion irradiated ThO2

    NASA Astrophysics Data System (ADS)

    Palomares, Raul I.; Tracy, Cameron L.; Neuefeind, Joerg; Ewing, Rodney C.; Trautmann, Christina; Lang, Maik

    2017-08-01

    Isochronal annealing, neutron total scattering, and Raman spectroscopy were used to characterize the structural recovery of polycrystalline ThO2 irradiated with 2-GeV Au ions to a fluence of 1 × 1013 ions/cm2. Neutron diffraction patterns show that the Bragg signal-to-noise ratio increases and the unit cell parameter decreases as a function of isochronal annealing temperature, with the latter reaching its pre-irradiation value by 750 °C. Diffuse neutron scattering and Raman spectroscopy measurements indicate that an isochronal annealing event occurs between 275-425 °C. This feature is attributed to the annihilation of oxygen point defects and small oxygen defect clusters.

  18. The low threshold voltage n-type silicon transistors based on a polymer/silica nanocomposite gate dielectric: The effect of annealing temperatures on their operation

    NASA Astrophysics Data System (ADS)

    Hashemi, Adeleh; Bahari, Ali; Ghasemi, Shahram

    2017-09-01

    In this work, povidone/silica nanocomposite dielectric layers were deposited on the n-type Si (100) substrates for application in n-type silicon field-effect transistors (FET). Thermogravimetric analysis (TGA) indicated that strong chemical interactions between polymer and silica nanoparticles were created. In order to examine the effect of annealing temperatures on chemical interactions and nanostructure properties, annealing process was done at 423-513 K. Atomic force microscopy (AFM) images show the very smooth surfaces with very low surface roughness (0.038-0.088 nm). The Si2p and C1s core level photoemission spectra were deconvoluted to the chemical environments of Si and C atoms respectively. The obtained results of deconvoluted X-ray photoelectron spectroscopy (XPS) spectra revealed a high percentage of silanol hydrogen bonds in the sample which was not annealed. These bonds were inversed to stronger covalence bonds (siloxan bonds) at annealing temperature of 423 K. By further addition of temperature, siloxan bonds were shifted to lower binding energy of about 1 eV and their intensity were abated at annealing temperature of 513 K. The electrical characteristics were extracted from current-Voltage (I-V) and capacitance-voltage (C-V) measurements in metal-insulator-semiconductor (MIS) structure. The all n-type Si transistors showed very low threshold voltages (-0.24 to 1 V). The formation of the strongest cross-linking at nanostructure of dielectric film annealed at 423 K caused resulted in an un-trapped path for the transport of charge carriers yielding the lowest threshold voltage (0.08 V) and the highest electron mobility (45.01 cm2/V s) for its FET. By increasing the annealing temperature (473 and 513 K) on the nanocomposite dielectric films, the values of the average surface roughness, the capacitance and the FET threshold voltage increased and the value of FET electron field-effect mobility decreased.

  19. Limitations of threshold voltage engineering of AlGaN/GaN heterostructures by dielectric interface charge density and manipulation by oxygen plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Lükens, G.; Yacoub, H.; Kalisch, H.; Vescan, A.

    2016-05-01

    The interface charge density between the gate dielectric and an AlGaN/GaN heterostructure has a significant impact on the absolute value and stability of the threshold voltage Vth of metal-insulator-semiconductor (MIS) heterostructure field effect transistor. It is shown that a dry-etching step (as typically necessary for normally off devices engineered by gate-recessing) before the Al2O3 gate dielectric deposition introduces a high positive interface charge density. Its origin is most likely donor-type trap states shifting Vth to large negative values, which is detrimental for normally off devices. We investigate the influence of oxygen plasma annealing techniques of the dry-etched AlGaN/GaN surface by capacitance-voltage measurements and demonstrate that the positive interface charge density can be effectively compensated. Furthermore, only a low Vth hysteresis is observable making this approach suitable for threshold voltage engineering. Analysis of the electrostatics in the investigated MIS structures reveals that the maximum Vth shift to positive voltages achievable is fundamentally limited by the onset of accumulation of holes at the dielectric/barrier interface. In the case of the Al2O3/Al0.26Ga0.74N/GaN material system, this maximum threshold voltage shift is limited to 2.3 V.

  20. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  1. Device Performance and Reliability Improvements of AlGaN/GaN/Si MOSFET Using Defect-Free Gate Recess and Laser Annealing

    DTIC Science & Technology

    2015-02-15

    currently valid OMB control number . PLEASE DO NOT RETURN YOUR FORM TO THE ABOVE ADDRESS. 1. REPORT DATE (DD-MM-YYYY) 20-04-2015 2. REPORT TYPE...of AlGaN/GaN/Si MOSFET Using Defect-Free Gate Recess and Laser Annealing 5a. CONTRACT NUMBER FA2386-11-1-4077 5b. GRANT NUMBER Grant AOARD...114077 5c. PROGRAM ELEMENT NUMBER 61102F 6. AUTHOR(S) Prof. Robert Wallace 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7

  2. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  3. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching.

    PubMed

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-12-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  4. Low-energy BF2, BCl2, and BBr2 implants for ultrashallow P+-N junctions

    NASA Astrophysics Data System (ADS)

    Nandan, S. R.; Agarwal, Vikas; Banerjee, Sanjay K.

    1997-08-01

    We have examined low energy BCl2 and BBr2 implants as a means of fabricating ultra-shallow P+-N junctions. Five keV and 9 keV BCl2 implants and 18 keV BBr2 implants have been compared to 5 keV BF2 implants to study the benefits of using these species. BCl2 and BBr2, being heavier species, have a lower projected range and produce more damage. The greater damage restricts channeling, resulting in shallower as-implanted profiles. The increased damage amorphizes the substrate at low implant doses which results in reduced transient enhanced diffusion (TED) during the post-implant anneal. Post-anneal SIMS profiles indicate a junction depth reduction of over 10 nm (at 5 X 1017 cm-3 background doping) for 5 keV BCl2 implants as compared to 5 keV BF2 implants. Annealed junctions as shallow as 10 nm have been obtained from the 18 keV BBr2 implants. The increased damage degrades the electrical properties of these junctions by enhancing the leakage current densities. BCl2 implanted junctions have leakage current densities of approximately 1 (mu) A/cm2 as compared to 10 nA/cm2 for the BF2 implants. BBr2 implants have a lower leakage density of approximately 50 nA/cm2. Low energy BBr2 implants offer an exciting alternative for fabricating low leakage, ultra-shallow P+-N junctions.

  5. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  6. Production of simplex RNS and ROS by nanosecond pulse N2/O2 plasma jets with homogeneous shielding gas for inducing myeloma cell apoptosis

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Xu, Dehui; Liu, Dingxin; Cui, Qingjie; Cai, Haifeng; Li, Qiaosong; Chen, Hailan; Kong, Michael G.

    2017-05-01

    In this paper, atmospheric pressure N2/O2 plasma jets with homogeneous shielding gas excited by nanosecond pulse are obtained to generate simplex reactive nitrogen species (RNS) and reactive oxygen species (ROS), respectively, for the purpose of studying the simplex RNS and ROS to induce the myeloma cell apoptosis with the same discharge power. The results reveal that the cell death rate by the N2 plasma jet with N2 shielding gas is about two times that of the O2 plasma jet with O2 shielding gas for the equivalent treatment time. By diagnosing the reactive species of ONOO-, H2O2, OH and \\text{O}2- in medium, our findings suggest the cell death rate after plasma jets treatment has a positive correlation with the concentration of ONOO-. Therefore, the ONOO- in medium is thought to play an important role in the process of inducing myeloma cell apoptosis.

  7. Remarkably improved field emission of TiO{sub 2} nanotube arrays by annealing atmosphere engineering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, Ai-Zhen; Wang, Cheng-Wei, E-mail: cwwang@nwnu.edu.cn; Chen, Jian-Biao

    2015-10-15

    Highlights: • TNAs were prepared by anodization and annealed in different atmospheres. • The crystal structure and electronic properties of the prepared TNAs were investigated. • The field emission of TNAs was highly dependent on annealing atmosphere. • A low turn-on of 2.44 V/μm was obtained for TNAs annealed in H{sub 2} atmosphere. - Abstract: Highly ordered TiO{sub 2} nanotube arrays (TNAs) were prepared by anodization, and followed by annealing in the atmospheres of Air, Vacuum, Ar, and H{sub 2}. The effect of annealing atmosphere on the crystal structure, composition, and electronic properties of TNAs were systematically investigated. Raman andmore » EDS results indicated that the TNAs annealed in anaerobic atmospheres contained more oxygen vacancies, which result in the substantially improved electron transport properties and reduced work function. Moreover, it was found that the FE properties of TNAs were highly dependent on the annealing atmosphere. By engineering the annealing atmosphere, the turn-on field as low as 2.44 V/μm can be obtained from TNAs annealed in H{sub 2}, which was much lower than the value of 18.23 V/μm from the TNAs annealed in the commonly used atmosphere of Air. Our work suggests an instructive and attractive way to fabricate high performance TNAs field emitters.« less

  8. Ion implantation damage, annealing and dopant activation in epitaxial gallium nitride

    NASA Astrophysics Data System (ADS)

    Suvkhanov, Agajan

    2001-07-01

    crystal, and made it possible to achieve optical activation of the implanted Mg + and Si+ ions. PL measurements at 16 K of GaN samples implanted with Mg+ and annealed in a capsule showed three relatively strong peaks at 211, 303, and 395 meV from the band-edge emission. The relative intensity of the "yellow" band emission (i.e. defect band) was several times lower in the case of annealing in a sealed capsule as compared to that of open anneals in flowing argon or nitrogen. A separate set of specially-grown GaN samples was used for low temperature (1.8 K) PL analysis of the activation properties of Mg+-implanted and Mg+/P+-implanted samples. The samples were annealed in Rapid thermal processor (RTP) at 1300°C for 10 s with AlON encapsulation in flowing N2. The Mg+ implants showed good optical activation, producing a dose-correlated acceptor bound exciton peak with 12.2 meV localization energy, and donor-to-acceptor and band-to-acceptor peaks at 3.270 and 3.284 eV, respectively. The spectroscopic Mg acceptor binding energy was found to be 224 meV. A broad peak at 2.35 eV is attributed to implantation-induced defects stable in p-type material.

  9. Enhanced Photoelectrochemical Behavior of H-TiO2 Nanorods Hydrogenated by Controlled and Local Rapid Thermal Annealing

    NASA Astrophysics Data System (ADS)

    Wang, Xiaodan; Estradé, Sonia; Lin, Yuanjing; Yu, Feng; Lopez-Conesa, Lluis; Zhou, Hao; Gurram, Sanjeev Kumar; Peiró, Francesca; Fan, Zhiyong; Shen, Hao; Schaefer, Lothar; Braeuer, Guenter; Waag, Andreas

    2017-05-01

    Recently, colored H-doped TiO2 (H-TiO2) has demonstrated enhanced photoelectrochemical (PEC) performance due to its unique crystalline core—disordered shell nanostructures and consequent enhanced conduction behaviors between the core-shell homo-interfaces. Although various hydrogenation approaches to obtain H-TiO2 have been developed, such as high temperature hydrogen furnace tube annealing, high pressure hydrogen annealing, hydrogen-plasma assisted reaction, aluminum reduction and electrochemical reduction etc., there is still a lack of a hydrogenation approach in a controlled manner where all processing parameters (temperature, time and hydrogen flux) were precisely controlled in order to improve the PEC performance of H-TiO2 and understand the physical insight of enhanced PEC performance. Here, we report for the first time a controlled and local rapid thermal annealing (RTA) approach to prepare hydrogenated core-shell H-TiO2 nanorods grown on F:SnO2 (FTO) substrate in order to address the degradation issue of FTO in the typical TiO2 nanorods/FTO system observed in the conventional non-RTA treated approaches. Without the FTO degradation in the RTA approach, we systematically studied the intrinsic relationship between the annealing temperature, structural, optical, and photoelectrochemical properties in order to understand the role of the disordered shell on the improved photoelectrochemical behavior of H-TiO2 nanorods. Our investigation shows that the improvement of PEC performance could be attributed to (i) band gap narrowing from 3.0 to 2.9 eV; (ii) improved optical absorption in the visible range induced by the three-dimensional (3D) morphology and rough surface of the disordered shell; (iii) increased proper donor density; (iv) enhanced electron-hole separation and injection efficiency due to the formation of disordered shell after hydrogenation. The RTA approach developed here can be used as a suitable hydrogenation process for TiO2 nanorods/FTO system for

  10. Enhanced Photoelectrochemical Behavior of H-TiO2 Nanorods Hydrogenated by Controlled and Local Rapid Thermal Annealing.

    PubMed

    Wang, Xiaodan; Estradé, Sonia; Lin, Yuanjing; Yu, Feng; Lopez-Conesa, Lluis; Zhou, Hao; Gurram, Sanjeev Kumar; Peiró, Francesca; Fan, Zhiyong; Shen, Hao; Schaefer, Lothar; Braeuer, Guenter; Waag, Andreas

    2017-12-01

    Recently, colored H-doped TiO 2 (H-TiO 2 ) has demonstrated enhanced photoelectrochemical (PEC) performance due to its unique crystalline core-disordered shell nanostructures and consequent enhanced conduction behaviors between the core-shell homo-interfaces. Although various hydrogenation approaches to obtain H-TiO 2 have been developed, such as high temperature hydrogen furnace tube annealing, high pressure hydrogen annealing, hydrogen-plasma assisted reaction, aluminum reduction and electrochemical reduction etc., there is still a lack of a hydrogenation approach in a controlled manner where all processing parameters (temperature, time and hydrogen flux) were precisely controlled in order to improve the PEC performance of H-TiO 2 and understand the physical insight of enhanced PEC performance. Here, we report for the first time a controlled and local rapid thermal annealing (RTA) approach to prepare hydrogenated core-shell H-TiO 2 nanorods grown on F:SnO 2 (FTO) substrate in order to address the degradation issue of FTO in the typical TiO 2 nanorods/FTO system observed in the conventional non-RTA treated approaches. Without the FTO degradation in the RTA approach, we systematically studied the intrinsic relationship between the annealing temperature, structural, optical, and photoelectrochemical properties in order to understand the role of the disordered shell on the improved photoelectrochemical behavior of H-TiO 2 nanorods. Our investigation shows that the improvement of PEC performance could be attributed to (i) band gap narrowing from 3.0 to 2.9 eV; (ii) improved optical absorption in the visible range induced by the three-dimensional (3D) morphology and rough surface of the disordered shell; (iii) increased proper donor density; (iv) enhanced electron-hole separation and injection efficiency due to the formation of disordered shell after hydrogenation. The RTA approach developed here can be used as a suitable hydrogenation process for TiO 2 nanorods

  11. Achievement of normally-off AlGaN/GaN high-electron mobility transistor with p-NiOx capping layer by sputtering and post-annealing

    NASA Astrophysics Data System (ADS)

    Huang, Shyh-Jer; Chou, Cheng-Wei; Su, Yan-Kuin; Lin, Jyun-Hao; Yu, Hsin-Chieh; Chen, De-Long; Ruan, Jian-Long

    2017-04-01

    In this paper, we present a technique to fabricate normally off GaN-based high-electron mobility transistor (HEMT) by sputtering and post-annealing p-NiOx capping layer. The p-NiOx layer is produced by sputtering at room temperature and post-annealing at 500 °C for 30 min in pure O2 environment to achieve high hole concentration. The Vth shifts from -3 V in the conventional transistor to 0.33 V, and on/off current ratio became 107. The forward and reverse gate breakdown increase from 3.5 V and -78 V to 10 V and -198 V, respectively. The reverse gate leakage current is 10-9 A/mm, and the off-state drain-leakage current is 10-8 A/mm. The Vth hysteresis is extremely small at about 33 mV. We also investigate the mechanism that increases hole concentration of p-NiOx after annealing in oxygen environment resulted from the change of Ni2+ to Ni3+ and the surge of (111)-orientation.

  12. Effect of Nano-Si3N4 Additives and Plasma Treatment on the Dry Sliding Wear Behavior of Plasma Sprayed Al2O3-8YSZ Ceramic Coatings

    NASA Astrophysics Data System (ADS)

    Gou, Junfeng; Zhang, Jian; Zhang, Qiwen; Wang, You; Wang, Chaohui

    2017-04-01

    In this paper, the effect of nano-Si3N4 additives and plasma treatment on the wear behavior of Al2O3-8YSZ ceramic coatings was studied. Nano-Al2O3, nano-8YSZ (8 wt.% Y2O3-stabilized ZrO2) and nano-Si3N4 powders were used as raw materials to fabricate four types of sprayable feedstocks. Plasma treatment was used to improve the properties of the feedstocks. The surface morphologies of the ceramic coatings were observed. The mechanical properties of the ceramic coatings were measured. The dry sliding wear behavior of the Al2O3-8YSZ coatings with and without Si3N4 additives was studied. Nano-Si3N4 additives and plasma treatment can improve the morphologies of the coatings by prohibiting the initiation of micro-cracks and reducing the unmelted particles. The hardness and bonding strength of AZSP (Al2O3-18 wt.% 8YSZ-10 wt.% Si3N4-plasma treatment) coating increased by 79.2 and 44% compared to those of AZ (Al2O3-20 wt.% 8YSZ) coating. The porosity of AZSP coating decreased by 85.4% compared to that of AZ coating. The wear test results showed that the addition of nano-Si3N4 and plasma treatment could improve the wear resistance of Al2O3-8YSZ coatings.

  13. Dependence of electrical and optical properties of amorphous SiC:H thin films grown by rf plasma enhanced chemical vapor deposition on annealing temperature

    NASA Astrophysics Data System (ADS)

    Park, M. G.; Choi, W. S.; Hong, B.; Kim, Y. T.; Yoon, D. H.

    2002-05-01

    In this article, we investigated the dependence of optical and electrical properties of hydrogenated amorphous silicon carbide (a-SiC:H) films on annealing temperature (Ta) and radio frequency (rf) power. The substrate temperature (Ts) was 250 °C, the rf power was varied from 30 to 400 W, and the range of Ta was from 400 to 600 °C. The a-SiC:H films were deposited by using the plasma enhanced chemical vapor deposition system on Corning 7059 glasses and p-type Si (100) wafers with a SiH4+CH4 gas mixture. The experimental results have shown that the optical bandgap energy (Eg) of the a-SiC:H thin films changed little on the annealing temperature while Eg increased with the rf power. The Raman spectrum of the thin films annealed at high temperatures showed that graphitization of carbon clusters and microcrystalline silicon occurs. The current-voltage characteristics have shown good electrical properties in relation to the annealed films.

  14. Photoluminescence probing of interface evolution with annealing in InGa(N)As/GaAs single quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shao, Jun, E-mail: jshao@mail.sitp.ac.cn; Qi, Zhen; Zhu, Liang

    The effects of thermal annealing on the interfaces of InGa(N)As/GaAs single quantum wells (SQWs) are investigated by excitation-, temperature-, and magnetic field-dependent photoluminescence (PL). The annealing at 750 °C results in more significant blueshift and narrowing to the PL peak than that at 600 °C. Each of the PL spectra can be reproduced with two PL components: (i) the low-energy component (LE) keeps energetically unchanged, while the high-energy component (HE) moves up with excitation and shows at higher energy for the In{sub 0.375}Ga{sub 0.625}As/GaAs but crosses over with the LE at a medium excitation power for the In{sub 0.375}Ga{sub 0.625}N{sub 0.012}As{sub 0.988}/GaAsmore » SQWs. The HE is broader than the corresponding LE, the annealing at 750 °C narrows the LE and HE and shrinks their energetic separation; (ii) the PL components are excitonic, and the InGaNAs shows slightly enhanced excitonic effects relative to the InGaAs SQW; (iii) no typical S-shape evolution of PL energy with temperature is detectable, and similar blueshift and narrowing are identified for the same annealing. The phenomena are mainly from the interfacial processes. Annealing improves the intralayer quality, enhances the interfacial In-Ga interdiffusion, and reduces the interfacial fluctuation. The interfacial interdiffusion does not change obviously by the small N content and hence similar PL-component narrowing and blueshift are observed for the SQWs after a nominally identical annealing. Comparison with previous studies is made and the PL measurements under different conditions are shown to be effective for probing the interfacial evolution in QWs.« less

  15. Angular filter refractometry analysis using simulated annealing.

    PubMed

    Angland, P; Haberberger, D; Ivancic, S T; Froula, D H

    2017-10-01

    Angular filter refractometry (AFR) is a novel technique used to characterize the density profiles of laser-produced, long-scale-length plasmas [Haberberger et al., Phys. Plasmas 21, 056304 (2014)]. A new method of analysis for AFR images was developed using an annealing algorithm to iteratively converge upon a solution. A synthetic AFR image is constructed by a user-defined density profile described by eight parameters, and the algorithm systematically alters the parameters until the comparison is optimized. The optimization and statistical uncertainty calculation is based on the minimization of the χ 2 test statistic. The algorithm was successfully applied to experimental data of plasma expanding from a flat, laser-irradiated target, resulting in an average uncertainty in the density profile of 5%-20% in the region of interest.

  16. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  17. Electrical description of N2 capacitively coupled plasmas with the global model

    NASA Astrophysics Data System (ADS)

    Cao, Ming-Lu; Lu, Yi-Jia; Cheng, Jia; Ji, Lin-Hong; Engineering Design Team

    2016-10-01

    N2 discharges in a commercial capacitively coupled plasma reactor are modelled by a combination of an equivalent circuit and the global model, for a range of gas pressure at 1 4 Torr. The ohmic and inductive plasma bulk and the capacitive sheath are represented as LCR elements, with electrical characteristics determined by plasma parameters. The electron density and electron temperature are obtained from the global model in which a Maxwellian electron distribution is assumed. Voltages and currents are recorded by a VI probe installed after the match network. Using the measured voltage as an input, the current flowing through the discharge volume is calculated from the electrical model and shows excellent agreement with the measurements. The experimentally verified electrical model provides a simple and accurate description for the relationship between the external electrical parameters and the plasma properties, which can serve as a guideline for process window planning in industrial applications.

  18. Enhanced Chemisorption of Cu(hfac)2 on Parylene Surface by N2 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Pimanpang, S.; Wang, P.-I.; Ye, D.-X.; Juneja, J. S.; Wang, G.-C.; Lu, T.-M.

    2006-03-01

    The metallization of polymers has been intensively studied due to its wide industrial applications. We report a study of interfacial interaction of metalorganic Cu(hfac)2 with the Parylene surface. Parylene is a low k dielectric polymer prepared by a chemical vapor deposition technique. The as-deposited Parylene surface is shown to be hydrophobic with a measured water droplet contact angle ˜72 . However, after the N2 plasma treatment, the water droplet contact angle decreases to ˜40 due to the formation of oxygen and nitrogen functional groups on the surface, as observed by x-ray photoelectron spectroscopy (XPS). These functional groups improve Cu(hfac)2 chemisorption on the plasma treated Parylene surface. Further studies by XPS show that chemisorption of Cu(hfac)2 is self-limiting up to 20 sec of Cu(hfac)2 precursor exposure time. The enhancement of chemisorption of metalorganic precursors on the polymer surface is an important step for chemical vapor deposition or atomic layer deposition of metal. ^a Supported by Thai govt. fellowship (SP) and SRC (JSJ).

  19. Annealing effect on effective mass of two-dimensional electrons in InGaAsN/GaAsSb type II quantum well

    NASA Astrophysics Data System (ADS)

    Kawamata, Shuichi; Tanaka, Sho; Hibino, Akira; Kawamura, Yuichi

    2018-03-01

    The InP-based InGaAs/GaAsSb type II multiple quantum well is the system for developing optical devices for 2 – 3 μm wavelength regions. By doping nitrogen into InGaAs layers, the system becomes effective to fabricate the optical devices with longer wavelength. The epitaxial layers of InGaAsN/GaAsSb on InP substrates are grown by the molecular beam epitaxy. The electrical resistance has been measured as a function of the magnetic field up to 9 Tesla at several temperatures between 2 and 8 K. The effective mass is obtained from the temperature dependence of the amplitude of the Shubnikov-de Haas oscillations. We have reported the nitrogen concentration dependence of the effective mass on the InGaAsN/GaAsSb type II system. The effective mass increases as the nitrogen concentration increases from 0.0 to 1.5 %. In this report, the annealing effect on the effective mass is investigated. The effective mass decreases by the annealing. This result suggests that some amount of nitrogen atoms of the InGaAsN layers are considered to diffuse to the GaAsSb layers by the annealing.

  20. High temperature annealing of minority carrier traps in irradiated MOCVD n(+)p InP solar cell junctions

    NASA Technical Reports Server (NTRS)

    Messenger, S. R.; Walters, R. J.; Summers, G. P.

    1993-01-01

    Deep level transient spectroscopy was used to monitor thermal annealing of trapping centers in electron irradiated n(+)p InP junctions grown by metalorganic chemical vapor deposition, at temperatures ranging from 500 up to 650K. Special emphasis is given to the behavior of the minority carrier (electron) traps EA (0.24 eV), EC (0.12 eV), and ED (0.31 eV) which have received considerably less attention than the majority carrier (hole) traps H3, H4, and H5, although this work does extend the annealing behavior of the hole traps to higher temperatures than previously reported. It is found that H5 begins to anneal above 500K and is completely removed by 630K. The electron traps begin to anneal above 540K and are reduced to about half intensity by 630K. Although they each have slightly different annealing temperatures, EA, EC, and ED are all removed by 650K. A new hole trap called H3'(0.33 eV) grows as the other traps anneal and is the only trap remaining at 650K. This annealing behavior is much different than that reported for diffused junctions.

  1. Radiation Damage Formation And Annealing In Mg-Implanted GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whelan, Sean; Kelly, Michael J.; Yan, John

    2005-06-30

    We have implanted GaN with Mg ions over an energy range of 200keV to 1MeV at substrate temperatures of -150 (cold) and +300 deg. C (hot). The radiation damage formation in GaN was increased for cold implants when compared to samples implanted at elevated temperatures. The increase in damage formation is due to a reduction in the dynamic defect annealing during ion irradiation. The dopant stopping in the solid also depends upon the implant temperature. For a fixed implant energy and dose, Mg ions have a shorter range in GaN for cold implants when compared to hot implants which ismore » caused by the increase in scattering centres (disorder)« less

  2. Annealing-Induced Bi Bilayer on Bi2Te3 Investigated via Quasi-Particle-Interference Mapping.

    PubMed

    Schouteden, Koen; Govaerts, Kirsten; Debehets, Jolien; Thupakula, Umamahesh; Chen, Taishi; Li, Zhe; Netsou, Asteriona; Song, Fengqi; Lamoen, Dirk; Van Haesendonck, Chris; Partoens, Bart; Park, Kyungwha

    2016-09-27

    Topological insulators (TIs) are renowned for their exotic topological surface states (TSSs) that reside in the top atomic layers, and hence, detailed knowledge of the surface top atomic layers is of utmost importance. Here we present the remarkable morphology changes of Bi2Te3 surfaces, which have been freshly cleaved in air, upon subsequent systematic annealing in ultrahigh vacuum and the resulting effects on the local and area-averaging electronic properties of the surface states, which are investigated by combining scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and Auger electron spectroscopy (AES) experiments with density functional theory (DFT) calculations. Our findings demonstrate that the annealing induces the formation of a Bi bilayer atop the Bi2Te3 surface. The adlayer results in n-type doping, and the atomic defects act as scattering centers of the TSS electrons. We also investigated the annealing-induced Bi bilayer surface on Bi2Te3 via voltage-dependent quasi-particle-interference (QPI) mapping of the surface local density of states and via comparison with the calculated constant-energy contours and QPI patterns. We observed closed hexagonal patterns in the Fourier transform of real-space QPI maps with secondary outer spikes. DFT calculations attribute these complex QPI patterns to the appearance of a "second" cone due to the surface charge transfer between the Bi bilayer and the Bi2Te3. Annealing in ultrahigh vacuum offers a facile route for tuning of the topological properties and may yield similar results for other topological materials.

  3. Carrier Compensation Induced by Thermal Annealing in Al-Doped ZnO Films

    PubMed Central

    Koida, Takashi; Kaneko, Tetsuya; Shibata, Hajime

    2017-01-01

    This study investigated carrier compensation induced by thermal annealing in sputtered ZnO:Al (Al2O3: 0.25, 0.5, 1.0, and 2.0 wt %) films. The films were post-annealed in a N2 atmosphere at low (1 × 10−23 atm) and high (1 × 10−4 atm) oxygen partial pressures (PO2). In ZnO:Al films with low Al contents (i.e., 0.25 wt %), the carrier density (n) began to decrease at annealing temperatures (Ta) of 600 °C at low PO2. At higher PO2 and/or Al contents, n values began to decrease significantly at lower Ta (ca. 400 °C). In addition, Zn became desorbed from the films during heating in a high vacuum (i.e., <1 × 10−7 Pa). These results suggest the following: (i) Zn interstitials and Zn vacancies are created in the ZnO lattice during post-annealing treatments, thereby leading to carrier compensation by acceptor-type Zn vacancies; (ii) The compensation behavior is significantly enhanced for ZnO:Al films with high Al contents. PMID:28772501

  4. Microstructural evolution of diamond films from CH{sub 4}/H{sub 2}/N{sub 2} plasma and their enhanced electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sankaran, K. J.; Tai, N. H., E-mail: nhtai@mx.nthu.edu.tw; Lin, I. N., E-mail: inanlin@mail.tku.edu.tw

    2015-02-21

    The influence of N{sub 2} concentration in CH{sub 4}/H{sub 2}/N{sub 2} plasma on microstructural evolution and electrical properties of diamond films is systematically investigated. While the diamond films grown in CH{sub 4}/H{sub 2} plasma contain large diamond grains, for the diamond films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma, the microstructure drastically changed, resulting in ultra-nanosized diamond grains with Fd3m structure and a{sub 0} = 0.356 nm, along with the formation of n-diamond (n-D), a metastable form of diamond with space group Fm3m and a{sub 0} = 0.356 nm, and i-carbon (i-C) clusters, the bcc structured carbon with a{sub 0} = 0.432 nm. In addition, these films contain widemore » grain boundaries containing amorphous carbon (a-C). The electron field emission (EFE) studies show the best EFE behavior for 4% N{sub 2} films among the CH{sub 4}/H{sub 2}/N{sub 2} grown diamond films. They possess the lowest turn-on field value of 14.3 V/μm and the highest EFE current density value of 0.37 mA/cm{sup 2} at an applied field of 25.4 V/μm. The optical emission spectroscopy studies confirm that CN species are the major criterion to judge the changes in the microstructure of the films. It seems that the grain boundaries can provide electron conduction networks to transport efficiently the electrons to emission sites for field emission, as long as they have sufficient thickness. Whether the matrix nano-sized grains are 3C-diamond, n-D or i-C is immaterial.« less

  5. Bacteria Adherence Properties of Nitrogen-Doped TiO2 Coatings by Plasma Surface Alloying Technique

    NASA Astrophysics Data System (ADS)

    Wang, Hefeng; Tang, Bin; Li, Xiuyan; Fan, Ailan

    Titanium nitride coatings on 316L stainless steel (S. S) were obtained by plasma surface alloying technique. Nitrogen-doped titanium dioxide (TiO2-xNx) was synthesized by oxidative annealing the resulted TiNx coatings in air. The reference TiO2 samples were also prepared by oxidation of sputtered Ti coatings. The as-prepared coatings were characterized by X-ray diffraction, glow discharge optical emission spectrometer (GDOES), scanning electron microscopy, X-ray hotoelectron spectroscopy and UV-Vis spectrophotometry, respectively. The bacteria adherence property of the TiO2-xNx coatings on stainless steel on the oral bacteria Streptococcus Mutans was investigated and compared with that of stainless steel by fluorescence microscopy. The mechanism of the bacteria adherence was discussed. The results show that the TiO2-xNx coatings are composed of anatase crystalline structure. SEM measurement indicates a rough surface morphology with three-dimensional homogenous protuberances after annealing treatment. Optical properties reveal an extended tailing of the absorption edge toward the visible region due to nitrogen presence. The band gap of the N-doped sample is reduced from 2.29 eV to 1.90 eV compared with the pure TiO2 one. Because of the different roughness and microstructure, the TiO2-xNx coatings inhibit the bacteria adherence.

  6. Association between polymorphisms in phospholipase A2 genes and the plasma triglyceride response to an n-3 PUFA supplementation: a clinical trial.

    PubMed

    Tremblay, Bénédicte L; Cormier, Hubert; Rudkowska, Iwona; Lemieux, Simone; Couture, Patrick; Vohl, Marie-Claude

    2015-02-21

    Fish oil-derived long-chain omega-3 (n-3) polyunsaturated fatty acids (PUFAs), including eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA), reduce plasma triglyceride (TG) levels. Genetic factors such as single-nucleotide polymorphisms (SNPs) found in genes involved in metabolic pathways of n-3 PUFA could be responsible for well-recognized heterogeneity in plasma TG response to n-3 PUFA supplementation. Previous studies have shown that genes in the glycerophospholipid metabolism such as phospholipase A2 (PLA2) group II, IV, and VI, demonstrate changes in their expression levels in peripheral blood mononuclear cells (PBMCs) after n-3 PUFA supplementation. A total of 208 subjects consumed 3 g/day of n-3 PUFA for 6 weeks. Plasma lipids were measured before and after the supplementation period. Five SNPs in PLA2G2A, six in PLA2G2C, eight in PLA2G2D, six in PLA2G2F, 22 in PLA2G4A, five in PLA2G6, and nine in PLA2G7 were genotyped. The MIXED Procedure for repeated measures adjusted for age, sex, BMI, and energy intake was used in order to test whether the genotype, supplementation or interaction (genotype by supplementation) were associated with plasma TG levels. The n-3 PUFA supplementation had an independent effect on plasma TG levels. Genotype effects on plasma TG levels were observed for rs2301475 in PLA2G2C, rs818571 in PLA2G2F, and rs1569480 in PLA2G4A. Genotype x supplementation interaction effects on plasma TG levels were observed for rs1805018 in PLA2G7 as well as for rs10752979, rs10737277, rs7540602, and rs3820185 in PLA2G4A. These results suggest that, SNPs in PLA2 genes may influence plasma TG levels during a supplementation with n-3 PUFA. This trial was registered at clinicaltrials.gov as NCT01343342.

  7. Electrical characterization of the flowing afterglow of N{sub 2} and N{sub 2}/O{sub 2} microwave plasmas at reduced pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Afonso Ferreira, J.; Stafford, L., E-mail: luc.stafford@umontreal.ca; Leonelli, R.

    2014-04-28

    A cylindrical Langmuir probe was used to analyze the spatial distribution of the number density of positive ions and electrons as well as the electron energy distribution function (EEDF) in the flowing afterglow of a 6 Torr N{sub 2} and N{sub 2}/O{sub 2} plasma sustained by a propagating electromagnetic surface wave in the microwave regime. In pure N{sub 2} discharges, ion densities were in the mid 10{sup 14} m{sup −3} in the pink afterglow and in the mid 10{sup 12} m{sup −3} early in the late afterglow. In both pink and late afterglows, the ion population was much higher than the electron population,more » indicating non-macroscopically neutral media. The EEDF was close to a Maxwellian with an electron temperature of 0.5 ± 0.1 eV, except in the pink afterglow where the temperature rose to 1.1 ± 0.2 eV. This latter behavior is ascribed to N{sub 2} vibration-vibration pumping in the pink afterglow that increases the concentration of high N{sub 2} vibrational states and thus rises the electron temperature by vibration-electron collisions. After addition of small amounts of O{sub 2} in the nominally pure N{sub 2} discharge, the charged particles densities and average electron energy first strongly increased and then decreased with increasing O{sub 2} concentration. Based on these data and the evolution of the N{sub 2}{sup +}(B) band emission intensities, it is concluded that a significant change in the positive ion composition of the flowing afterglow occurs, going from N{sub 2}{sup +} in nominally pure N{sub 2} discharges to NO{sup +} after addition of trace amounts of O{sub 2} in N{sub 2}.« less

  8. High Temperature Annealing of MBE-grown Mg-doped GaN

    NASA Astrophysics Data System (ADS)

    Contreras, S.; Konczewicz, L.; Peyre, H.; Juillaguet, S.; Khalfioui, M. Al; Matta, S.; Leroux, M.; Damilano, B.; Brault, J.

    2017-06-01

    In this report, are shown the results of high temperature resistivity and Hall Effect studies of Mg-doped GaN epilayers. The samples studied were grown on (0001) (c-plane) sapphire by molecular beam epitaxy and 0.5 μm GaN:Mg layers have been achieved on low temperature buffers of GaN (30 nm) and AlN ( 150 nm). The experiments were carried out in the temperature range from 300 K up to 900 K. Up to about 870 K a typical thermally activated conduction process has been observed with the activation energy value EA = 215 meV. However, for higher temperatures, an annealing effect is observed in all the investigated samples. The increase of the free carrier concentration as a function of time leads to an irreversible decrease of sample resistivity of more than 60%.

  9. Recycling process for recovery of gallium from GaN an e-waste of LED industry through ball milling, annealing and leaching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: swain@iae.re.kr; Mishra, Chinmayee; Kang, Leeseung

    Waste dust generated during manufacturing of LED contains significant amounts of gallium and indium, needs suitable treatment and can be an important resource for recovery. The LED industry waste dust contains primarily gallium as GaN. Leaching followed by purification technology is the green and clean technology. To develop treatment and recycling technology of these GaN bearing e-waste, leaching is the primary stage. In our current investigation possible process for treatment and quantitative leaching of gallium and indium from the GaN bearing e-waste or waste of LED industry dust has been developed. To recycle the waste and quantitative leaching of gallium,more » two different process flow sheets have been proposed. In one, process first the GaN of the waste the LED industry dust was leached at the optimum condition. Subsequently, the leach residue was mixed with Na{sub 2}CO{sub 3}, ball milled followed by annealing, again leached to recover gallium. In the second process, the waste LED industry dust was mixed with Na{sub 2}CO{sub 3}, after ball milling and annealing, followed acidic leaching. Without pretreatment, the gallium leaching was only 4.91 w/w % using 4 M HCl, 100 °C and pulp density of 20 g/L. After mechano-chemical processing, both these processes achieved 73.68 w/w % of gallium leaching at their optimum condition. The developed process can treat and recycle any e-waste containing GaN through ball milling, annealing and leaching. - Highlights: • Simplest process for treatment of GaN an LED industry waste developed. • The process developed recovers gallium from waste LED waste dust. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} revealed. • Solid-state chemistry involved in this process reported. • Quantitative leaching of the GaN was achieved.« less

  10. Annealing effects on capacitance-voltage characteristics of a-Si/SiN(x) multilayer prepared using hot-wire chemical vapour deposition.

    PubMed

    Panchal, A K; Rai, D K; Solanki, C S

    2011-04-01

    Post-deposition annealing of a-Si/SiN(x) multilayer films at different temperature shows varying shift in high frequency (1 MHz) capacitance-voltage (HFCV) characteristics. Various a-Si/SiN(x) multilayer films were deposited using hot wire chemical vapor deposition (HWCVD) and annealed in the temperature range of 800 to 900 degrees C to precipitate Si quantum dots (Si-QD) in a-Si layers. HFCV measurements of the as-deposited and annealed films in metal-insulator-semiconductor (MIS) structures show hysterisis in C-V curves. The hysteresis in the as-deposited films and annealed films is attributed to charge trapping in Si-dangling bonds in a-Si layer and in Si-QD respectively. The charge trapping density in Si-QD increases with temperature while the interface defects density (D(it)) remains constant.

  11. Interface studies of N2 plasma-treated ZnSnO nanowire transistors using low-frequency noise measurements.

    PubMed

    Kim, Seongmin; Kim, Hwansoo; Janes, David B; Ju, Sanghyun

    2013-08-02

    Due to the large surface-to-volume ratio of nanowires, the quality of nanowire-insulator interfaces as well as the nanowire surface characteristics significantly influence the electrical characteristics of nanowire transistors (NWTs). To improve the electrical characteristics by doping or post-processing, it is important to evaluate the interface characteristics and stability of NWTs. In this study, we have synthesized ZnSnO (ZTO) nanowires using the chemical vapor deposition method, characterized the composition of ZTO nanowires using x-ray photoelectron spectroscopy, and fabricated ZTO NWTs. We have characterized the current-voltage characteristics and low-frequency noise of ZTO NWTs in order to investigate the effects of interface states on subthreshold slope (SS) and the noise before and after N2 plasma treatments. The as-fabricated device exhibited a SS of 0.29 V/dec and Hooge parameter of ~1.20 × 10(-2). Upon N2 plasma treatment with N2 gas flow rate of 40 sccm (20 sccm), the SS improved to 0.12 V/dec (0.21 V/dec) and the Hooge parameter decreased to ~4.99 × 10(-3) (8.14 × 10(-3)). The interface trap densities inferred from both SS and low-frequency noise decrease upon plasma treatment, with the highest flow rate yielding the smallest trap density. These results demonstrate that the N2 plasma treatment decreases the interface trap states and defects on ZTO nanowires, thereby enabling the fabrication of high-quality nanowire interfaces.

  12. Cu(In,Ga)Se2 thin films annealed using a continuous wave Nd:YAG laser (λ0 = 532 nm): Effects of laser-annealing time

    NASA Astrophysics Data System (ADS)

    Yoo, Myoung Han; Ko, Pil Ju; Kim, Nam-Hoon; Lee, Hyun-Yong

    2017-12-01

    Preparation of Cu(In,Ga)Se2 (CIGS) thin films has continued to face problems related to the selenization of sputtered Cu-In-Ga precursors when using H2Se vapor in that the materials are highly toxic and the facilities extremely costly. Another obstacle facing the production of CIGS thin films has been the required annealing temperature, as it relates to the decomposition temperature of a typical flexible polymer substrate. A novel laser-annealing process for CIGS thin films, which does not involve the selenization process and which can be performed at a lower temperature, has been proposed. Following sputtering with a Cu0.9In0.7Ga0.3Se2 target, the laser-annealing of the CIGS thin film was performed using a continuous 532-nm Nd:YAG laser with an annealing time of 200 - 1000 s at a laser optical power of 2.75 W. CIGS chalcopyrite (112), (220/204), and (312/116) phases, with some weak diffraction peaks corresponding to the Cu-Se- or the In-Se-related phases, were successfully obtained for all the CIGS thin films that had been laser-annealed at 2.75 W. The lattice parameters, the d-spacing, the tetragonal distortion parameter, and the strain led to the crystallinity being worse and grain size being smaller at 600 s while better crystallinity was obtained at 200 and 800 s, which was closely related to the deviations from molecularity and stoichiometry, which were greatest at 600 s while the values exhibited near-stoichiometric compositions at 200 and 800 s. The band gaps of the laser-annealed CIGS thin films were within a range of 1.765 - 1.977 eV and depended on the internal stress. The mean absorbance of the laser-annealed CIGS thin films was within a range of 1.598 - 1.900, suggesting that approximately 97.47 - 98.74% of the incident photons in the visible spectral region were absorbed by this 400-nm film. The conductivity types exhibited the same deviations (Δ m > 0 and Δ s < 0) in all the laser-annealed CIGS thin films. After laser-annealing, the resistivity

  13. Spin-the-bottle Sort and Annealing Sort: Oblivious Sorting via Round-robin Random Comparisons

    PubMed Central

    Goodrich, Michael T.

    2013-01-01

    We study sorting algorithms based on randomized round-robin comparisons. Specifically, we study Spin-the-bottle sort, where comparisons are unrestricted, and Annealing sort, where comparisons are restricted to a distance bounded by a temperature parameter. Both algorithms are simple, randomized, data-oblivious sorting algorithms, which are useful in privacy-preserving computations, but, as we show, Annealing sort is much more efficient. We show that there is an input permutation that causes Spin-the-bottle sort to require Ω(n2 log n) expected time in order to succeed, and that in O(n2 log n) time this algorithm succeeds with high probability for any input. We also show there is a specification of Annealing sort that runs in O(n log n) time and succeeds with very high probability. PMID:24550575

  14. Influences of annealing temperature on sprayed CuFeO2 thin films

    NASA Astrophysics Data System (ADS)

    Abdelwahab, H. M.; Ratep, A.; Abo Elsoud, A. M.; Boshta, M.; Osman, M. B. S.

    2018-06-01

    Delafossite CuFeO2 thin films were successfully prepared onto quartz substrates using simple spray pyrolysis technique. Post annealing under nitrogen atmosphere for 2 h was necessary to form delafossite CuFeO2 phase. The effect of alteration in annealing temperature (TA) 800, 850 and 900 °C was study on structural, morphology and optical properties. The XRD results for thin film annealed at TA = 850 °C show single phase CuFeO2 with rhombohedral crystal system and R 3 bar m space group with preferred orientation along (0 1 2). The prepared copper iron oxide thin films have an optical transmission ranged ∼40% in the visible region. The optical direct optical band gap of the prepared thin films was ranged ∼2.9 eV.

  15. Recycling process for recovery of gallium from GaN an e-waste of LED industry through ball milling, annealing and leaching.

    PubMed

    Swain, Basudev; Mishra, Chinmayee; Kang, Leeseung; Park, Kyung-Soo; Lee, Chan Gi; Hong, Hyun Seon

    2015-04-01

    Waste dust generated during manufacturing of LED contains significant amounts of gallium and indium, needs suitable treatment and can be an important resource for recovery. The LED industry waste dust contains primarily gallium as GaN. Leaching followed by purification technology is the green and clean technology. To develop treatment and recycling technology of these GaN bearing e-waste, leaching is the primary stage. In our current investigation possible process for treatment and quantitative leaching of gallium and indium from the GaN bearing e-waste or waste of LED industry dust has been developed. To recycle the waste and quantitative leaching of gallium, two different process flow sheets have been proposed. In one, process first the GaN of the waste the LED industry dust was leached at the optimum condition. Subsequently, the leach residue was mixed with Na2CO3, ball milled followed by annealing, again leached to recover gallium. In the second process, the waste LED industry dust was mixed with Na2CO3, after ball milling and annealing, followed acidic leaching. Without pretreatment, the gallium leaching was only 4.91 w/w % using 4M HCl, 100°C and pulp density of 20g/L. After mechano-chemical processing, both these processes achieved 73.68 w/w % of gallium leaching at their optimum condition. The developed process can treat and recycle any e-waste containing GaN through ball milling, annealing and leaching. Copyright © 2015 Elsevier Inc. All rights reserved.

  16. High performance VO2 thin films fabricated by room-temperature reactive magnetron sputtering and rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Zhan, Yongjun; Xiao, Xiudi; Lu, Yuan; Cao, Ziyi; Cheng, Haoliang; Shi, Jifu; Xu, Gang

    2017-10-01

    The VOx thin films are successfully prepared on glass substrate by reactive magnetron sputtering at room-temperature, and subsequently annealed by rapid thermal annealing system in N2 from 0.5Pa to 10000Pa. The effects of annealing pressure on the optical performance and phase transition temperature (Tc) of VOx thin films are systematically investigated. The results show that the VOx thin films exhibit good performance with Tlum of 28.17%, ΔTsol of 12.69%, and Tc of 42. The annealing pressure had an obvious influence on the grain size, which can be attributed to light scattering effects by gas molecule. Compared with oxygen vacancy defects, the grain size plays a decisive role in the regulation of Tc. The restricting the growth of grain can be reduced the Tc, and a little deterioration effect on optical performance can be observed. In addition, the method in this paper not only depressed the Tc, but also simplified the process and improved efficiency, which will provide guidance for the preparation and application of VOx thin films.

  17. Radiation damage annealing mechanisms and possible low temperature annealing in silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.

    1980-01-01

    The defect responsible for reverse annealing in 2 ohm/cm n(+)/p silicon solar cells was identified. This defect, with energy level at e sub v + 0.30 eV was tentatively identified as a boron oxygen-vacancy complex. Results indicate that its removal could result in significant annealing for 2 ohm/cm and lower resistivity cells at temperatures as low as 200 C. These results were obtained by use of an expression derived from the Shockley-Read-Hall recombination theory which relates measured diffusion length ratios to relative defect concentrations and electron capture cross sections. The relative defect concentrations and one of the required capture cross sections are obtained from Deep Level Transient Spectroscopy. Four additional capture cross sections are obtained using diffusion length data and data from temperature dependent lifetime studied. These calculated results are in reasonable agreement with experimental data.

  18. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  19. Diagnostic studies of H2 Ar N2 microwave plasmas containing methane or methanol using tunable infrared diode laser absorption spectroscopy

    NASA Astrophysics Data System (ADS)

    Hempel, F.; Davies, P. B.; Loffhagen, D.; Mechold, L.; Röpcke, J.

    2003-11-01

    Tunable infrared diode laser absorption spectroscopy has been used to detect the methyl radical and nine stable molecules, CH4, CH3OH, C2H2, C2H4, C2H6, NH3, HCN, CH2O and C2N2, in H2-Ar-N2 microwave plasmas containing up to 7% of methane or methanol, under both flowing and static conditions. The degree of dissociation of the hydrocarbon precursor molecules varied between 20% and 97%. The methyl radical concentration was found to be in the range 1012-1013 molecules cm-3. By analysing the temporal development of the molecular concentrations under static conditions it was found that HCN and NH3 are the final products of plasma chemical conversion. The fragmentation rates of methane and methanol (RF(CH4) = (2-7) × 1015 molecules J-1, RF(CH3OH) = (6-9) × 1015 molecules J-1) and the respective conversion rates to methane, hydrogen cyanide and ammonia (RCmax(CH4) = 1.2 × 1015 molecules J-1, RCmax(HCN) = 1.3 × 1015 molecules J-1, RCmax(NH3) = 1 × 1014 molecules J-1) have been determined for different hydrogen to nitrogen concentration ratios. An extensive model of the chemical reactions involved in the H2-N2-Ar-CH4 plasma has been developed. Model calculations were performed by including 22 species, 145 chemical reactions and appropriate electron impact dissociation rate coefficients. The results of the model calculations showed satisfactory agreement between calculated and measured concentrations. The most likely main chemical pathways involved in these plasmas are discussed and an appropriate reaction scheme is proposed.

  20. Nanosecond Plasma Enhanced H2/O2/N2 Premixed Flat Flames

    DTIC Science & Technology

    2014-01-01

    Simulations are conducted with a one-dimensional, multi-scale, pulsed -discharge model with detailed plasma-combustion kinetics to develop additional insight... model framework. The reduced electric field, E/N, during each pulse varies inversely with number density. A significant portion of the input energy is...dimensional numerical model [4, 12] capable of resolving electric field transients over nanosecond timescales (during each discharge pulse ) and radical

  1. Effect of RF power and annealing on chemical bonding and morphology of a-CN{sub x} thin films as humidity sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aziz, N. F. H; Hussain, N. S. Mohamed; Awang, R.

    2013-11-27

    Amorphous carbon nitride (a-CN{sub x}) thin films were deposited using radio frequency plasma enhanced chemical vapor deposition (rf-PECVD) technique. A set of a-CN{sub x} thin films were prepared using pure methane (CH{sub 4}) gas diluted with nitrogen (N{sub 2}) gas. The rf power was varied at 50, 60, 70, 80, 90 and 100 W. These films were then annealed at 400 °C in a quartz tube furnace in argon (Ar) gas. The effects of rf power and thermal annealing on the chemical bonding and morphology of these samples were studied. Surface profilometer was used to measure film thickness. Fourier transformmore » infra-red spectroscopy (FTIR) and Field emission scanning electron microscopy (FESEM) measurements were used to determine their chemical bonding and morphology respectively. The deposition rate of the films increased constantly with increasing rf power up to 80W, before decreasing with further increase in rf power. Fourier transform infra-red spectroscopy (FTIR) studies showed a systematic change in the spectra and revealed three main peaks included C-N, C=N, C=C and C≡N triple bond. C=N and C≡N bonds decreased with increased C-N bonds after thermal annealing process. The FESEM images showed that the structure is porous for as-deposited and covered by granule-like grain structure after thermal annealing process was done. The resistance of the a-CN{sub x} thin film changed from 23.765 kΩ to 5.845 kΩ in the relative humidity range of 5 to 92 % and the film shows a good response and repeatability as a humidity sensing materials. This work showed that rf power and thermal annealing has significant effects on the chemical bonding and surface morphology of the a-CN{sub x} films and but yield films which are potential candidate as humidity sensor device.« less

  2. Mecanismos cinéticos y distribuciones energéticas de iones (H3+, N2H+, CH3+...) en plasmas fríos de H2/N2/CH4

    NASA Astrophysics Data System (ADS)

    Tanarro, I.; Herrero, V. J.; Islyaikin, A.; Tabarés, F. L.; Tafalla, D.

    En este trabajo se presenta el estudio espectrométrico de los plasmas levemente ionizados generados en una descarga continua a baja presión de H2 con trazas de N2 y CH4, orientado principalmente a identificar la naturaleza y distribución energética de los iones que en ella se producen, y a asignar algunos de los mecanismos cinéticos elementales de formación y destrucción de tales especies. Alguno de los iones mayoritarios de estos plasmas, como el H3+, presenta gran interés desde el punto de vista de la Astrofísica por su prevista intervención en la química de las ionosferas planetarias y del medio interestelar, al actuar como sustancia intermedia en la formación de gran variedad de especies moleculares; si bien, dada su pequeña concentración, su observación real en el espacio se demoró hasta la pasada década de los años 90, cuando fue detectado por primera vez en la atmósfera de Júpiter y en otros objetos estelares. Del mismo modo que los trabajos espectroscópicos de laboratorio resultan indispensables para la posterior identificación de las especies observadas en el espacio, es de esperar que la asignación de los procesos cinéticos más importantes que tienen lugar en los plasmas generados en reactores de descarga, como los aquí presentados, permitan extrapolar los resultados así obtenidos al esclarecimiento de los mecanismos fisico-químicos participantes en otros medios observables únicamente a larga distancia.

  3. Crystallographic study of Si and ZrN coated U-Mo atomised particles and of their interaction with al under thermal annealing

    NASA Astrophysics Data System (ADS)

    Zweifel, T.; Palancher, H.; Leenaers, A.; Bonnin, A.; Honkimaki, V.; Tucoulou, R.; Van Den Berghe, S.; Jungwirth, R.; Charollais, F.; Petry, W.

    2013-11-01

    A new type of high density fuel is needed for the conversion of research and test reactors from high to lower enriched uranium. The most promising one is a dispersion of atomized uranium-molybdenum (U-Mo) particles in an Al matrix. However, during in-pile irradiation the growth of an interaction layer between the U-Mo and the Al matrix strongly limits the fuel's performance. To improve the in-pile behaviour, the U-Mo particles can be coated with protective layers. The SELENIUM (Surface Engineering of Low ENrIched Uranium-Molybdenum) fuel development project consists of the production, irradiation and post-irradiation examination of 2 flat, full-size dispersion fuel plates containing respectively Si and ZrN coated U-Mo atomized powder dispersed in a pure Al matrix. In this paper X-ray diffraction analyses of the Si and ZrN layers after deposition, fuel plate manufacturing and thermal annealing are reported. It was found for the U-Mo particles coated with ZrN (thickness 1 μm), that the layer is crystalline, and exhibits lower density than the theoretical one. Fuel plate manufacturing does not strongly influence these crystallographic features. For the U-Mo particles coated with Si (thickness 0.6 μm), the measurements of the as received material suggest an amorphous state of the deposited layer. Fuel plate manufacturing strongly modifies its composition: Si reacts with the U-Mo particles and the Al matrix to grow U(Al, Si)3 and U3Si5 phases. Finally both coatings have shown excellent performances under thermal treatment by limiting drastically the U-Mo/Al interdiffusion. U(Al,Si)3 with two lattice parameters (4.16 Å and 4.21 Å), A distorted U3Si5 phase. Note that these phases were not present in the U-Mo(Si) powders. These phases are usually found in the Silicon rich diffusion layer (SiRDL) obtained in dispersed fuels (as-manufactured U-Mo/Al(Si) fuel plates [12,3] or annealed UMo(Si)/Al fuel rods [40]) as well as in diffusion couples (U-Mo/Al(Si7) [37-39] or U

  4. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    PubMed

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  5. Thermal annealing and pressure effects on BaFe2-xCoxAs2 single crystals.

    PubMed

    Shin, Dongwon; Jung, Soon-Gil; Prathiba, G; Seo, Soonbeom; Choi, Ki-Young; Kim, Kee Hoon; Park, Tuson

    2017-11-26

    We investigate the pressure and thermal annealing effects on BaFe<sub>2-<i>x</i></sub>Co<sub><i>x</i></sub>As<sub>2</sub> (Co-Ba122) single crystals with <i>x</i> = 0.1 and 0.17 via electrical transport measurements. The thermal annealing treatment not only enhances the superconducting transition temperature (<i>T</i><sub>c</sub>) from 9.6 to 12.7 K for <i>x</i> = 0.1 and from 18.1 to 21.0 K for <i>x</i> = 0.17, but also increases the antiferromagnetic transition temperature (<i>T</i><sub>N</sub>). Simultaneous enhancement of <i>T</i><sub>c</sub> and <i>T</i><sub>N</sub> by the thermal annealing treatment indicates that thermal annealing could substantially improve the quality of the Co-doped Ba122 samples. Interestingly, <i>T</i><sub>c</sub> of the Co-Ba122 compounds shows a scaling behavior with a linear dependence on the resistivity value at 290 K, irrespective of tuning parameters, such as chemical doping, pressure, and thermal annealing. These results not only provide an effective way to access the intrinsic properties of the BaFe<sub>2</sub>As<sub>2</sub> system, but also may shed a light on designing new materials with higher superconducting transition temperature. © 2017 IOP Publishing Ltd.

  6. Postfabrication annealing effects on insulator-metal transitions in VO2 thin-film devices.

    PubMed

    Rathi, Servin; Lee, In-yeal; Park, Jin-Hyung; Kim, Bong-Jun; Kim, Hyun-Tak; Kim, Gil-Ho

    2014-11-26

    In order to investigate the metal-insulator transition characteristics of VO2 devices annealed in reducing atmosphere after device fabrication at various temperature, electrical, chemical, and thermal characteristics are measured and analyzed. It is found that the sheet resistance and the insulator-metal transition point, induced by both voltage and thermal, decrease when the devices are annealed from 200 to 500 °C. The V 2p3/2 peak variation in X-ray photoelectron spectroscopy (XPS) characterization verifies the reduction of thin-films. A decrease of the transition temperature from voltage hysteresis measurements further endorse the reducing effects of the annealing on VO2 thin-film.

  7. Microstructural Evolution of Dy2O3-TiO2 Powder Mixtures during Ball Milling and Post-Milled Annealing

    PubMed Central

    Huang, Jinhua; Ran, Guang; Lin, Jianxin; Shen, Qiang; Lei, Penghui; Wang, Xina; Li, Ning

    2016-01-01

    The microstructural evolution of Dy2O3-TiO2 powder mixtures during ball milling and post-milled annealing was investigated using XRD, SEM, TEM, and DSC. At high ball-milling rotation speeds, the mixtures were fined, homogenized, nanocrystallized, and later completely amorphized, and the transformation of Dy2O3 from the cubic to the monoclinic crystal structure was observed. The amorphous transformation resulted from monoclinic Dy2O3, not from cubic Dy2O3. However, at low ball-milling rotation speeds, the mixtures were only fined and homogenized. An intermediate phase with a similar crystal structure to that of cubic Dy2TiO5 was detected in the amorphous mixtures annealed from 800 to 1000 °C, which was a metastable phase that transformed to orthorhombic Dy2TiO5 when the annealing temperature was above 1050 °C. However, at the same annealing temperatures, pyrochlore Dy2Ti2O7 initially formed and subsequently reacted with the remaining Dy2O3 to form orthorhombic Dy2TiO5 in the homogenous mixtures. The evolutionary mechanism of powder mixtures during ball milling and subsequent annealing was analyzed. PMID:28772375

  8. P-type ZnO:N Films Prepared by Thermal Oxidation of Zn3N2

    NASA Astrophysics Data System (ADS)

    Zhang, Bin; Li, Min; Wang, Jian-Zhong; Shi, Li-Qun

    2013-02-01

    We prepare p-type ZnO:N films by annealing Zn3N2 films in oxygen over a range of temperatures. The prepared films are characterized by various techniques, such as Rutherford backscattering spectroscopy, x-ray diffraction, x-ray photoemission spectroscopy, the Hall effect and photoluminescence spectra. The results show that the Zn3N2 films start to transform to ZnO at 300°C and the N content decreases with an increase in annealing temperature. N has two local chemical states: zinc oxynitride (ZnO1-xNx) and substitutional NO in O-rich local environments (α -NO). The conduction type changes from n-type to p-type upon oxidation at 400-600°C, indicating that N is an effective acceptor in the ZnO film. The photoluminescence spectra show the UV emission and defect-related emissions of ZnO:N films. The mechanism and efficiency of p-type doping are briefly discussed.

  9. Modeling Effects of Annealing on Coal Char Reactivity to O 2 and CO 2 , Based on Preparation Conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Holland, Troy; Bhat, Sham; Marcy, Peter

    Oxy-fired coal combustion is a promising potential carbon capture technology. Predictive computational fluid dynamics (CFD) simulations are valuable tools in evaluating and deploying oxyfuel and other carbon capture technologies, either as retrofit technologies or for new construction. However, accurate predictive combustor simulations require physically realistic submodels with low computational requirements. A recent sensitivity analysis of a detailed char conversion model (Char Conversion Kinetics (CCK)) found thermal annealing to be an extremely sensitive submodel. In the present work, further analysis of the previous annealing model revealed significant disagreement with numerous datasets from experiments performed after that annealing model was developed. Themore » annealing model was accordingly extended to reflect experimentally observed reactivity loss, because of the thermal annealing of a variety of coals under diverse char preparation conditions. The model extension was informed by a Bayesian calibration analysis. In addition, since oxyfuel conditions include extraordinarily high levels of CO 2, the development of a first-ever CO 2 reactivity loss model due to annealing is presented.« less

  10. Modeling Effects of Annealing on Coal Char Reactivity to O 2 and CO 2 , Based on Preparation Conditions

    DOE PAGES

    Holland, Troy; Bhat, Sham; Marcy, Peter; ...

    2017-08-25

    Oxy-fired coal combustion is a promising potential carbon capture technology. Predictive computational fluid dynamics (CFD) simulations are valuable tools in evaluating and deploying oxyfuel and other carbon capture technologies, either as retrofit technologies or for new construction. However, accurate predictive combustor simulations require physically realistic submodels with low computational requirements. A recent sensitivity analysis of a detailed char conversion model (Char Conversion Kinetics (CCK)) found thermal annealing to be an extremely sensitive submodel. In the present work, further analysis of the previous annealing model revealed significant disagreement with numerous datasets from experiments performed after that annealing model was developed. Themore » annealing model was accordingly extended to reflect experimentally observed reactivity loss, because of the thermal annealing of a variety of coals under diverse char preparation conditions. The model extension was informed by a Bayesian calibration analysis. In addition, since oxyfuel conditions include extraordinarily high levels of CO 2, the development of a first-ever CO 2 reactivity loss model due to annealing is presented.« less

  11. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    NASA Astrophysics Data System (ADS)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  12. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  13. Low resistance ohmic contacts to n-GaN and n-AlGaN using NiAl

    NASA Astrophysics Data System (ADS)

    Ingerly, D. B.; Chen, Y.; William, R. S.; Takeuchi, T.; Chang, Y. A.

    2000-07-01

    The intermetallic compound NiAl (50:50 at. %) has been shown to be a low-resistance ohmic contact to n-GaN and n-AlGaN. NiAl contacts on n-GaN (n=2.5×1017cm-3) had a specific contact resistance of 9.4×10-6 Ω cm2 upon annealing at 850 °C for 5 min. NiAl contacts annealed at 900 °C for 5 min in n-Al0.12Ga0.88N (n=2.4×1018cm-3) and n-Al0.18Ga0.82N (n=2.7×1018cm-3) had specific contact resistances of 2.1×10-5 Ω cm2 and 4.7×10-5 Ω cm2, respectively. Additionally, these contacts were subjected to long-term annealing at 600 °C for 100 h. On n-GaN, the contact specific contact resistance degraded from 9.4×10-6 Ω cm2 to 5.3×10-5 Ω cm2 after the long-term anneal. Contacts to n-Al0.18Ga0.82N showed only slight degradation with a change in contact resistance, from 4.7×10-5 Ω cm2 to 9.2×10-5 Ω cm2. These results demonstrate the NiAl has great promise as a stable, low-resistance contact, particularly to n-AlGaN used in high-temperature applications.

  14. Spectroscopic studies of MW plasmas containing HMDSO, O2 and N2

    NASA Astrophysics Data System (ADS)

    Nave, Andy; Roepcke, Juergen; Mitschker, Felix; Awakowicz, Peter

    2015-09-01

    The deposition of SiOx layers based on organosilicon plasmas is used to implement advantageous mechanical, electrical, and/or optical properties on various substrates. The development of such coating processes resulting in a wide range of chemical and physical film properties, using hexamethyldisiloxane (HMDSO) as a precursor, has been in the center of interest of various studies. In plasma, the dissociation of HMDSO into a large amount of fragments is a complex chemical phenomenon. The monitoring of the precursor and of formed species is very valuable to understand the plasma chemistry. Infrared absorption spectroscopy based on lead salt lasers and EC Quantum Cascade Laser have been used to monitor the concentrations of HMDSO, and of the reaction products CH4, C2H2, C2H4,C2H6, CO, CO2 and CH3 as a function of the HMDSO/O2 mixture ratio, and the power at various pressures in a MW plasma deposition reactor. Optical emission spectroscopy has been applied as complementary diagnostics to evaluate electron density and electron temperature. Supported by the German Research Foundation within SFB-TR24 and SFB-TR87.

  15. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl; Institute for Materials Research; Sankaran, Kamatchi J.

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that whilemore » the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.« less

  16. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  17. Influence of annealing on X-ray radiation sensing properties of TiO2 thin film

    NASA Astrophysics Data System (ADS)

    Sarma, M. P.; Kalita, J. M.; Wary, G.

    2018-03-01

    A recent study shows that the titanium dioxide (TiO2) thin film synthesised by a chemical bath deposition technique is a very useful material for the X-ray radiation sensor. In this work, we reported the influence of annealing on the X-ray radiation detection sensitivity of the TiO2 film. The films were annealed at 333 K, 363 K, 393 K, 473 K, and 573 K for 1 hour. Structural analyses showed that the microstrain and dislocation density decreased whereas the average crystallite size increased with annealing. The band gap of the films also decreased from 3.26 eV to 3.10 eV after annealing. The I-V characteristics record under the dark condition and under the X-ray irradiation showed that the conductivity increased with annealing. The influence of annealing on the detection sensitivity was negligible if the bias voltage applied across the films was low (within 0.2 V‒1.0 V). At higher bias voltage (>1.0 V), the contribution of electrons excited by X-ray became less significant which affected the detection sensitivity.

  18. Effect of annealing induced residual stress on the resonance frequency of SiO2 microcantilevers

    NASA Astrophysics Data System (ADS)

    Balasubramanian, S.; Prabakar, K.; Tripura Sundari, S.

    2018-04-01

    In the present work, effect of residual stress, induced due to annealing of SiO2 microcantilevers (MCs) on their resonance frequency is studied. SiO2MCs of various dimensions were fabricated using direct laser writer & wet chemical etching method and were annealed at 800 °C in oxygen environment, post release. The residual stress was estimated from the deflection profile of the MCs measured using 3D optical microscope, before and after annealing. Resonance frequency of the MCs was measured using nano-vibration analyzer and was found to change after annealing. Further the frequency shift was found to depend on the MC dimensions. This is attributed to the large stress gradients induced by annealing and associated stiffness changes.

  19. Observation of shift in band gap with annealing in hydrothermally synthesized TiO2-thin films

    NASA Astrophysics Data System (ADS)

    Pawar, Vani; Jha, Pardeep K.; Singh, Prabhakar

    2018-05-01

    Anatase TiO2 thin films were synthesized by hydrothermal method. The films were fabricated on a glass substrate by spin coating unit and annealed at 500 °C for 2 hours in ambient atmosphere. The effect of annealing on microstructure and optical properties of TiO2 thin films namely, just deposited and annealed thin film were investigated. The XRD data confirms the tetragonal crystalline structure of the films with space group I41/amd. The surface morphology suggests that TiO2 particles are almost homogeneous in size and annealing of the film affect the grain growth of the particles. The band gap energy increases from 2.81 to 3.34 eV. On the basis of our observation, it can be concluded that the annealing of TiO2 thin films enhances the absorption range and it may find potential application in the field of solar cells.

  20. Tunable ferromagnetic resonance behavior in Co2FeSi film by post-annealing

    NASA Astrophysics Data System (ADS)

    Xu, Zhan; Zhang, Zhi; Hu, Fang; Li, Xia; Liu, Peng; Liu, Er; Xu, Feng

    2018-05-01

    Co2FeSi film is potential in the spintronics applications, due to its low damping factor, which is reflected in the ferromagnetic resonance behavior. In this work, we demonstrate that the ferromagnetic resonance behavior in Co2FeSi film can be well engineered by post-annealing. After 450 °C post-annealing for 1 hour, the Gilbert damping factor decreases drastically from 0.039 at as-deposited state to 0.006, and the inhomogeneity contribution of ferromagnetic resonance linewidth decreases to 60.5 Oe. These decreases are ascribed to the crystallization of film from amorphous state to an ordered B2 phase. Higher annealing temperature, however, leads to the formation of the A2 phase with higher atomic disorder, instead of B2 phase, and brings about the increase of Gilbert damping.

  1. X-Ray Photoelectron Spectroscopy and Tribology Studies of Annealed Fullerene-like WS2 Nanoparticles

    NASA Astrophysics Data System (ADS)

    Kopnov, F.; Tenne, R.; Späth, B.; Jägermann, W.; Cohen, H.; Feldman, Y.; Zak, A.; Moshkovich, A.; Rapoport, L.

    The temporal chemical changes occurring at the surface of fullerene-like (IF) nanoparticles of WS2 were investigated using X-ray photo-electron spectroscopy (XPS) and compared to those of bulk powder (2H) of the same material. It is possible to follow the long term (surface oxidation and carbonization) occurring at defects on the outermost surface (0001) layer of the fullerene-like nanoparticles. Similar but perhaps more distinctive changes are observed on the prismatic (hk0) surfaces of the 2H powder. Vacuum annealing is shown to remove most of these changes and bring the surface close to its stoichiometric composition. In accordance with previous measurements, further evidence is obtained for the existence of water molecules which are entrapped in the hollow core and interstitial defects of the fullerene-like nanoparticles during the synthesis. They are also shown to be removed by the vacuum annealing process. Chemically resolved electrical measurements (CREM) in the XPS show that the vacuum annealed IF samples become more intrinsic. Finally, tribological measurements show that the vacuum annealed IF samples perform better as an additive to oil than the non-annealed IF samples and the bulk (2H) platelets powder.

  2. Effect of post-annealing on sputtered MoS2 films

    NASA Astrophysics Data System (ADS)

    Wong, W. C.; Ng, S. M.; Wong, H. F.; Cheng, W. F.; Mak, C. L.; Leung, C. W.

    2017-12-01

    Typical routes for fabricating MoS2-based electronic devices rely on the transfer of as-prepared flakes to target substrates, which is incompatible with conventional device fabrication methods. In this work we investigated the preparation of MoS2 films by magnetron sputtering. By subjecting room-temperature sputtered MoS2 films to post-annealing at mild conditions (450 °C in a nitrogen flow), crystalline MoS2 films were formed. To demonstrate the compatibility of the technique with typical device fabrication processes, MoS2 was prepared on epitaxial magnetic oxide films of La0.7Sr0.3MnO3, and the magnetic behavior of the films were unaffected by the post-annealing process. This work demonstrates the possibility of fabricating electronic and spintronic devices based on continuous MoS2 films prepared by sputtering deposition.

  3. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  4. Multistage plasma initiation process by pulsed CO2 laser irradiation of a Ti sample in an ambient gas (He, Ar, or N2)

    NASA Astrophysics Data System (ADS)

    Hermann, J.; Boulmer-Leborgne, C.; Mihailescu, I. N.; Dubreuil, B.

    1993-02-01

    New experimental results are reported on plasma initiation in front of a titanium sample irradiated by ir (λ=10.6 μm) laser pulses in an ambient gas (He, Ar, and N2) at pressures ranging from several Torr up to the atmosphere. The plasma is studied by space- and time-resolved emission spectroscopy, while sample vaporization is probed by laser-induced fluorescence spectroscopy. Threshold laser intensities leading to the formation of a plasma in the vapor and in the ambient gases are determined. Experimental results support the model of a vaporization mechanism for the plasma initiation (vaporization-initiated plasma breakdown). The plasma initiation is described by simple numerical criteria based on a two-stage process. Theoretical predictions are found to be in a reasonable agreement with the experiment. This study provides also a clear explanation of the influence of the ambient gas on the laser beam-metal surface energy transfer. Laser irradiation always causes an important vaporization when performed in He, while in the case of Ar or N2, the interaction is reduced in heating and vaporization of some surface defects and impurities.

  5. Annealing temperature effects on the magnetic properties and induced defects in C/N/O implanted MgO

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Ye, Bonian; Hao, Yingping; Liu, Jiandang; Kong, Wei; Ye, Bangjiao

    2013-02-01

    Virgin MgO single crystals were implanted with 70 keV C/N/O ions at room temperature to a dose of 2 × 1017/cm2. After implantation the samples showed room temperature hysteresis in magnetization loops. The annealing effects on the magnetic properties and induced defects of these samples were determined by vibrating sample magnetometer and positron annihilation spectroscopy, respectively. The experimental results indicate that ferromagnetism can be introduced to MgO single crystals by doping with C, N or introduction of Mg related vacancy defects. However, the Mg vacancies coexistence with C or N ions in the C-/N-implanted samples may play a negative role in magnetic performance in these MgO samples. The rapid increase of magnetic moment in O-implanted sample is attributed to the formation of new type of vacancy defects.

  6. Effects of rapid thermal annealing on the contact of tungsten/p-diamond

    NASA Astrophysics Data System (ADS)

    Zhao, D.; Li, F. N.; Liu, Z. C.; Chen, X. D.; Wang, Y. F.; Shao, G. Q.; Zhu, T. F.; Zhang, M. H.; Zhang, J. W.; Wang, J. J.; Wang, W.; Wang, H. X.

    2018-06-01

    The electrical properties, surface morphology and interface characteristics of W/p-diamond contact before and after annealing have been investigated. It is shown that the as-fabricated W/p-diamond contact exhibited non-linear behavior. After annealing at a temperature higher than 400 °C, the W/p-diamond contact showed ohmic behaviour. The specific contact resistance of W/p-diamond was 8.2 × 10-4 Ω·cm2 after annealing at 500 °C for 3 min in a N2 ambient, which was extracted from fitting the I-V relationship of TLM. It is noted that the RMS roughness increases with the annealing temperature increasing, which could be ascribed to the formation of WOX by the reaction of W and oxygen at high temperature. The XPS measurement showed that the barrier height of the W/p-diamond is 0.45 ± 0.12 eV after annealing at 500 °C. Furthermore, the formation of defects at the W/p-diamond interface, probably created by the formation of tungsten carbide during rapid thermal annealing, should be responsible for the ohmic formation of W/p-diamond after annealing at high temperature.

  7. Experimental investigation of the contact resistance of Graphene/MoS2 interface treated with O2 plasma

    NASA Astrophysics Data System (ADS)

    Lu, Qin; Liu, Yan; Han, Genquan; Fang, Cizhe; Shao, Yao; Zhang, Jincheng; Hao, Yue

    2018-02-01

    High contact resistance has been a major bottleneck for MoS2 to achieve high performances among two-dimensional material based optoelectronic and electronic devices. In this study, we investigate the contact resistances of different layered graphene film with MoS2 film with Ti/Au electrodes under different O2 plasma treatment time using the circular transmission line model (CTLM). Annealing process followed O2 plasma process to reduce the oxygen element introduced. Raman and X-ray photoelectric spectroscopy were used to analyze the quality of the materials. Finally, the current and voltage curve indicates good linear characteristics. Under the optimized condition of the O2 plasma treatment, a relatively low contact resistance (∼35.7 Ohm mm) without back gate voltage in single-layer graphene/MoS2 structure at room temperature was achieved compared with the existing reports. This method of introducing graphene as electrodes for MoS2 film demonstrates a remarkable ability to improve the contact resistance, without additional channel doping for two-dimensional materials based devices, which paves the way for MoS2 to be a more promising channel material in optoelectronic and electronic integration.

  8. Impacts of Annealing Conditions on the Flat Band Voltage of Alternate La2O3/Al2O3 Multilayer Stack Structures.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2016-12-01

    The mechanism of flat band voltage (VFB) shift for alternate La2O3/Al2O3 multilayer stack structures in different annealing condition is investigated. The samples were prepared for alternate multilayer structures, which were annealed in different conditions. The capacitance-voltage (C-V) measuring results indicate that the VFB of samples shift negatively for thinner bottom Al2O3 layer, increasing annealing temperature or longer annealing duration. Simultaneously, the diffusion of high-k material to interfaces in different multilayer structures and annealing conditions is observed by X-ray photoelectron spectroscopy (XPS). Based on the dipole theory, a correlation between the diffusion effect of La towards bottom Al2O3/Si interface and VFB shift is found. Without changing the dielectric constant k of films, VFB shift can be manipulated by controlling the single-layer cycles and annealing conditions of alternate high-k multilayer stack.

  9. Self-terminated etching of GaN with a high selectivity over AlGaN under inductively coupled Cl2/N2/O2 plasma with a low-energy ion bombardment

    NASA Astrophysics Data System (ADS)

    Zhong, Yaozong; Zhou, Yu; Gao, Hongwei; Dai, Shujun; He, Junlei; Feng, Meixin; Sun, Qian; Zhang, Jijun; Zhao, Yanfei; DingSun, An; Yang, Hui

    2017-10-01

    Etching of GaN/AlGaN heterostructure by O-containing inductively coupled Cl2/N2 plasma with a low-energy ion bombardment can be self-terminated at the surface of the AlGaN layer. The estimated etching rates of GaN and AlGaN were 42 and 0.6 nm/min, respectively, giving a selective etching ratio of 70:1. To study the mechanism of the etching self-termination, detailed characterization and analyses were carried out, including X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectroscopy (TOF-SIMS). It was found that in the presence of oxygen, the top surface of the AlGaN layer was converted into a thin film of (Al,Ga)Ox with a high bonding energy, which effectively prevented the underlying atoms from a further etching, resulting in a nearly self-terminated etching. This technique enables a uniform and reproducible fabrication process for enhancement-mode high electron mobility transistors with a p-GaN gate.

  10. Normally-off p-GaN/AlGaN/GaN high electron mobility transistors using hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Hao, Ronghui; Fu, Kai; Yu, Guohao; Li, Weiyi; Yuan, Jie; Song, Liang; Zhang, Zhili; Sun, Shichuang; Li, Xiajun; Cai, Yong; Zhang, Xinping; Zhang, Baoshun

    2016-10-01

    In this letter, we report a method by introducing hydrogen plasma treatment to realize normally-off p-GaN/AlGaN/GaN HEMT devices. Instead of using etching technology, hydrogen plasma was adopted to compensate holes in the p-GaN above the two dimensional electron gas (2DEG) channel to release electrons in the 2DEG channel and form high-resistivity area to reduce leakage current and increase gate control capability. The fabricated p-GaN/AlGaN/GaN HEMT exhibits normally-off operation with a threshold voltage of 1.75 V, a subthreshold swing of 90 mV/dec, a maximum transconductance of 73.1 mS/mm, an ON/OFF ratio of 1 × 107, a breakdown voltage of 393 V, and a maximum drain current density of 188 mA/mm at a gate bias of 6 V. The comparison of the two processes of hydrogen plasma treatment and p-GaN etching has also been made in this work.

  11. Effects of thermal annealing on the structural and optical properties of carbon-implanted SiO2.

    PubMed

    Poudel, P R; Paramo, J A; Poudel, P P; Diercks, D R; Strzhemechny, Y M; Rout, B; McDaniel, F D

    2012-03-01

    Amorphous carbon (a-C) nanoclusters were synthesized by the implantation of carbon ions (C-) into thermally grown silicon dioxide film (-500 nm thick) on a Si (100) wafer and processed by high temperature thermal annealing. The carbon ions were implanted with an energy of 70 keV at a fluence of 5 x 10(17) atoms/cm2. The implanted samples were annealed at 1100 degrees C for different time periods in a gas mixture of 96% Ar+4% H2. Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and High Resolution Transmission Electron Microscopy (HRTEM) were used to study the structural properties of both the as-implanted and annealed samples. HRTEM reveals the formation of nanostructures in the annealed samples. The Raman spectroscopy also confirms the formation of carbon nano-clusters in the samples annealed for 10 min, 30 min, 60 min and 90 min. No Raman features originating from the carbon-clusters are observed for the sample annealed further to 120 min, indicating a complete loss of implanted carbon from the SiO2 layer. The loss of the implanted carbon in the 120 min annealed sample from the SiO2 layer was also observed in the XPS depth profile measurements. Room temperature photoluminescence (PL) spectroscopy revealed visible emissions from the samples pointing to carbon ion induced defects as the origin of a broad 2.0-2.4 eV band, and the intrinsic defects in SiO2 as the possible origin of the -2.9 eV bands. In low temperature photoluminescence spectra, two sharp and intense photoluminescence lines at -3.31 eV and -3.34 eV appear for the samples annealed for 90 min and 120 min, whereas no such bands are observed in the samples annealed for 10 min, 30 min, and 60 min. The Si nano-clusters forming at the Si-SiO2 interface could be the origin of these intense peaks.

  12. Advanced processing of gallium nitride and gallium nitride-based devices: Ultra-high temperature annealing and implantation incorporation

    NASA Astrophysics Data System (ADS)

    Yu, Haijiang

    This dissertation is focused on three fields: ultra-high temperature annealing of GaN, activation of implanted GaN and the implantation incorporation into AlGaN/GaN HEMT processing, with an aim to increase the performance, manufacturability and reliability of AlGaN/GaN HEMTs. First, the ultra high temperature (around 1500°C) annealing of MOCVD grown GaN on sapphire has been studied, and a thermally induced threading dislocation (TD) motion and reaction are reported. Using a rapid thermal annealing (RTA) approach capable of heating 2 inch wafers to around 1500°C with 100 bar N2 over-pressure, evidence of dislocation motion was first observed in transmission electron microscopy (TEM) micrographs of both planar and patterned GaN films protected by an AIN capping layer. An associated decrease in x-ray rocking curve (XRC) full-width-half-maximum (FWHM) was also observed for both the symmetric and asymmetric scans. After annealing, the AIN capping layer remained intact, and optical measurements showed no degradation of the opto-electronic properties of the films. Then activation annealing of Si implants in MOCVD grown GaN has been studied for use in ohmic contacts. Si was implanted in semi-insulating GaN at 100 keV with doses from 5 x 1014 cm-2 to 1.5 x 1016 cm-2. Rapid thermal annealing at 1500°C with 100 bar N2 over-pressure was used for dopant activation, resulting in a minimum sheet resistance of 13.9 O/square for a dose of 7 x 1015 cm-2. Secondary ion mass spectroscopy measurements showed a post-activation broadening of the dopant concentration peak by 20 nm (at half the maximum), while X-Ray triple axis o-2theta scans indicated nearly complete implant damage recovery. Transfer length method measurements of the resistance of Ti/Al/Ni/Au contacts to activated GaN:Si (5 x 1015 cm-2 at 100 keV) indicated lowest contact resistances of 0.07 Omm and 0.02 Omm for as-deposited and subsequently annealed contacts, respectively. Finally, the incorporation of Si implantation

  13. Effect of annealing temperature on optical and electrical properties of ZrO2-SnO2 based nanocomposite thin films

    NASA Astrophysics Data System (ADS)

    Anitha, V. S.; Lekshmy, S. Sujatha; Berlin, I. John; Joy, K.

    2014-01-01

    Transparent nanocomposite ZrO2-SnO2 thin films were prepared by sol-gel dip-coating technique. Films were annealed at 500°C, 800°C and 1200°C respectively. X-ray diffraction(XRD) spectra showed a mixture of three phases: tetragonal ZrO2 and SnO2 and orthorhombic ZrSnO4. The grain size of all the three phases' increased with annealing temperature. An average transmittance greater than 85%(in UV-Visible region) is observed for all the films. The band gap for the films decreased from 4.79 eV to 4.62 eV with increase in annealing temperature from 500 to 1200 °C. The electrical resistivity increased with increase in annealing temperature. Such composite ZrO2-SnO2 films can be used in many applications and in optoelectronic devices.

  14. Annealing Behavior of 57Fe Implanted in ZrO2(Y)

    NASA Astrophysics Data System (ADS)

    Zhang, G. L.; Yu, F. H.; Weng, H. M.; Zhang, H. H.

    1998-12-01

    Using conversion electron Mossbauer spectroscopy(CEMS) and slow positron beam, the chemical states of the implanted 57Fe (100KeV,3 × 10 16 ions/cm 2) in ZrO2 containing 3 mol% Y 2O 3( ZY 3) and its thermodynamic behavior during annealing process with the temperature from 200 to 500°C were studied. After annealing at 400°C the complex of Fe3+-V has been mostly dissolved, and the prior phase to α-Fe and α-Fe nano-crystalline cluster were present in the sample. Meanwhile the mixed conducting of oxygen-ions and electrons in the ZY3 containing Fe sample appeared.

  15. Precise control of atomic nitrogen production in an electron cyclotron resonance plasma using N2/noble gas mixtures

    NASA Astrophysics Data System (ADS)

    Fan, Z. Y.; Newman, N.

    1998-07-01

    The atomic nitrogen flux and impacting ion kinetic energy are two important parameters which influence the quality of deposited nitride films using reactive growth. In this letter, a method is described to control the flux and kinetic energy of atomic and molecular nitrogen ions using an electron cyclotron resonance plasma with N2/Ar and N2/Ne gas mixtures. The results clearly show that the addition of neon to nitrogen plasma can remarkably enhance the production rate of atomic nitrogen due to Penning ionization involving the metastable state of Ne. In contrast, the addition of argon significantly decreases the rate.

  16. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  17. Characteristics of layered tin disulfide deposited by atomic layer deposition with H2S annealing

    NASA Astrophysics Data System (ADS)

    Lee, Seungjin; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Choi, Hyeongsu; Park, Hyunwoo; Jeon, Hyeongtag

    2017-04-01

    Tin disulfide (SnS2) has attracted much attention as a two-dimensional (2D) material. A high-quality, low-temperature process for producing 2D materials is required for future electronic devices. Here, we investigate tin disulfide (SnS2) layers deposited via atomic layer deposition (ALD) using tetrakis(dimethylamino)tin (TDMASn) as a Sn precursor and H2S gas as a sulfur source at low temperature (150° C). The crystallinity of SnS2 was improved by H2S gas annealing. We carried out H2S gas annealing at various conditions (250° C, 300° C, 350° C, and using a three-step method). Angle-resolved X-ray photoelectron spectroscopy (ARXPS) results revealed the valence state corresponding to Sn4+ and S2- in the SnS2 annealed with H2S gas. The SnS2 annealed with H2S gas had a hexagonal structure, as measured via X-ray diffraction (XRD) and the clearly out-of-plane (A1g) mode in Raman spectroscopy. The crystallinity of SnS2 was improved after H2S annealing and was confirmed using the XRD full-width at half-maximum (FWHM). In addition, high-resolution transmission electron microscopy (HR-TEM) images indicated a clear layered structure.

  18. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  19. Raman study of HgBa 2Ca n-1 Cu nO 2 n+2+ δ ( n=1,2,3,4 and 5) superconductors

    NASA Astrophysics Data System (ADS)

    Zhou, Xingjiang; Cardona, M.; Chu, C. W.; Lin, Q. M.; Loureiro, S. M.; Marezio, M.

    1996-02-01

    Polarized micro-Raman scattering measurements have been performed on the five members of the HgBa 2Ca n-1 Cu nO 2 n+2+ δ ( n=1,2,3,4 and 5) high- Tc superconductor family using different laser frequencies. Local laser annealing measurements were carried out to investigate the variation of the Raman spectra with the excess oxygen content, δ. A systematic evolution of the spectra, which display mainly peaks near 590, 570, 540 and 470 cm -1, with increasing number of CuO 2 layers has been observed; its origin has been shown to lie in the variation of the interstitial oxygen content. In addition to confirming that the 590 cm -1 mode represents vibration of apical oxygens in the absence of neighboring excess oxygen, the 570 cm -1 mode, which may be composed of some finer structures, has been assigned to the vibration of the apical oxygen modified by the presence of the neighboring excess oxygens. The 540 and 470 cm -1 modes may represent the direct vibration of excess oxygens. The implication of possible different distribution sites of excess oxygens is discussed. All other observed lower-frequency modes are also assigned.

  20. Coherent Coupled Qubits for Quantum Annealing

    NASA Astrophysics Data System (ADS)

    Weber, Steven J.; Samach, Gabriel O.; Hover, David; Gustavsson, Simon; Kim, David K.; Melville, Alexander; Rosenberg, Danna; Sears, Adam P.; Yan, Fei; Yoder, Jonilyn L.; Oliver, William D.; Kerman, Andrew J.

    2017-07-01

    Quantum annealing is an optimization technique which potentially leverages quantum tunneling to enhance computational performance. Existing quantum annealers use superconducting flux qubits with short coherence times limited primarily by the use of large persistent currents Ip. Here, we examine an alternative approach using qubits with smaller Ip and longer coherence times. We demonstrate tunable coupling, a basic building block for quantum annealing, between two flux qubits with small (approximately 50-nA) persistent currents. Furthermore, we characterize qubit coherence as a function of coupler setting and investigate the effect of flux noise in the coupler loop on qubit coherence. Our results provide insight into the available design space for next-generation quantum annealers with improved coherence.

  1. Variation of betaine, N,N-dimethylglycine, choline, glycerophosphorylcholine, taurine and trimethylamine-N-oxide in the plasma and urine of overweight people with type 2 diabetes over a two-year period.

    PubMed

    McEntyre, Christopher J; Lever, Michael; Chambers, Stephen T; George, Peter M; Slow, Sandy; Elmslie, Jane L; Florkowski, Christopher M; Lunt, Helen; Krebs, Jeremy D

    2015-05-01

    Plasma betaine concentrations and urinary betaine excretions have high test-retest reliability. Abnormal betaine excretion is common in diabetes. We aimed to confirm the individuality of plasma betaine and urinary betaine excretion in an overweight population with type 2 diabetes and compare this with the individuality of other osmolytes, one-carbon metabolites and trimethylamine-N-oxide (TMAO), thus assessing their potential usefulness as disease markers. Urine and plasma were collected from overweight subjects with type 2 diabetes at four time points over a two-year period. We measured the concentrations of the osmolytes: betaine, glycerophosphorylcholine (GPC) and taurine, as well as TMAO, and the one-carbon metabolites, N,N-dimethylglycine (DMG) and free choline. Samples were measured using tandem mass spectrometry (LC-MS/MS). Betaine showed a high degree of individuality (or test-retest reliability) in the plasma (index of individuality = 0.52) and urine (index of individuality = 0.45). Betaine in the plasma had positive and negative log-normal reference change values (RCVs) of 54% and -35%, respectively. The other osmolytes, taurine and GPC were more variable in the plasma of individuals compared to the urine. DMG and choline showed high individuality in the plasma and urine. TMAO was highly variable in the plasma and urine (log-normal RCVs ranging from 403% to -80% in plasma). Betaine is highly individual in overweight people with diabetes. Betaine, its metabolite DMG, and precursor choline showed more reliability than the osmolytes, GPC and taurine. The low reliability of TMAO suggests that a single TMAO measurement has low diagnostic value. © The Author(s) 2014 Reprints and permissions: sagepub.co.uk/journalsPermissions.nav.

  2. GeO{sub 2}/Ge structure submitted to annealing in deuterium: Incorporation pathways and associated oxide modifications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bom, N. M., E-mail: nicolau.bom@ufrgs.br; Soares, G. V.; Hartmann, S.

    2014-10-06

    Deuterium (D) incorporation in GeO{sub 2}/Ge structures following D{sub 2} annealing was investigated. Higher D concentrations were obtained for GeO{sub 2}/Ge samples in comparison to their SiO{sub 2}/Si counterparts annealed in the same conditions. Oxygen vacancies produced during the annealing step in D{sub 2} constitute defect sites for D incorporation, analogous to defects at the SiO{sub 2}/Si interfacial region. Besides D incorporation, volatilization of the oxide layer is also observed as a consequence of D{sub 2} annealing, especially in the high temperature regime of the present study (>450 °C). In parallel to this volatilization, the stoichiometry and chemical structure of remnantmore » oxide are modified as well. These results evidence the broader impact of forming gas annealing in dielectric/Ge structures with respect to SiO{sub 2}/Si counterparts.« less

  3. Fabrication of (NH4)2S passivated GaAs metal-insulator-semiconductor devices using low-frequency plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jaouad, A.; Aimez, V.; Aktik, Ç.; Bellatreche, K.; Souifi, A.

    2004-05-01

    Metal-insulator-semiconductor (MIS) capacitors were fabricated on n-GaAs(100) substrate using (NH4)2S surface passivation and low-frequency plasma-enhanced chemical vapor deposited silicon nitride as gate insulators. The electrical properties of the fabricated MIS capacitors were analyzed using high-frequency capacitance-voltage and conductance-voltage measurements. The high concentration of hydrogen present during low-frequency plasma deposition of silicon nitride enhances the passivation of GaAs surface, leading to the unpinning of the Fermi level and to a good modulation of the surface potential by gate voltage. The electrical properties of the insulator-semiconductor interface are improved after annealing at 450 °C for 60 s, as a significant reduction of the interface fixed charges and of the interface states density is put into evidence. The minimum interface states density was found to be about 3×1011 cm-2 eV-1, as estimated by the Terman method. .

  4. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS2 grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Kaplan, D.; Mills, K.; Lee, J.; Torrel, S.; Swaminathan, V.

    2016-06-01

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS2 grown by chemical vapor deposition (CVD) on SiO2/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150-250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS2 monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS2 monolayers can be leveraged for various device applications.

  5. Shock, Post-Shock Annealing, and Post-Annealing Shock in Ureilites

    NASA Technical Reports Server (NTRS)

    Rubin, Alan E.

    2006-01-01

    The thermal and shock histories of ureilites can be divided into four periods: 1) formation, 2) initial shock, 3) post-shock annealing, and 4) post-annealing shock. Period 1 occurred approx.4.55 Ga ago when ureilites formed by melting chondritic material. Impact events during period 2 caused silicate darkening, undulose to mosaic extinction in olivines, and the formation of diamond, lonsdaleite, and chaoite from indigenous carbonaceous material. Alkali-rich fine-grained silicates may have been introduced by impact injection into ureilites during this period. About 57% of the ureilites were unchanged after period 2. During period 3 events, impact-induced annealing caused previously mosaicized olivine grains to become aggregates of small unstrained crystals. Some ureilites experienced reduction as FeO at the edges of olivine grains reacted with C from the matrix. Annealing may also be responsible for coarsening of graphite in a few ureilites, forming euhedral-appearing, idioblastic crystals. Orthopyroxene in Meteorite Hills (MET) 78008 may have formed from pigeonite by annealing during this period. The Rb-Sr internal isochron age of approx.4.0 Ga for MET 78008 probably dates the annealing event. At this late date, impacts are the only viable heat source. About 36% of ureilites experienced period 3 events, but remained unchanged afterwards. During period 4, approx.7% of the ureilites were shocked again, as is evident in the polymict breccia, Elephant Moraine (EET) 83309. This rock contains annealed mosaicized olivine aggregates composed of small individual olivine crystals that exhibit undulose extinction. Ureilites may have formed by impact-melting chondritic material on a primitive body with heterogeneous O isotopes. Plagioclase was preferentially lost from the system due to its low impedance to shock compression. Brief melting and rapid burial minimized the escape of planetary-type noble gases from the ureilitic melts. Incomplete separation of metal from silicates

  6. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  7. Removal of NO in NO/N2, NO/N2/O2, NO/CH4/N2, and NO/CH4/O2/N2 systems by flowing microwave discharges.

    PubMed

    Hueso, José L; Gonzalez-Elipe, Agustín R; Cotrino, José; Caballero, Alfonso

    2007-02-15

    In this paper, continuing previous work, we report on experiments carried out to investigate the removal of NO from simulated flue gas in nonthermal plasmas. The plasma-induced decomposition of small concentrations of NO in N2 used as the carrier gas and O2 and CH4 as minority components has been studied in a surface wave discharge induced with a surfatron launcher. The reaction products and efficiency have been monitored by mass spectrometry as a function of the composition of the mixture. NO is effectively decomposed into N2 and O2 even in the presence of O2, provided always that enough CH4 is also present in the mixture. Other majority products of the plasma reactions under these conditions are NH3, CO, and H2. In the absence of O2, decomposition of NO also occurs, although in that case HCN accompanies the other reaction products as a majority component. The plasma for the different reaction mixtures has been characterized by optical emission spectroscopy. Intermediate excited species of NO*, C*, CN*, NH*, and CH* have been monitored depending on the gas mixture. The type of species detected and their evolution with the gas composition are in agreement with the reaction products detected in each case. The observations by mass spectrometry and optical emission spectroscopy are in agreement with the kinetic reaction models available in literature for simple plasma reactions in simple reaction mixtures.

  8. Influence of annealing environment on the ALD-Al2O3/4H-SiC interface studied through XPS

    NASA Astrophysics Data System (ADS)

    Usman, Muhammad; Arshad, Muhammad; Saveda Suvanam, Sethu; Hallén, Anders

    2018-03-01

    The instability of Al2O3/4H-SiC interface at various process temperatures and ambient is investigated by the annealing of Al2O3/4H-SiC in low vacuum conditions as well as in N2 environments. Atomic layer deposited Al2O3 on a 4H-SiC substrate with 3, 6 and 10 nm of thicknesses is treated at 300, 500, 700 and 900 °C under the vacuum level of 10-1 torr. The as-deposited and annealed structures are analyzed using x-ray photoelectron spectroscopy. It is hypothesized that the minute quantity of oxygen present in low vacuum conditions diffuses through thin layers of Al2O3 and helps in forming SiO2 at the interface even at low temperatures (i.e. 300 °C), which plays a pivotal role in determining the electrical properties of the interface. It is also proved that the absence of oxygen in the ambient prevents the formation of SiO2 at low temperatures. Additionally, it is observed that Al-OH is present in as-deposited layers, which gradually reduces after annealing. However, at around 700 °C, the concentration of oxygen in the whole structure increases to maximum and reduces at 900 °C.

  9. Reaction pathways in remote plasma nitridation of ultrathin SiO2 films

    NASA Astrophysics Data System (ADS)

    Niimi, Hiro; Khandelwal, Amit; Lamb, H. Henry; Lucovsky, Gerald

    2002-01-01

    Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N-Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.

  10. Inert gas annealing effect in solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Seungwoon; Jeong, Jaewook

    2017-08-01

    In this paper, the annealing effect of solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs), under ambient He (He-device), is systematically analyzed by comparison with those under ambient O2 (O2-device) and N2 (N2-device), respectively. The He-device shows high field-effect mobility and low subthreshold slope owing to the minimization of the ambient effect. The degradation of the O2- and N2-device performances originate from their respective deep acceptor-like and shallow donor-like characteristics, which can be verified by comparison with the He-device. However, the three devices show similar threshold voltage instability under prolonged positive bias stress due to the effect of excess oxygen. Therefore, annealing in ambient He is the most suitable method for the fabrication of reference TFTs to study the various effects of the ambient during the annealing process in solution-processed a-IGZO TFTs.

  11. Kinetics of the electronic center annealing in Al2O3 crystals

    NASA Astrophysics Data System (ADS)

    Kuzovkov, V. N.; Kotomin, E. A.; Popov, A. I.

    2018-04-01

    The experimental annealing kinetics of the primary electronic F, F+ centers and dimer F2 centers observed in Al2O3 produced under neutron irradiation were carefully analyzed. The developed theory takes into account the interstitial ion diffusion and recombination with immobile F-type and F2-centers, as well as mutual sequential transformation with temperature of three types of experimentally observed dimer centers which differ by net charges (0, +1, +2) with respect to the host crystalline sites. The relative initial concentrations of three types of F2 electronic defects before annealing are obtained, along with energy barriers between their ground states as well as the relaxation energies.

  12. Influence of growth flux solvent on anneal-tuning of ground states in CaFe2As2

    NASA Astrophysics Data System (ADS)

    Roncaioli, Connor; Drye, Tyler; Saha, Shanta R.; Paglione, Johnpierre

    2018-04-01

    The effects of anneal-tuning of single-crystalline samples of CaFe2As2 synthesized via a molten Sn-flux method are investigated using x-ray diffraction, chemical composition, electrical transport, and magnetic susceptibility measurements in order to understand the role of growth conditions on the resultant phase diagram. Previous studies of CaFe2As2 crystals synthesized using a self-flux (FeAs) method revealed an ability to tune the structural and magnetic properties of this system by control of post-synthesis annealing conditions, resulting in an ambient pressure phase diagram that spans from tetragonal/orthorhombic antiferromagnetism to the collapsed tetragonal phase of this system. In this work, we compare previous results to those obtained on crystals synthesized via Sn flux, finding similar tunability in both self- and Sn-flux cases, but less sensitivity to annealing temperatures in the latter case, resulting in a temperature-shifted phase diagram.

  13. Structural, Morphological, and Electron Transport Studies of Annealing Dependent In2O3 Dye-Sensitized Solar Cell

    PubMed Central

    Mahalingam, S.; Abdullah, H.; Shaari, S.; Muchtar, A.; Asshari, I.

    2015-01-01

    Indium oxide (In2O3) thin films annealed at various annealing temperatures were prepared by using spin-coating method for dye-sensitized solar cells (DSSCs). The objective of this research is to enhance the photovoltaic conversion efficiency in In2O3 thin films by finding the optimum annealing temperature and also to study the reason for high and low performance in the annealed In2O3 thin films. The structural and morphological characteristics of In2O3 thin films were studied via XRD patterns, atomic force microscopy (AFM), field-emission scanning electron microscopy (FESEM), EDX sampling, and transmission electron microscopy (TEM). The annealing treatment modified the nanostructures of the In2O3 thin films viewed through FESEM images. The In2O3-450°C-based DSSC exhibited better photovoltaic performance than the other annealed thin films of 1.54%. The electron properties were studied by electrochemical impedance spectroscopy (EIS) unit. The In2O3-450°C thin films provide larger diffusion rate, low recombination effect, and longer electron lifetime, thus enhancing the performance of DSSC. PMID:26146652

  14. Air-annealing of Cu(In, Ga)Se2/CdS and performances of CIGS solar cells

    NASA Astrophysics Data System (ADS)

    Niu, X.; Zhu, H.; Liang, X.; Guo, Y.; Li, Z.; Mai, Y.

    2017-12-01

    In this study, the annealing treatment on Cu(In, Ga)Se2 (CIGS)/CdS interface in air is systematically investigated under different annealing temperatures from room temperature to 150 °C and different durations. It is found that when CIGS/CdS interface is annealed for a proper duration the corresponding CIGS thin film solar cells show enhanced open circuit voltage (Voc) and fill factor (FF) as well as corresponding conversion efficiency. The capacitance-voltage (C-V) and time-resolved photoluminescence (TR-PL) measurement results indicate that the CIGS thin film solar cells exhibit an increase in net defect density (NCV) and long lifetime for the carriers, respectively, after the annealing treatment of CIGS/CdS at a mediate annealing temperature here. Moreover, the net defect density of annealed solar cells at higher annealing temperatures for a long duration is reduced. All the variations in the solar cell performances, NCV and carrier lifetime would be related to the passivation of Se vacancies and InCu defects, surface (interface) states as well as positive interface discharges and Cu migration etc. A high efficiency CIGS solar cell of 14.4% is achieved. The optimized solar cell of 17.2% with a MgF2 anti-reflective layer has been obtained.

  15. Phase formation polycrystalline vanadium oxide via thermal annealing process under controlled nitrogen pressure

    NASA Astrophysics Data System (ADS)

    Jessadaluk, S.; Khemasiri, N.; Rahong, S.; Rangkasikorn, A.; Kayunkid, N.; Wirunchit, S.; Horprathum, M.; Chananonnawathron, C.; Klamchuen, A.; Nukeaw, J.

    2017-09-01

    This article provides an approach to improve and control crystal phases of the sputtering vanadium oxide (VxOy) thin films by post-thermal annealing process. Usually, as-deposited VxOy thin films at room temperature are amorphous phase: post-thermal annealing processes (400 °C, 2 hrs) under the various nitrogen (N2) pressures are applied to improve and control the crystal phase of VxOy thin films. The crystallinity of VxOy thin films changes from amorphous to α-V2O5 phase or V9O17 polycrystalline, which depend on the pressure of N2 carrier during annealing process. Moreover, the electrical resistivity of the VxOy thin films decrease from 105 Ω cm (amorphous) to 6×10-1 Ω cm (V9O17). Base on the results, our study show a simply method to improve and control phase formation of VxOy thin films.

  16. Magnetic properties of Co/Ni grain boundaries after annealing

    NASA Astrophysics Data System (ADS)

    Coutts, Chris; Arora, Monika; Hübner, René; Heinrich, Bret; Girt, Erol

    2018-05-01

    Magnetic and microstructural properties of <111> textured Cu/N×[Co/Ni] films are studied as a function of the number of bilayer repeats N and annealing temperature. M(H) loop measurements show that coercivity, Hc, increases with annealing temperature and that the slope of the saturation curve at Hc has a larger reduction for smaller N. An increase of the magnetic anisotropy (Ku) to saturation magnetization (Ms) ratio after annealing N×[Co/Ni] with N < 15 only partially describes the increase to Hc. Energy-dispersive X-ray spectroscopy analyses performed in scanning transmission electron microscopy mode across cross-sections of as-deposited and annealed Cu/16×[Co/Ni] films show that Cu diffuses from the seed layer into grain boundaries of Co/Ni. Diffusion of Cu reduces exchange coupling (Hex) between the magnetic grains and explains the increase in Hc. Additionally, the difference in the slope of the M(H) curves at Hc between the thick (N = 16) and thin (N = 4) magnetic multilayers is due to Cu diffusion more effectively decoupling magnetic grains in the thinner multilayer.

  17. Post-deposition annealing temperature dependence TiO{sub 2}-based EGFET pH sensor sensitivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zulkefle, M. A., E-mail: alhadizulkefle@gmail.com; Rahman, R. A., E-mail: rohanieza.abdrahman@gmail.com; Yusoff, K. A., E-mail: khairul.aimi.yusof@gmail.com

    EGFET pH sensor is one type of pH sensor that is used to measure and determine pH of a solution. The sensing membrane of EGFET pH sensor plays vital role in the overall performance of the sensor. This paper studies the effects of different annealing temperature of the TiO{sub 2} sensing membranes towards sensitivity of EGFET pH sensor. Sol-gel spin coating was chosen as TiO{sub 2} deposition techniques since it is cost-effective and produces thin film with uniform thickness. Deposited TiO{sub 2} thin films were then annealed at different annealing temperatures and then were connected to the gate of MOSFETmore » as a part of the EGFET pH sensor structure. The thin films now act as sensing membranes of the EGFET pH sensor and sensitivity of each sensing membrane towards pH was measured. From the results it was determined that sensing membrane annealed at 300 °C gave the highest sensitivity followed by sample annealed at 400 °C and 500 °C.« less

  18. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  19. Tuning phase transition temperature of VO2 thin films by annealing atmosphere

    NASA Astrophysics Data System (ADS)

    Liu, Xingxing; Wang, Shao-Wei; Chen, Feiliang; Yu, Liming; Chen, Xiaoshuang

    2015-07-01

    A simple new way to tune the optical phase transition temperature of VO2 films was proposed by only controlling the pressure of oxygen during the annealing process. Vanadium films were deposited on glass by a large-scale magnetron sputtering coating system and then annealed in appropriate oxygen atmosphere to form the VO2 films. The infrared transmission change (at 2400 nm) is as high as 58% for the VO2 thin film on the glass substrate, which is very good for tuning infrared radiation and energy saving as smart windows. The phase transition temperature of the films can be easily tuned from an intrinsic temperature to 44.7 °C and 40.2 °C on glass and sapphire by annealing oxygen pressure, respectively. The mechanism is: V3+ ions form in the film when under anaerobic conditions, which can interrupt the V4+ chain and reduce the phase transition temperature. The existence of V3+ ions has been observed by x-ray photoelectron spectroscopy (XPS) experiments as proof.

  20. Effect of continuous annealing temperature on microstructure and properties of ferritic rolled interstitial-free steel

    NASA Astrophysics Data System (ADS)

    Qiu, Chen-yang; Li, Lang; Hao, Lei-lei; Wang, Jian-gong; Zhou, Xun; Kang, Yong-lin

    2018-05-01

    In this report, the microstructure, mechanical properties, and textures of warm rolled interstitial-free steel annealed at four different temperatures (730, 760, 790, and 820°C) were studied. The overall structural features of specimens were investigated by optical microscopy, and the textures were measured by X-ray diffraction (XRD). Nano-sized precipitates were then observed by a transmission electron microscope (TEM) on carbon extraction replicas. According to the results, with increased annealing temperatures, the ferrite grains grew; in addition, the sizes of Ti4C2S2 and TiC precipitates also increased. Additionally, the sizes of TiN and TiS precipitates slightly changed. When the annealing temperature increased from 730 to 820°C, the yield strength (YS) and the ultimate tensile strength (UTS) showed a decreasing trend. Meanwhile, elongation and the strain harden exponent (n value) increased to 49.6% and 0.34, respectively. By comparing textures annealed at different temperatures, the intensity of {111} texture annealed at 820°C was the largest, while the difference between the intensity of {111}<110> and {111}<112> was the smallest when the annealing temperature was 820°C. Therefore, the plastic strain ratio (r value) annealed at 820°C was the highest.

  1. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  2. Microstructural evolution of nanochannel CrN films under ion irradiation at elevated temperature and post-irradiation annealing

    NASA Astrophysics Data System (ADS)

    Tang, Jun; Hong, Mengqing; Wang, Yongqiang; Qin, Wenjing; Ren, Feng; Dong, Lan; Wang, Hui; Hu, Lulu; Cai, Guangxu; Jiang, Changzhong

    2018-03-01

    High-performance radiation tolerance materials are crucial for the success of future advanced nuclear reactors. In this paper, we present a further investigation that the "vein-like" nanochannel films can enhance radiation tolerance under ion irradiation at high temperature and post-irradiation annealing. The chromium nitride (CrN) nanochannel films with different nanochannel densities and the compact CrN film are chosen as a model system for these studies. Microstructural evolution of these films were investigated using Transmission Electron Microscopy (TEM), Scanning Electron Microscopy (SEM), Elastic Recoil Detection (ERD) and Grazing Incidence X-ray Diffraction (GIXRD). Under the high fluence He+ ion irradiation at 500 °C, small He bubbles with low bubble densities are observed in the irradiated nanochannel CrN films, while the aligned large He bubbles, blistering and texture reconstruction are found in the irradiated compact CrN film. For the heavy Ar2+ ion irradiation at 500 °C, the microstructure of the nanochannel CrN RT film is more stable than that of the compact CrN film due to the effective releasing of defects via the nanochannel structure. Under the He+ ion irradiation and subsequent annealing, compared with the compact film, the nanochannel films have excellent performance for the suppression of He bubble growth and possess the strong microstructural stability. Basing on the analysis on the sizes and number densities of bubbles as well as the concentrations of He retained in the nanochannel CrN films and the compact CrN film under different experimental conditions, potential mechanism for the enhanced radiation tolerance are discussed. Nanochannels play a crucial role on the release of He/defects under ion irradiation. We conclude that the tailored "vein-like" nanochannel structure may be used as advanced radiation tolerance materials for future nuclear reactors.

  3. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    NASA Astrophysics Data System (ADS)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  4. Effect of O2 partial pressure on post annealed Ba2YCu3O(7-delta) thin films

    NASA Astrophysics Data System (ADS)

    Phillps, J. M.; Siegal, M. P.; Hou, S. Y.; Tiefel, T. H.; Marshall, J. H.

    1992-04-01

    Epitaxial films of Ba2YCu3O(7-delta) (BYCO) as thin as 250 A and with J(sub c)'s approaching those of the best in situ grown films can be formed by co-evaporating BaF2, Y, and Cu followed by a two-stage anneal. High quality films of these thicknesses become possible if low oxygen partial pressure (p(O2) = 4.3 Torr) is used during the high temperature portion of the anneal (T(sub a)). The BYCO melt line is the upper limit for T(sub a). The use of low p(O2) shifts the window for stable BYCO film growth to lower temperature, which allows the formation of smooth films with greater microstructural disorder than is found in films grown in p(O2) = 740 Torr at higher T(sub a). The best films annealed in p(O2) = 4.3 Torr have J(sub c) values a factor of four higher than do comparable films annealed in p(O2) = 740 Torr. The relationship between the T(sub a) required to grow films with the strongest pinning force and p(O2) is log (p(O2)) proportional to T(sub a) exp(1 exp a) independent of growth method (in situ or ex situ) over a range of five orders of magnitude of p(O2).

  5. Annealing induced atomic rearrangements on (Ga,In) (N,As) probed by hard X-ray photoelectron spectroscopy and X-ray absorption fine structure.

    PubMed

    Ishikawa, Fumitaro; Higashi, Kotaro; Fuyuno, Satoshi; Morifuji, Masato; Kondow, Masahiko; Trampert, Achim

    2018-04-13

    We study the effects of annealing on (Ga 0.64 ,In 0.36 ) (N 0.045 ,As 0.955 ) using hard X-ray photoelectron spectroscopy and X-ray absorption fine structure measurements. We observed surface oxidation and termination of the N-As bond defects caused by the annealing process. Specifically, we observed a characteristic chemical shift towards lower binding energies in the photoelectron spectra related to In. This phenomenon appears to be caused by the atomic arrangement, which produces increased In-N bond configurations within the matrix, as indicated by the X-ray absorption fine structure measurements. The reduction in the binding energies of group-III In, which occurs concomitantly with the atomic rearrangements of the matrix, causes the differences in the electronic properties of the system before and after annealing.

  6. Defect annealing and thermal desorption of deuterium in low dose HFIR neutron-irradiated tungsten

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Masashi Shimada; M. Hara; T. Otsuka

    2014-05-01

    Accurately estimating tritium retention in plasma facing components (PFCs) and minimizing its uncertainty are key safety issues for licensing future fusion power reactors. D-T fusion reactions produce 14.1 MeV neutrons that activate PFCs and create radiation defects throughout the bulk of the material of these components. Recent studies show that tritium migrates and is trapped in bulk (>> 10 µm) tungsten beyond the detection range of nuclear reaction analysis technique [1-2], and thermal desorption spectroscopy (TDS) technique becomes the only established diagnostic that can reveal hydrogen isotope behavior in in bulk (>> 10 µm) tungsten. Radiation damage and its recoverymore » mechanisms in neutron-irradiated tungsten are still poorly understood, and neutron-irradiation data of tungsten is very limited. In this paper, systematic investigations with repeated plasma exposures and thermal desorption are performed to study defect annealing and thermal desorption of deuterium in low dose neutron-irradiated tungsten. Three tungsten samples (99.99 at. % purity from A.L.M.T. Co., Japan) irradiated at High Flux Isotope Reactor at Oak Ridge National Laboratory were exposed to high flux (ion flux of (0.5-1.0)x1022 m-2s-1 and ion fluence of 1x1026 m-2) deuterium plasma at three different temperatures (100, 200, and 500 °C) in Tritium Plasma Experiment at Idaho National Laboratory. Subsequently, thermal desorption spectroscopy (TDS) was performed with a ramp rate of 10 °C/min up to 900 °C, and the samples were annealed at 900 °C for 0.5 hour. These procedures were repeated three (for 100 and 200 °C samples) and four (for 500 °C sample) times to uncover damage recovery mechanisms and its effects on deuterium behavior. The results show that deuterium retention decreases approximately 90, 75, and 66 % for 100, 200, and 500 °C, respectively after each annealing. When subjected to the same TDS recipe, the desorption temperature shifts from 800 °C to 600 °C after 1st

  7. Annealing temperature and O2 partial pressure dependence of T(sub c) in HgBa2CuO(4+delta)

    NASA Technical Reports Server (NTRS)

    Xiong, Q.; Cao, Y.; Chen, F.; Xue, Y. Y.; Chu, C. W.

    1994-01-01

    Samples of HgBa2CuO(4+delta) (Hg-1201) were annealed under various conditions. After carefully controlling annealing time, annealing temperature (T(sub a)) and O2 partial pressure (P(sub 0)), we were able to find the reversible annealing conditions for Hg-1201. Under 1 atm O2 at 260 C less than or equal to T(sub a) less than or equal to 400 C, the obtained T(sub c) is nearly the same (approximately 97 K). However, it decreases quickly with T(sub a) greater than 300 C in high vacuum (P(sub 0) approximately 10(exp -8) atm), and reaches zero at T(sub a) = 400 C. On the other hand, T(sub c) decreases with the decrease of T(sub a) in high-pressure O2 (approximately 500 atm) and reaches approximately 20 K at about 240 C. In the entire annealing region, the oxygen surplus varies significantly from 0.03 to 0.4, and a wide range of T(sub c) variation (0 goes to 97 K goes to 20 K) was obtained with anion doping alone.

  8. Impact of N-plasma and Ga-irradiation on MoS2 layer in molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Mishra, Pawan; Tangi, Malleswararao; Ng, Tien Khee; Hedhili, Mohamed Nejib; Anjum, Dalaver H.; Alias, Mohd Sharizal; Tseng, Chien-Chih; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    Recent interest in two-dimensional materials has resulted in ultra-thin devices based on the transfer of transition metal dichalcogenides (TMDs) onto other TMDs or III-nitride materials. In this investigation, we realized p-type monolayer (ML) MoS2, and intrinsic GaN/p-type MoS2 heterojunction by the GaN overgrowth on ML-MoS2/c-sapphire using the plasma-assisted molecular beam epitaxy. A systematic nitrogen plasma ( N2 * ) and gallium (Ga) irradiation studies are employed to understand the individual effect on the doping levels of ML-MoS2, which is evaluated by micro-Raman and high-resolution X-Ray photoelectron spectroscopy (HRXPS) measurements. With both methods, p-type doping was attained and was verified by softening and strengthening of characteristics phonon modes E2 g 1 and A 1 g from Raman spectroscopy. With adequate N2 * -irradiation (3 min), respective shift of 1.79 cm-1 for A 1 g and 1.11 cm-1 for E2 g 1 are obtained while short term Ga-irradiated (30 s) exhibits the shift of 1.51 cm-1 for A 1 g and 0.93 cm-1 for E2 g 1 . Moreover, in HRXPS valence band spectra analysis, the position of valence band maximum measured with respect to the Fermi level is determined to evaluate the type of doping levels in ML-MoS2. The observed values of valance band maximum are reduced to 0.5, and 0.2 eV from the intrinsic value of ≈1.0 eV for N2 * - and Ga-irradiated MoS2 layers, which confirms the p-type doping of ML-MoS2. Further p-type doping is verified by Hall effect measurements. Thus, by GaN overgrowth, we attained the building block of intrinsic GaN/p-type MoS2 heterojunction. Through this work, we have provided the platform for the realization of dissimilar heterostructure via monolithic approach.

  9. Bubble evolution in Kr-irradiated UO2 during annealing

    NASA Astrophysics Data System (ADS)

    He, L.; Bai, X. M.; Pakarinen, J.; Jaques, B. J.; Gan, J.; Nelson, A. T.; El-Azab, A.; Allen, T. R.

    2017-12-01

    Transmission electron microscopy observation of Kr bubble evolution in polycrystalline UO2 annealed at high temperature was conducted in order to understand the inert gas behavior in oxide nuclear fuel. The average diameter of intragranular bubbles increased gradually from 0.8 nm in as-irradiated sample at room temperature to 2.6 nm at 1600 °C and the bubble size distribution changed from a uniform distribution to a bimodal distribution above 1300 °C. The size of intergranular bubbles increased more rapidly than intragranular ones and bubble denuded zones near grain boundaries formed in all the annealed samples. It was found that high-angle grain boundaries held bigger bubbles than low-angle grain boundaries. Complementary atomistic modeling was conducted to interpret the effects of grain boundary character on the Kr segregation. The area density of strong segregation sites in the high-angle grain boundaries is much higher than that in the low angle grain boundaries.

  10. Impact of Plasma Electron Flux on Plasma Damage-Free Sputtering of Ultrathin Tin-Doped Indium Oxide Contact Layer on p-GaN for InGaN/GaN Light-Emitting Diodes.

    PubMed

    Son, Kwang Jeong; Kim, Tae Kyoung; Cha, Yu-Jung; Oh, Seung Kyu; You, Shin-Jae; Ryou, Jae-Hyun; Kwak, Joon Seop

    2018-02-01

    The origin of plasma-induced damage on a p -type wide-bandgap layer during the sputtering of tin-doped indium oxide (ITO) contact layers by using radiofrequency-superimposed direct current (DC) sputtering and its effects on the forward voltage and light output power (LOP) of light-emitting diodes (LEDs) with sputtered ITO transparent conductive electrodes (TCE) is systematically studied. Changing the DC power voltage from negative to positive bias reduces the forward voltages and enhances the LOP of the LEDs. The positive DC power drastically decreases the electron flux in the plasma obtained by plasma diagnostics using a cutoff probe and a Langmuir probe, suggesting that the repulsion of plasma electrons from the p -GaN surface can reduce plasma-induced damage to the p -GaN. Furthermore, electron-beam irradiation on p -GaN prior to ITO deposition significantly increases the forward voltages, showing that the plasma electrons play an important role in plasma-induced damage to the p -GaN. The plasma electrons can increase the effective barrier height at the ITO/deep-level defect (DLD) band of p -GaN by compensating DLDs, resulting in the deterioration of the forward voltage and LOP. Finally, the plasma damage-free sputtered-ITO TCE enhances the LOP of the LEDs by 20% with a low forward voltage of 2.9 V at 20 mA compared to LEDs with conventional e-beam-evaporated ITO TCE.

  11. Influence of annealing temperature on physical properties and photocatalytic ability of g-C3N4 nanosheets synthesized through urea polymerization in Ar atmosphere

    NASA Astrophysics Data System (ADS)

    Mai Oanh, Le Thi; Hang, Lam Thi; Lai, Ngoc Diep; Phuong, Nguyen Thi; Thang, Dao Viet; Hung, Nguyen Manh; Danh Bich, Do; Minh, Nguyen Van

    2018-03-01

    The influences of annealing temperature on structure, morphology, vibration, optical properties and photocatalytic ability of g-C3N4 nanosheets synthesized from urea in Ar atmosphere were investigated in detail by using x-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), Brunauer-Emmett-Teller (BET), Fourier transform infrared spectroscopy (FTIR), UV-vis absorption, and photoluminescence (PL). It was found that the preparation temperature had a great effect on structure and physical properties of g-C3N4. As the processing temperature increased from 450 °C to 650 °C, the interlayer stacking distance of g-C3N4 decreased from 3.281 Å to 3.217 Å and the lattice parameter a decreased from 5.010 Å to 4.934 Å. This indicated a denser packing fashion of g-C3N4 at high annealing temperature. Moreover, the FTIR spectra and SEM images revealed a large fraction of small polymer segments containing only a few heptazine units as annealing temperature increased. BET result indicated an increasing specific surface area as preparation temperature increased. UV-vis absorption spectra showed a decrease of the band gap energy with increasing calcination temperature which agrees well with the measured PL spectra. It was demonstrated that samples annealed at 550 °C exhibited the strongest photocatalytic activity. A decomposition of 80% and 100% of rhodamine B was obtained within respectively 1 h and 2 h under Xenon lamp irradiation. Photocatalytic result could be adequately explained based on evidences of specific surface area, average pore volume and pore size, and recombination rate of photoinduced electron-hole pairs.

  12. Influence of Annealing Duration on the Growth of V2O5 Nanorods Synthesized by Spray Pyrolysis Technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Z.; Abubakar, D.; Bououdina, M.

    2016-06-01

    This paper deals with the investigation of annealing effects on the structural, morphological and optical properties of V2O5 nanorods (NRs) grown on the glass substrates by using chemical spray pyrolysis technique. The as-prepared samples were annealed at 500∘ for 40, 60 and 120 min in a quartz tube furnace. The high resolution X-ray diffraction (XRD) analysis revealed V2O5 NRs with preferred orientation along (001) plane. The crystallite size of the V2O5 NRs was increased by increasing the annealing duration. The morphological observations using field emission scanning electron microscope (FESEM) displayed NRs structures whose diameter and length were found to increase with increase of the annealing duration. The transmission electron microscopy (TEM) analysis confirmed the orthorhombic structures of the NRs. The AFM measurements indicated an increase of the average surface roughness by increasing the annealing time. The Raman spectroscopy revealed V-O-V phonon mode in the NRs annealed for 120 min. The optical bandgap was found in the range 2.6-2.58eV and observed to decrease with various duration annealed.

  13. Raman and thermal-stability studies on annealed HgBa 2CuO 4+δ

    NASA Astrophysics Data System (ADS)

    Ren, Y. T.; Chang, H.; Xiong, Q.; Xue, Y. Y.; Chu, C. W.

    1994-06-01

    We have studied as-synthesized, vacuum-annealed and high-pressure oxygen annealed HgBa 2CuO 4+δ(Hg-1201) using Raman scattering. The apical-oxygen vibrational frequencies showed a slight but systematic shift (590, 591 and 587 cm -1), in agreement with the slight change in the Hg-O bond length from neutron-diffraction results. This suggested that the valence of Hg did not change significantly with oxygen content. The intensity of the ∼ 570 cm -1 peak decreased significantly after vacuum anneal and increased after high-pressure oxygen anneal, confirming the early assignment of this mode to interstitial oxygen. The thermal stability of these samples was studied by increasing laser power. High power density resulted in the decomposition of Hg-1201, mainly to BaCuO 2-δ, suggesting mercury loss upon local heating. It was found that the annealed samples decomposed more easily. In addition, one kind of crystallites exhibited a 326 cm -1 broad peak, which disappeared after high-power irradiation. We propose that this extra peak may come from HgO and/or the defect oxygen O (4).

  14. Ising formulation of associative memory models and quantum annealing recall

    NASA Astrophysics Data System (ADS)

    Santra, Siddhartha; Shehab, Omar; Balu, Radhakrishnan

    2017-12-01

    Associative memory models, in theoretical neuro- and computer sciences, can generally store at most a linear number of memories. Recalling memories in these models can be understood as retrieval of the energy minimizing configuration of classical Ising spins, closest in Hamming distance to an imperfect input memory, where the energy landscape is determined by the set of stored memories. We present an Ising formulation for associative memory models and consider the problem of memory recall using quantum annealing. We show that allowing for input-dependent energy landscapes allows storage of up to an exponential number of memories (in terms of the number of neurons). Further, we show how quantum annealing may naturally be used for recall tasks in such input-dependent energy landscapes, although the recall time may increase with the number of stored memories. Theoretically, we obtain the radius of attractor basins R (N ) and the capacity C (N ) of such a scheme and their tradeoffs. Our calculations establish that for randomly chosen memories the capacity of our model using the Hebbian learning rule as a function of problem size can be expressed as C (N ) =O (eC1N) , C1≥0 , and succeeds on randomly chosen memory sets with a probability of (1 -e-C2N) , C2≥0 with C1+C2=(0.5-f ) 2/(1 -f ) , where f =R (N )/N , 0 ≤f ≤0.5 , is the radius of attraction in terms of the Hamming distance of an input probe from a stored memory as a fraction of the problem size. We demonstrate the application of this scheme on a programmable quantum annealing device, the D-wave processor.

  15. Ohmic contacts to n-GaN formed by ion-implanted Si into p-GaN

    NASA Astrophysics Data System (ADS)

    Bao, Xichang; Xu, Jintong; Zhang, Wenjing; Wang, Ling; Chu, Kaihui; Li, Chao; Li, Xiangyang

    2009-07-01

    In this paper, we report the ohmic contact to n-GaN fabricated by implanting silicon into Mg-doped GaN using an alloy of Ti/Al/Ti/Au metallization. The used materials were grown on (001) sapphire substrates by metal-organic chemical-vapor deposition (MOCVD). The layer structure was comprised of a GaN buffer layer and followed by a 2 μm thickness Mg-doped GaN (Na=5×1017cm-3) and then double silicon implantation was performed in order to convert p-type GaN into n-type GaN films. The as-implanted samples were then thermal annealed at 1150 °C for 5 min in N2 ambient. The carrier concentration and Hall mobility were 3.13×1018 cm3 and 112 cm2/ (VÂ.s) measured by Hall method. Multilayer electrode of Ti (50 nm)/Al (50 nm)/Ti (30 nm)/Au (30 nm) was deposited on n-GaN using an electron-beam evaporation and contacts were formed by a N2 annealing technique ranging from 600 to 900 °C. After annealing lower than 700 °C, the contacts exhibited a rectifying behavior and became ohmic contact only after high temperature processes (>=700 °C). Specific contact resistance was as low as 9.58×10-4 ΩÂ.cm2 after annealing at 800 °C for 60 seconds. While annealing temperature is higher than 800 °C, the specific contact resistance becomes worse. This phenomenon is caused by the surface morphology degradation.

  16. Local Resistance Profiling of Ultra Shallow Junction Annealed with Combination of Spike Lamp and Laser Annealing Processes using Scanning Spreading Resistance Microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abo, Satoshi; Nishikawa, Kazuhisa; Ushigome, Naoya

    2011-01-07

    Local resistance profiles of ultra shallow boron and arsenic implanted into silicon with energies of 2.0 and 4.0 keV and doses of 2.0x10{sup 15} and 1.0x10{sup 15} ions/cm{sup 2} activated by a combination of conventional spike lamp and laser annealing processes were measured by scanning spreading resistance microscope (SSRM) with a depth resolution of less than 10 nm. The lowest local resistance at the low resistance region in 2.0 keV boron implanted silicon with 1050 deg. C spike lamp annealing followed by 0.35 kW/mm{sup 2} laser annealing was half of that without laser annealing. The lowest local resistance at themore » low resistance region in the arsenic implanted silicon activated by 1050 deg. C spike lamp annealing followed by 0.39 kW/mm{sup 2} laser annealing was 74% lower than that followed by 0.36 kW/mm{sup 2} laser annealing. The lowest local resistances at the low resistance regions in the arsenic implanted silicon with 0.36 and 0.39 kW/mm{sup 2} laser annealing followed by 1050 deg. C spike lamp annealing were 41 and 33% lower than those with spike lamp annealing followed by laser annealing. Laser annealing followed by spike lamp annealing could suppress the diffusion of the impurities and was suitable for making the ultra shallow and low resistance regions.« less

  17. Features of an annealing-induced thermoluminescence peak in α-Al2O3:C,Mg

    NASA Astrophysics Data System (ADS)

    Kalita, J. M.; Chithambo, M. L.

    2017-08-01

    We report the thermoluminescence glow curves of beta irradiated single crystal α-Al2O3:C,Mg after annealing at 700 and 900 °C. A glow curve measured at 1 °C/s from samples irradiated to 1 Gy following annealing at 700 and 900 °C shows a high intensity peak at 163 °C and seven secondary peaks of weaker intensity at 43, 73, 100, 195, 280, 329 and 370 °C. Comparing the position of the peaks in the annealed samples with those in an un-annealed one, it is observed that the peak at 100 °C appears only after annealing at and above 700 °C. Kinetic analysis of this annealing-induced peak was carried out using the initial rise, whole glow peak, peak shape, curve fitting and variable heating rate methods. The order of kinetics of the peak was determined as first order using various methods including the Tm-Tstop technique and the dependence of Tm on irradiation dose. The activation energy of the peak is about 1.01 eV and the frequency factor of the order of 1012 s-1. The peak was found to be affected by thermal quenching in analysis based on change of peak intensity with heating rate. The activation energy of thermal quenching was evaluated as 1.06 ± 0.08 eV. We speculate that the annealing-induced peak is due to formation of a new electron trap after destruction of the F22+(2 Mg) centre when the sample is annealed at 700 °C. The annealing-induced peak fades with storage between irradiation and measurement. It was also concluded that electrons from traps corresponding to secondary peaks get re-trapped at the main electron trap.

  18. Daily Rhythm in Plasma N-Acetyltryptamine

    PubMed Central

    Backlund, Peter S.; Urbanski, Henryk F.; Doll, Mark A.; Hein, David W.; Bozinoski, Marjan; Mason, Christopher E.; Coon, Steven L.; Klein, David C.

    2017-01-01

    Normal physiology undergoes 24-hour changes in function, that include daily rhythms in circulating/hormones, most notably melatonin and cortical steroids. This study focuses on N-acetyltryptamine, a little-studied melatonin receptor mixed agonist/antagonist and the likely evolutionary precursor of melatonin. The central issue addressed was whether N-acetyltryptamine is physiologically present in the circulation. N-Acetyltrypamine was detected by LC-MS/MS in daytime plasma of three different mammals in subnanomolar levels (mean ± SEM: rat, 0.29 ± 0.05 nM, N=5; rhesus macaque, 0.54 ± 0.24 nM, N=4; human, 0.03 ± 0.01 nM, N=32). Twenty four hour blood collections from rhesus macaques revealed a nocturnal increase in plasma N-acetyltryptamine (P < 0.001), which varied from 2- to 15- fold over daytime levels among the four animals studied. Related RNA sequencing studies indicated that the transcript encoding the tryptamine acetylating enzyme arylalkylamine N-acetyltransferase (AANAT) is expressed at similar levels in the rhesus pineal gland and retina, thereby indicating that either tissue could contribute to circulating N-acetyltryptamine. The evidence that N-acetyltryptamine is a physiological component of mammalian blood and exhibits a daily rhythm, together with known effects as a melatonin receptor ligand shifts the status of N-acetyltryptamine from pharmacological tool to that of a candidate for a physiological role. This provides a new opportunity to extend our understanding of 24-hour biology. PMID:28466676

  19. Effect of vacuum annealing and substrate temperature on structural and optical properties of ZnIn2Se4 thin films

    NASA Astrophysics Data System (ADS)

    El-Nahass, M. M.; Attia, A. A.; Salem, G. F.; Ali, H. A. M.; Ismail, M. I.

    2013-09-01

    Zinc indium selenide (ZnIn2Se4) thin films were prepared by the thermal evaporation technique with high deposition rate. The effect of thermal annealing in vacuum on the crystallinity of the as-deposited films was studied at different temperatures (523, 573 and 623 K). The effect of substrate temperature (623 K) for different thickness values (173, 250, 335 and 346 nm) on the optical parameters of ZnIn2Se4 was also studied. The structural studies showed nanocrystalline nature of the room temperature (300 K) deposited films with crystallite size of about a few nanometers. The crystallite size increased up to 31 nm with increasing the annealing temperature in vacuum. From the reflection and transmission data, the refractive index n and the extinction coefficient k were estimated for ZnIn2Se4 thin films and they were found to be independent of film thickness. Analysis of the absorption coefficient data of the as-deposited films revealed the existence of allowed direct and indirect transitions with optical energy gaps of 2.21 eV and 1.71 eV, respectively. These values decreased with increasing annealing temperature. At substrate temperature of 623 K, the direct band gap increased to 2.41 eV whereas the value of indirect band gap remained nearly unchanged. The dispersion analysis showed that the values of the oscillator energy Eo, dispersion energy Ed, dielectric constant at infinite frequency ε∞, and lattice dielectric constant εL were changed appreciably under the effect of annealing and substrate temperature. The covalent nature of structure was studied as a function of the annealing and substrate temperature using an empirical relation for the dispersion energy Ed. Generalized Miller's rule and linear refractive index were used to estimate the nonlinear susceptibility and nonlinear refractive index of the thin films.

  20. Ohmic contacts on n-type β-Ga2O3 using AZO/Ti/Au

    NASA Astrophysics Data System (ADS)

    Carey, Patrick H.; Yang, Jiancheng; Ren, F.; Hays, David C.; Pearton, S. J.; Jang, Soohwan; Kuramata, Akito; Kravchenko, Ivan I.

    2017-09-01

    AZO interlayers between n-Ga2O3 and Ti/Au metallization significantly enhance Ohmic contact formation after annealing at ≥ 30 0°C. Without the presence of the AZO, similar anneals produce only rectifying current-voltage characteristics. Transmission Line Measurements of the Au/Ti/AZO/Ga2O3 stacks showed the specific contact resistance and transfer resistance decreased sharply from as-deposited values with annealing. The minimum contact resistance and specific contact resistance of 0.42 Ω-mm and 2.82 × 10-5 Ω-cm2 were achieved after a relatively low temperature 40 0°C annealing. The conduction band offset between AZO and Ga2O3 is 0.79 eV and provides a favorable pathway for improved electron transport across this interface.

  1. Ohmic contacts on n-type β-Ga 2O 3 using AZO/Ti/Au

    DOE PAGES

    Carey, IV, Patrick H.; Yang, Jiancheng; Ren, F.; ...

    2017-09-14

    AZO interlayers between n-Ga 2O 3 and Ti/Au metallization significantly enhance Ohmic contact formation after annealing at ≥ 300°C. Without the presence of the AZO, similar anneals produce only rectifying current-voltage characteristics. Transmission Line Measurements of the Au/Ti/AZO/Ga 2O 3 stacks showed the specific contact resistance and transfer resistance decreased sharply from as-deposited values with annealing. The minimum contact resistance and specific contact resistance of 0.42 Ω-mm and 2.82 × 10 -5 Ω-cm 2 were achieved after a relatively low temperature 400°C annealing. In conclusion, the conduction band offset between AZO and Ga 2O 3 is 0.79 eV and providesmore » a favorable pathway for improved electron transport across this interface.« less

  2. Study of ultrasound-assisted radio-frequency plasma discharges in n-dodecane

    NASA Astrophysics Data System (ADS)

    Camerotto, Elisabeth; De Schepper, Peter; Nikiforov, Anton Y.; Brems, Steven; Shamiryan, Denis; Boullart, Werner; Leys, Christophe; De Gendt, Stefan

    2012-10-01

    This paper investigates the generation of a stable plasma phase in a liquid hydrocarbon (n-dodecane) by means of ultrasound (US) and radio-frequency (RF) or electromagnetic radiation. It is demonstrated for the first time that ultrasonic aided RF plasma discharges can be generated in a liquid. Plasma discharges are obtained for different gas mixtures at a pressure of 12 kPa and at low ignition powers (100 W for RF and 2.4 W cm-2 for US). Direct carbon deposition from the liquid precursor on Cu, Ni, SiO2 and Si substrates has been obtained and no apparent compositional or structural difference among the substrate materials was observed. Characterization of the deposited solid phase revealed an amorphous structure. In addition, structural changes in the liquid precursor after plasma treatment have been analysed. Optical emission spectroscopy (OES) allowed the estimation of several plasma characteristic temperatures. The plasma excitation temperature was estimated to be about 2.3-2.4 eV. The rotational and vibrational temperatures of the discharge in n-dodecane with Ar as a feed gas were 1400 K and 6500 K, respectively. In Ar/O2 plasma, an increased rotational (1630 K) and vibrational temperature (7200 K) were obtained.

  3. High-fluence Ga-implanted silicon—The effect of annealing and cover layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fiedler, J., E-mail: jan.fiedler@hzdr.de; Heera, V.; Hübner, R.

    2014-07-14

    The influence of SiO{sub 2} and SiN{sub x} cover layers on the dopant distribution as well as microstructure of high fluence Ga implanted Si after thermal processing is investigated. The annealing temperature determines the layer microstructure and the cover layers influence the obtained Ga profile. Rapid thermal annealing at temperatures up to 750 °C leads to a polycrystalline layer structure containing amorphous Ga-rich precipitates. Already after a short 20 ms flash lamp annealing, a Ga-rich interface layer is observed for implantation through the cover layers. This effect can partly be suppressed by annealing temperatures of at least 900 °C. However, in this case,more » Ga accumulates in larger, cone-like precipitates without disturbing the surrounding Si lattice parameters. Such a Ga-rich crystalline Si phase does not exist in the equilibrium phase diagram according to which the Ga solubility in Si is less than 0.1 at. %. The Ga-rich areas are capped with SiO{sub x} grown during annealing which only can be avoided by the usage of SiN{sub x} cover layers.« less

  4. Vanishing Act: Experiments on Fission Track Annealing in Monazite

    NASA Astrophysics Data System (ADS)

    Shipley, N. K.; Fayon, A. K.

    2006-12-01

    To determine the viability of monazite as a low temperature thermochronometer, we conducted fission track annealing experiments under isothermal conditions. These experiments evaluated the effects of uranium concentration and zoning on annealing rates. Fission track annealing rates in monazite were also compared to those in Durango apatite. Preliminary results indicate that monazite grains with higher initial track densities anneal at faster rates than those with low initial densities and that fission tracks in monazite anneal at a faster rate than those in apatite. Monazite sand grains were selected from a placer sand deposit, mounted in teflon, and polished. Grains were imaged with electron backscattering to characterize zoning patterns and variations in uranium concentration. Monazite grain mounts were etched in boiling 37% HCl for 50 minutes and fission track densities were determined using standard fission track counting techniques. Durango apatite was etched in 5N HNO3 at room temperature for 20 seconds. After the initial track densities were determined, mounts in one group were annealed at 150 ° C for 1to 6 h. The mounts in a second group were annealed at 200 ° C for 2 hour periods along with mounts of Durango apatite grains. All grains were re-polished prior to each anneal. Upon completion of the experiment, backscatter images were taken of grains from which fission track counts were obtained to verify continuance of concentric zoning. Results of these experiments indicate that annealing rates of fission tracks in monazite vary as a function of uranium concentration. Uranium concentration was constrained on the basis of zoning patterns obtained from electron backscatter images. Fission track densities in grains with initial track densities of approximately 2.4 × 106 tracks/cm2 were reduced at average rate of 16% every two hours. In contrast, track densities in grains with initial track densities of approximately 1.6 × 106 tracks/cm2 average 4.6% density

  5. Low temperature deactivation of Ge heavily n-type doped by ion implantation and laser thermal annealing

    NASA Astrophysics Data System (ADS)

    Milazzo, R.; Impellizzeri, G.; Piccinotti, D.; De Salvador, D.; Portavoce, A.; La Magna, A.; Fortunato, G.; Mangelinck, D.; Privitera, V.; Carnera, A.; Napolitani, E.

    2017-01-01

    Heavy doping of Ge is crucial for several advanced micro- and optoelectronic applications, but, at the same time, it still remains extremely challenging. Ge heavily n-type doped at a concentration of 1 × 1020 cm-3 by As ion implantation and melting laser thermal annealing (LTA) is shown here to be highly metastable. Upon post-LTA conventional thermal annealing As electrically deactivates already at 350 °C reaching an active concentration of ˜4 × 1019 cm-3. No significant As diffusion is detected up to 450 °C, where the As activation decreases further to ˜3 × 1019 cm-3. The reason for the observed detrimental deactivation was investigated by Atom Probe Tomography and in situ High Resolution X-Ray Diffraction measurements. In general, the thermal stability of heavily doped Ge layers needs to be carefully evaluated because, as shown here, deactivation might occur at very low temperatures, close to those required for low resistivity Ohmic contacting of n-type Ge.

  6. The effect of annealing ambient on the characteristics of an indium-gallium-zinc oxide thin film transistor.

    PubMed

    Park, Soyeon; Bang, Seokhwan; Lee, Seungjun; Park, Joohyun; Ko, Youngbin; Jeon, Hyeongtag

    2011-07-01

    In this study, the effects of different annealing conditions (air, O2, N2, vacuum) on the chemical and electrical characteristics of amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFT) were investigated. The contact resistance and interface properties between the IGZO film and the gate dielectric improved after an annealing treatment. However, the chemical bonds in the IGZO bulk changed under various annealing atmospheres, which, in turn, altered the characteristics of the TFTs. The TFTs annealed in vacuum and N2 ambients exhibited undesired switching properties due to the high carrier concentration (>10(17) cm(-3)) of the IGZO active layer. In contrast, the IGZO TFTs annealed in air and oxygen ambients displayed clear transfer characteristics due to an adequately adjusted carrier concentration in the operating range of the TFT. Such an optimal carrier concentration arose through the stabilization of unstable chemical bonds in the IGZO film. With regard to device performance, the TFTs annealed in O2 and air exhibited saturation mobility values of 8.29 and 7.54 cm2/Vs, on-off ratios of 7.34 x 10(8) and 3.95 x 10(8), and subthreshold swing (SS) values of 0.23 and 0.19 V/decade, respectively. Therefore, proper annealing ambients contributed to internal modifications in the IGZO structure and led to an enhancement in the oxidation state of the metal. As a result, defects such as oxygen vacancies were eliminated. Oxygen annealing is thus effective for controlling the carrier concentration of the active layer, decreasing electron traps, and enhancing TFT performance.

  7. The Thermoelectric Properties and Flexural Strength of Nano-TiN/Co4Sb11.3Te0.58Se0.12 Composites Affected by Annealing Treatment

    NASA Astrophysics Data System (ADS)

    Pengfei, Wen; Pengcheng, Zhai; Shijie, Ding; Bo, Duan; Yao, Li

    2017-05-01

    This paper is devoted to investigating the thermoelectric properties and flexural strength of the nano-TiN (1 vol.%) dispersed Co4Sb11.3Te0.58Se0.12 composites affected by different thermal annealing treatments at 773 K in a vacuum. After 200 h of annealing treatment, the density of the sample decreases by 4% compared with that before annealing. Moreover, the electrical conductivity and thermal conductivity decline because of the higher porosity in the annealed sample. However, the Seebeck coefficient changes little after annealing. As a result, the ZT value varies slightly after 200 h of annealing. In addition, it is noteworthy that the flexural strength decreases by 16% after 200 h of annealing treatment. Furthermore, the discrete degree of the flexural strength increases with increasing annealing time.

  8. Optically tuned terahertz modulator based on annealed multilayer MoS2.

    PubMed

    Cao, Yapeng; Gan, Sheng; Geng, Zhaoxin; Liu, Jian; Yang, Yuping; Bao, Qiaoling; Chen, Hongda

    2016-03-08

    Controlling the propagation properties of terahertz waves is very important in terahertz technologies applied in high-speed communication. Therefore a new-type optically tuned terahertz modulator based on multilayer-MoS2 and silicon is experimentally demonstrated. The terahertz transmission could be significantly modulated by changing the power of the pumping laser. With an annealing treatment as a p-doping method, MoS2 on silicon demonstrates a triple enhancement of terahertz modulation depth compared with the bare silicon. This MoS2-based device even exhibited much higher modulation efficiency than the graphene-based device. We also analyzed the mechanism of the modulation enhancement originated from annealed MoS2, and found that it is different from that of graphene-based device. The unique optical modulating properties of the device exhibit tremendous promise for applications in terahertz switch.

  9. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    NASA Astrophysics Data System (ADS)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  10. Effect of magnetic field annealing on the magneto-elastic properties of nanocrystalline NiFe2O4

    NASA Astrophysics Data System (ADS)

    Sowmya, N. Shara; Srinivas, A.; Saravanan, P.; Reddy, K. Venu Gopal; Reddy, Monaji Vinitha; Das, Dibakar; Kamat, S. V.

    2017-08-01

    The effect of magnetic-field annealing on the strain sensitivity (q) and saturation magnetostriction (λs) of NiFe2O4 nanoparticles synthesized by citrate-gel method was investigated. The use of field-annealing resulted in improved magnetoelastic properties at the expense of coercivity. A maximum λs of -40 ppm at 2 kOe, associated with q value of -3.3 ppm/Oe at 5 Oe was achieved in the field-annealed NiFe2O4.

  11. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS{sub 2} grown by chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaplan, D.; Swaminathan, V.; Mills, K.

    2016-06-07

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS{sub 2} grown by chemical vapor deposition (CVD) on SiO{sub 2}/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappearsmore » for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS{sub 2} monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS{sub 2} monolayers can be leveraged for various device applications.« less

  12. Rietveld analysis of the effect of annealing atmosphere on phase evolution of nanocrystalline TiO2 powders.

    PubMed

    Salari, M; Rezaee, M; Chidembo, A T; Konstantinov, K; Liu, H K

    2012-06-01

    The structural evolution of nanocrystalline TiO2 was studied by X-ray diffraction (XRD) and the Rietveld refinement method (RRM). TiO2 powders were prepared by the sol-gel technique. Post annealing of as-synthesized powders in the temperature range from 500 degrees C to 800 degrees C under air and argon atmospheres led to the formation of TiO2 nanoparticles with mean crystallite size in the range of 37-165 nm, based on the Rietveld refinement results. It was found that the phase structure, composition, and crystallite size of the resulting particles were dependent on not only the annealing temperature, but also the annealing atmosphere. Rietveld refinement of the XRD data showed that annealing the powders under argon atmosphere promoted the polymorphic phase transformation from anatase to rutile. Field emission scanning electron microscopy (FESEM) was employed to investigate the morphology and size of the annealed powders.

  13. Controlling the microstructure and associated magnetic properties of Ni 0.2Mn 3.2Ga 0.6 melt-spun ribbons by annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Mahmud; Alshammari, Ohud; Balasubramanian, Balamurugan

    2017-03-01

    Here we report on the structural and magnetic properties of Ni 0.2Mn 3.2Ga 0.6 melt-spun ribbons. The as-spun ribbons were found to exhibit mixed cubic phases that transform to non-cubic structure upon annealing. Additionally, an amorphous phase was found to co-exist in all ribbons. The SEM images show that minor grain formation occurs on the as-spun ribbons. However, the formation of extensive nano-grains was observed on the surfaces of the annealed ribbons. While the as-spun ribbons exhibit predominantly paramagnetic behavior, the ribbons annealed under various thermal conditions were found to be ferromagnetic with a Curie temperature of about 380 K.more » The ribbons annealed at 450 °C for 30 minutes exhibit a large coercive field of about 2500 Oe. The experimental results show that the microstructure and associated magnetic properties of the ribbons can be controlled by annealing techniques. The coercive fields and the shape of the magnetic hysteresis loops vary significantly with annealing conditions. As a result, exchange bias effects have also been observed in the annealed ribbons.« less

  14. Combined experimental and theoretical description of direct current magnetron sputtering of Al by Ar and Ar/N2 plasma

    NASA Astrophysics Data System (ADS)

    Trieschmann, Jan; Ries, Stefan; Bibinov, Nikita; Awakowicz, Peter; Mráz, Stanislav; Schneider, Jochen M.; Mussenbrock, Thomas

    2018-05-01

    Direct current magnetron sputtering of Al by Ar and Ar/N2 low pressure plasmas was characterized by experimental and theoretical means in a unified consideration. Experimentally, the plasmas were analyzed by optical emission spectroscopy, while the film deposition rate was determined by weight measurements and laser optical microscopy, and the film composition by energy dispersive x-ray spectroscopy. Theoretically, a global particle and power balance model was used to estimate the electron temperature T e and the electron density n e of the plasma at constant discharge power. In addition, the sputtering process and the transport of the sputtered atoms were described using Monte Carlo models—TRIDYN and dsmcFoam, respectively. Initially, the non-reactive situation is characterized based on deposition experiment results, which are in agreement with predictions from simulations. Subsequently, a similar study is presented for the reactive case. The influence of the N2 addition is found to be twofold, in terms of (i) the target and substrate surface conditions (e.g., sputtering, secondary electron emission, particle sticking) and (ii) the volumetric changes of the plasma density n e governing the ion flux to the surfaces (e.g., due to additional energy conversion channels). It is shown that a combined experimental/simulation approach reveals a physically coherent and, in particular, quantitative understanding of the properties (e.g., electron density and temperature, target surface nitrogen content, sputtered Al density, deposited mass) involved in the deposition process.

  15. Scalable effective-temperature reduction for quantum annealers via nested quantum annealing correction

    NASA Astrophysics Data System (ADS)

    Vinci, Walter; Lidar, Daniel A.

    2018-02-01

    Nested quantum annealing correction (NQAC) is an error-correcting scheme for quantum annealing that allows for the encoding of a logical qubit into an arbitrarily large number of physical qubits. The encoding replaces each logical qubit by a complete graph of degree C . The nesting level C represents the distance of the error-correcting code and controls the amount of protection against thermal and control errors. Theoretical mean-field analyses and empirical data obtained with a D-Wave Two quantum annealer (supporting up to 512 qubits) showed that NQAC has the potential to achieve a scalable effective-temperature reduction, Teff˜C-η , with 0 <η ≤2 . We confirm that this scaling is preserved when NQAC is tested on a D-Wave 2000Q device (supporting up to 2048 qubits). In addition, we show that NQAC can also be used in sampling problems to lower the effective-temperature of a quantum annealer. Such effective-temperature reduction is relevant for machine-learning applications. Since we demonstrate that NQAC achieves error correction via a reduction of the effective-temperature of the quantum annealing device, our results address the problem of the "temperature scaling law for quantum annealers," which requires the temperature of quantum annealers to be reduced as problems of larger sizes are attempted to be solved.

  16. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  17. Effects of annealing temperature on structure and magnetic properties of CoAl0.2Fe1.8O4/SiO2 nanocomposites

    NASA Astrophysics Data System (ADS)

    Wang, L.; Li, J.; Liu, M.; Zhang, Y. M.; Lu, J. B.; Li, H. B.

    2012-12-01

    CoAl0.2Fe1.8O4/SiO2 nanocomposites were prepared by sol-gel method. The effects of annealing temperature on the structure and magnetic properties of the samples were studied by X-ray diffraction, transmission electron microscopy, vibrating sample magnetometer and Mössbauer spectroscopy. The results show that the CoAl0.2Fe1.8O4 in the samples exhibits a spinel structure after being annealed. As annealing temperature increases from 800 to 1200 °C, the average grain size of CoAl0.2Fe1.8O4 in the nanocomposites increases from 5 to 41 nm while the lattice constant decreases from 0.8397 to 0.8391 nm, the saturation magnetization increases from 21.96 to 41.53 emu/g. Coercivity reaches a maximum of 1082 Oe for the sample annealed at 1100 °C, and thereafter decreases with further increasing annealing temperature. Mössbauer spectra show that the isomer shift decreases, hyperfine field increases and the samples transfer from mixed state of superparamagnetic and magnetic order to the completely magnetic order with annealing temperature increasing from 800 to 1200 °C.

  18. Structural Evolution during Milling, Annealing, and Rapid Consolidation of Nanocrystalline Fe–10Cr–3Al Powder

    PubMed Central

    Kumar, Rajiv; Bakshi, S. R.; Joardar, Joydip; Parida, S.; Raja, V. S.; Singh Raman, R. K.

    2017-01-01

    Structural changes during the deformation-induced synthesis of nanocrystalline Fe–10Cr–3Al alloy powder via high-energy ball milling followed by annealing and rapid consolidation by spark plasma sintering were investigated. Reduction in crystallite size was observed during the synthesis, which was associated with the lattice expansion and rise in dislocation density, reflecting the generation of the excess grain boundary interfacial energy and the excess free volume. Subsequent annealing led to the exponential growth of the crystallites with a concomitant drop in the dislocation density. The rapid consolidation of the as-synthesized nanocrystalline alloy powder by the spark plasma sintering, on the other hand, showed only a limited grain growth due to the reduction of processing time for the consolidation by about 95% when compared to annealing at the same temperature. PMID:28772633

  19. Annealing behavior of the EB-centers and M-center in low-energy electron irradiated n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Beyer, F. C.; Hemmingsson, C.; Pedersen, H.; Henry, A.; Janzén, E.; Isoya, J.; Morishita, N.; Ohshima, T.

    2011-05-01

    After low-energy electron irradiation of epitaxial n-type 4H-SiC with a dose of 5×1016 cm-2, the bistable M-center, previously reported in high-energy proton implanted 4H-SiC, is detected in the deep level transient spectroscopy (DLTS) spectrum. The annealing behavior of the M-center is confirmed, and an enhanced recombination process is suggested. The annihilation process is coincidental with the evolvement of the bistable EB-centers in the low temperature range of the DLTS spectrum. The annealing energy of the M-center is similar to the generation energy of the EB-centers, thus partial transformation of the M-center to the EB-centers is suggested. The EB-centers completely disappeared after annealing temperatures higher than 700 ∘C without the formation of new defects in the observed DLTS scanning range. The threshold energy for moving Si atom in SiC is higher than the applied irradiation energy, and the annihilation temperatures are relatively low, therefore the M-center, EH1 and EH3, as well as the EB-centers are attributed to defects related to the C atom in SiC, most probably to carbon interstitials and their complexes.

  20. A study of structural, electrical, and optical properties of p-type Zn-doped SnO2 films versus deposition and annealing temperature

    NASA Astrophysics Data System (ADS)

    Le, Tran; Phuc Dang, Huu; Luc, Quang Ho; Hieu Le, Van

    2017-04-01

    This study presents a detailed investigation of the structural, electrical, and optical properties of p-type Zn-doped SnO2 versus the deposition and annealing temperature. Using a direct-current (DC) magnetron sputtering method, p-type transparent conductive Zn-doped SnO2 (ZTO) films were deposited on quartz glass substrates. Zn dopants incorporated into the SnO2 host lattice formed the preferred dominant SnO2 (1 0 1) and (2 1 1) planes. X-ray photoelectron spectroscopy (XPS) was used for identifying the valence state of Zn in the ZTO film. The electrical property of ZTO films changed from n-type to p-type at the threshold temperature of 400 °C, and the films achieved extremely high conductivity at the optimum annealing temperature of 600 °C after annealing for 2 h. The best conductive property of the film was obtained on a 10 wt% ZnO-doped SnO2 target with a resistivity, hole concentration, and hole mobility of 0.22 Ω · cm, 7.19  ×  1018 cm-3, and 3.95 cm2 V-1 s-1, respectively. Besides, the average transmission of films was  >84%. The surface morphology of films was examined using scanning electron microscopy (SEM). Moreover, the acceptor level of Zn2+ was identified using photoluminescence spectra at room temperature. Current-voltage (I-V) characteristics revealed the behavior of a p-ZTO/n-Si heterojunction diode.

  1. Oxidation of S(IV) in Seawater by Pulsed High Voltage Discharge Plasma with TiO2/Ti Electrode as Catalyst

    NASA Astrophysics Data System (ADS)

    Gong, Jianying; Zhang, Xingwang; Wang, Xiaoping; Lei, Lecheng

    2013-12-01

    Oxidation of S(IV) to S(VI) in the effluent of a flue gas desulfurization(FGD) system is very critical for industrial applications of seawater FGD. This paper reports a pulsed corona discharge oxidation process combined with a TiO2 photocatalyst to convert S(IV) to S(VI) in artificial seawater. Experimental results show that the oxidation of S(IV) in artificial seawater is enhanced in the pulsed discharge plasma process through the application of TiO2 coating electrodes. The oxidation rate of S(IV) using Ti metal as a ground electrode is about 2.0×10-4 mol · L-1 · min-1, the oxidation rate using TiO2/Ti electrode prepared by annealing at 500°C in air is 4.5×10-4 mol · L-1 · min-1, an increase with a factor 2.25. The annealing temperature for preparing TiO2/Ti electrode has a strong effect on the oxidation of S(IV) in artificial seawater. The results of in-situ emission spectroscopic analysis show that chemically active species (i.e. hydroxyl radicals and oxygen radicals) are produced in the pulsed discharge plasma process. Compared with the traditional air oxidation process and the sole plasma-induced oxidation process, the combined application of TiO2 photocatalysts and a pulsed high-voltage electrical discharge process is useful in enhancing the energy and conversion efficiency of S(IV) for the seawater FGD system.

  2. Color tunable light-emitting diodes based on p+-Si/p-CuAlO2/n-ZnO nanorod array heterojunctions

    NASA Astrophysics Data System (ADS)

    Ling, Bo; Zhao, Jun Liang; Sun, Xiao Wei; Tan, Swee Tiam; Kyaw, Aung Ko Ko; Divayana, Yoga; Dong, Zhi Li

    2010-07-01

    Wide-range color tuning from red to blue was achieved in phosphor-free p+-Si/p-CuAlO2/n-ZnO nanorod light-emitting diodes at room temperature. CuAlO2 films were deposited on p+-Si substrates by sputtering followed by annealing. ZnO nanorods were further grown on the annealed p+-Si/p-CuAlO2 substrates by vapor phase transport. The color of the p-CuAlO2/n-ZnO nanorod array heterojunction electroluminescence depended on the annealing temperature of the CuAlO2 film. With the increase of the annealing temperature from 900 to 1050 °C, the emission showed a blueshift under the same forward bias. The origin of the blueshift is related to the amount of Cu concentration diffused into ZnO.

  3. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  4. Quantum Spin Glasses, Annealing and Computation

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Bikas K.; Inoue, Jun-ichi; Tamura, Ryo; Tanaka, Shu

    2017-05-01

    List of tables; List of figures, Preface; 1. Introduction; Part I. Quantum Spin Glass, Annealing and Computation: 2. Classical spin models from ferromagnetic spin systems to spin glasses; 3. Simulated annealing; 4. Quantum spin glass; 5. Quantum dynamics; 6. Quantum annealing; Part II. Additional Notes: 7. Notes on adiabatic quantum computers; 8. Quantum information and quenching dynamics; 9. A brief historical note on the studies of quantum glass, annealing and computation.

  5. Effects of annealing temperature on the H2-sensing properties of Pd-decorated WO3 nanorods

    NASA Astrophysics Data System (ADS)

    Lee, Sangmin; Lee, Woo Seok; Lee, Jae Kyung; Hyun, Soong Keun; Lee, Chongmu; Choi, Seungbok

    2018-03-01

    The temperature of the post-annealing treatment carried out after noble metal deposition onto semiconducting metal oxides (SMOs) must be carefully optimized to maximize the sensing performance of the metal-decorated SMO sensors. WO3 nanorods were synthesized by thermal evaporation of WO3 powders and decorated with Pd nanoparticles using a sol-gel method, followed by an annealing process. The effects of the annealing temperature on the hydrogen gas-sensing properties of the Pd-decorated WO3 nanorods were then examined; the optimal annealing temperature, leading to the highest response of the WO3 nanorod sensor to H2, was determined to be 600 °C. Post-annealing at 600 °C resulted in nanorods with the highest surface area-to-volume ratio, as well as in the optimal size and the largest number of deposited Pd nanoparticles, leading to the highest response and the shortest response/recovery times toward H2. The improved H2-sensing performance of the Pd-decorated WO3 nanorod sensor, compared to a sensor based on pristine WO3 nanorods, is attributed to the enhanced catalytic activity, increased surface area-to-volume ratio, and higher amounts of surface defects.

  6. Analysis of morphological, structural and electrical properties of annealed TiO2 nanowires deposited by GLAD technique

    NASA Astrophysics Data System (ADS)

    Shougaijam, B.; Swain, R.; Ngangbam, C.; Lenka, T. R.

    2017-06-01

    The effect of annealing on vertically aligned TiO2 NWs deposited by glancing angle deposition (GLAD) method on Si substrate using pressed and sintered TiO2 pellets as source material is studied. The FE-SEM images reveal the retention of vertically aligned NWs on Si substrate after annealing process. The EDS analysis of TiO2 NWs sample annealed at 600 °C in air for 1 h shows the higher weight percentage ratio of ˜2.6 (i.e., 72.27% oxygen and 27.73% titanium). The XRD pattern reveals that the polycrystalline nature of anatase TiO2 dominates the annealed NWs sample. The electrical characteristics of Al/TiO2-NWs/TiO2-TF/p-Si (NW device) and Al/TiO2-TF/p-Si (TF device) based on annealed samples are compared. It is riveting to observe a lower leakage current of ˜1.32 × 10-7 A/cm2 at +1 V with interface trap density of ˜6.71 × 1011 eV-1 cm-2 in NW device compared to ˜2.23 × 10-7 A/cm2 in TF device. The dominant leakage mechanism is investigated to be generally Schottky emission; however Poole-Frenkel emission also takes place during high reverse bias beyond 4 V for NWs and 3 V for TF device.

  7. Characterization of Plasma-Induced Damage of Selectively Recessed GaN/InAlN/AlN/GaN Heterostructures Using SiCl4 and SF6

    NASA Astrophysics Data System (ADS)

    Ostermaier, Clemens; Pozzovivo, Gianmauro; Basnar, Bernhard; Schrenk, Werner; Carlin, Jean-François; Gonschorek, Marcus; Grandjean, Nicolas; Vincze, Andrej; Tóth, Lajos; Pécz, Bela; Strasser, Gottfried; Pogany, Dionyz; Kuzmik, Jan

    2010-11-01

    We have investigated an inductively coupled plasma etching recipe using SiCl4 and SF6 with a resulting selectivity >10 for GaN in respect to InAlN. The formation of an etch-resistant layer of AlF3 on InAlN required about 1 min and was noticed by a 4-times-higher initial etch rate on bare InAlN barrier high electron mobility transistors (HEMTs). Comparing devices with and without plasma-treatment below the gate showed no degradation in drain current and gate leakage current for plasma exposure durations shorter than 30 s, indicating no plasma-induced damage of the InAlN barrier. Devices etched longer than the required time for the formation of the etch-resistant barrier exhibited a slight decrease in drain current and an increase in gate leakage current which saturated for longer etching-time durations. Finally, we could prove the quality of the recipe by recessing the highly doped 6 nm GaN cap layer of a GaN/InAlN/AlN/GaN heterostructure down to the 2 nm thin InAlN/AlN barrier layer.

  8. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  9. Matrix isolation infrared spectra of O2 and N2 insertion reactions with atomic uranium

    NASA Astrophysics Data System (ADS)

    Hunt, Rodney D.; Toth, L. Mac; Yustein, Jason T.; Andrews, Lester

    1993-10-01

    Laser ablation of refractory metals can be an effective source of vapor for matrix isolation IR studies. This combination of techniques was used for the first time to study the mechanisms of U vapor reactions with atmospheric components. U atoms and O2 were codeposited with excess Ar at 12 K. The dominant codeposition products were UO2 and UO3. In contrast, the UO yield was always small because UO2 is formed by an insertion mechanism. This mechanism was verified in the 16O2/18O2 experiments which failed to produce 16OU18O. The effects of UV photolysis and matrix annealings were also examined. The U atoms and O2 reaction requires little or no activation energy since UO2 was formed from cold reagents. New charge-transfer species, (UO2+2)(O2-2) and (UO+2)(O-2), and a weak complex, UO3-O2, were primarily produced under conditions which favored further O2 reactions. Similar U atom and N2 experiments produced only linear NUN which is also produced by an insertion mechanism. This U reaction represents the first time that atom was observed breaking and inserting into the triple bond of N2. Photolysis dramatically increased the NUN yield by 3-fold. Matrix annealings produced weak UN2-N2 and UN2-2N2 complexes.

  10. Pulsed Laser Annealing of Carbon

    NASA Astrophysics Data System (ADS)

    Abrahamson, Joseph P.

    This dissertation investigates laser heating of carbon materials. The carbon industry has been annealing carbon via traditional furnace heating since at least 1800, when Sir Humphry Davy produced an electric arc with carbon electrodes made from carbonized wood. Much knowledge has been accumulated about carbon since then and carbon materials have become instrumental both scientifically and technologically. However, to this day the kinetics of annealing are not known due to the slow heating and cooling rates of furnaces. Additionally, consensus has yet to be reached on the cause of nongraphitizability. Annealing trajectories with respect to time at temperature are observed from a commercial carbon black (R250), model graphitizable carbon (anthracene coke) and a model nongraphitizable carbon (sucrose char) via rapid laser heating. Materials were heated with 1064 nm and 10.6 im laser radiation from a Q-switched Nd:YAG laser and a continuous wave CO2 laser, respectively. A pulse generator was used reduce the CO2 laser pulse width and provide high temporal control. Time-temperature-histories with nanosecond temporal resolution and temperature reproducibility within tens of degrees Celsius were determined by spectrally resolving the laser induced incandescence signal and applying multiwavelength pyrometry. The Nd:YAG laser fluences include: 25, 50, 100, 200, 300, and 550 mJ/cm2. The maximum observed temperature ranged from 2,400 °C to the C2 sublimation temperature of 4,180 °C. The CO2 laser was used to collect a series of isothermal (1,200 and 2,600 °C) heat treatments versus time (100 milliseconds to 30 seconds). Laser heated samples are compared to furnace annealing at 1,200 and 2,600 °C for 1 hour. The material transformation trajectory of Nd:YAG laser heated carbon is different than traditional furnace heating. The traditional furnace annealing pathway is followed for CO2 laser heating as based upon equivalent end structures. The nanostructure of sucrose char

  11. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    NASA Astrophysics Data System (ADS)

    Mohanty, P.; Kabiraj, D.; Mandal, R. K.; Kulriya, P. K.; Sinha, A. S. K.; Rath, Chandana

    2014-04-01

    TiO2 thin films deposited by electron beam evaporation technique annealed in either O2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford's backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]).

  12. Role of annealing temperatures on structure polymorphism, linear and nonlinear optical properties of nanostructure lead dioxide thin films

    NASA Astrophysics Data System (ADS)

    Zeyada, H. M.; Makhlouf, M. M.

    2016-04-01

    The powder of as synthesized lead dioxide (PbO2) has polycrystalline structure β-PbO2 phase of tetragonal crystal system. It becomes nanocrystallites α-PbO2 phase with orthorhombic crystal system upon thermal deposition to form thin films. Annealing temperatures increase nanocrystallites size from 28 to 46 nm. The optical properties of α-PbO2 phase were calculated from absolute values of transmittance and reflectance at nearly normal incidence of light by spectrophotometer measurements. The refractive and extinction indices were determined and showed a response to annealing temperatures. The absorption coefficient of α-PbO2 films is >106 cm-1 in UV region of spectra. Analysis of the absorption coefficient spectra near optical edge showed indirect allowed transition. Annealing temperature decreases the value of indirect energy gap for α-PbO2 films. The dispersion parameters such as single oscillator energy, dispersion energy, dielectric constant at high frequency and lattice dielectric constant were calculated and its variations with annealing temperatures are reported. The nonlinear refractive index (n2), third-order nonlinear susceptibility (χ(3)) and nonlinear absorption coefficient (βc) were determined. It was found that χ(3), n2 and β increase with increasing photon energy and decrease with increasing annealing temperature. The pristine film of α-PbO2 has higher values of nonlinear optical constants than for annealed films; therefore it is suitable for applications in manufacturing nonlinear optical devices.

  13. Effect of post-implantation annealing on Al-N isoelectronic trap formation in silicon: Al-N pair formation and defect recovery mechanisms

    NASA Astrophysics Data System (ADS)

    Mori, Takahiro; Morita, Yukinori; Matsukawa, Takashi

    2018-05-01

    The effect of post-implantation annealing (PIA) on Al-N isoelectronic trap (IET) formation in silicon has been experimentally investigated to discuss the Al-N IET formation and implantation-induced defect recovery mechanisms. We performed a photoluminescence study, which indicated that self-interstitial clusters and accompanying vacancies are generated in the ion implantation process. It is supposed that Al and N atoms move to the vacancy sites and form stable Al-N pairs in the PIA process. Furthermore, the PIA process recovers self-interstitial clusters while transforming their atomic configuration. The critical temperature for the formation/dissociation of Al-N pairs was found to be 450 °C, with which we describe the process integration for devices utilizing Al-N IET technology.

  14. Formation and photoluminescence of GaAs{sub 1−x}N{sub x} dilute nitride achieved by N-implantation and flash lamp annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Kun, E-mail: k.gao@hzdr.de; Helm, M.; Technische Universität Dresden, 01062 Dresden

    2014-07-07

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs{sub 1−x}N{sub x} by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about x{sub imp1} = 0.38% and x{sub imp2} = 0.76%. The GaAs{sub 1−x}N{sub x} layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs{sub 1−x}N{sub x} samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice bymore » FLA for x{sub imp1} = 0.38% and x{sub imp2} = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.« less

  15. Electrical contact of wurtzite GaN mircrodisks on p-type GaN template

    NASA Astrophysics Data System (ADS)

    Tsai, Cheng-Da; Lo, Ikai; Wang, Ying-Chieh; Hsu, Yu-Chi; Shih, Cheng-Hung; Pang, Wen-Yuan; You, Shuo-Ting; Hu, Chia-Hsuan; Chou, Mitch M. C.; Yang, Chen-Chi; Lin, Yu-Chiao

    2015-03-01

    We developed a back processing to fabricate a secure electrical contact of wurtzite GaN microdisk on a transparent p-type GaN template with the orientation, [10-10]disk // [10-10]template. GaN microdisks were grown on LiAlO2 substrate by using plasma-assisted molecular beam epitaxy. In the further study, we analyzed the TEM specimen of a sample with annealed GaN microdisk/p-typed GaN template by selection area diffraction (SAD) to confirm the alignment of the microdisks with the template at the interface. From the I-V measurements performed on the samples, we obtained a threshold voltage of ~ 5.9 V for the current passing through the GaN microdisks with a resistance of ~ 45 K Ω. The electrical contact can be applied to the nanometer-scaled GaN light-emitting diode.

  16. Characteristic effects onto C13H12N2O3 molecule dissolved in solvents of argon plasma at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2017-07-01

    We could easily argue that the decomposition of the chemical chain molecules is a compelling application when it comes to the atmospheric pressure plasma. In this paper, we have investigated the effect of the atmospheric pressure argon plasma on 4-((2-methoxyphenyl)diazenyl)benzene-1,3,-diol molecule (abbreviated as 4MBD) at room temperature. 4MBD molecule is one of the industrial dye molecules used widely. When considering the ecological life, this molecule is very harmful and dangerous. As such, we suggest a new decomposing method for such molecules. Atmospheric pressure plasma jet is principally treated for the breakdown of the molecule in question. Fourier transform infrared spectrometry and UV-Vis spectrophotometry tools are used to characterization of the molecules subsequent to the plasma applications to 4MBD molecule in ethanol and methanol solvents. The atmospheric-pressure plasma jet of argon (Ar) as non-equilibrium has been formed by ac-power generator with frequency—24 kHz and voltage—12 kV. Characterizations for solutions prepared with ethanol and methanol solvents of 4MBD molecule have been examined after applying ( duration 3 min) the atmospheric pressure plasma jet. The molecule is broken at 6C-7N-8N=9C stretching peak in consequence of the plasma treatment. The new plasma photo-products for ethanol and methanol solutions are produced as 6C-7N-8N=9C (strong, varying) and 12C=17O (strong, wide) stretching peaks. Also, the bathochromic drifts are discerned.

  17. Controlling superconductivity in La 2-xSr xCuO 4+δ by ozone and vacuum annealing

    DOE PAGES

    Leng, Xiang; Bozovic, Ivan

    2014-11-21

    In this study we performed a series of ozone and vacuum annealing experiments on epitaxial La 2-xSr xCuO 4+δ thin films. The transition temperature after each annealing step has been measured by the mutual inductance technique. The relationship between the effective doping and the vacuum annealing time has been studied. Short-time ozone annealing at 470 °C oxidizes an underdoped film all the way to the overdoped regime. The subsequent vacuum annealing at 350 °C to 380 °C slowly brings the sample across the optimal doping point back to the undoped, non-superconducting state. Several ozone and vacuum annealing cycles have beenmore » done on the same sample and the effects were found to be repeatable and reversible Vacuum annealing of ozone-loaded LSCO films is a very controllable process, allowing one to tune the doping level of LSCO in small steps across the superconducting dome, which can be used for fundamental physics studies.« less

  18. Controlling superconductivity in La 2-xSr xCuO 4+δ by ozone and vacuum annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leng, Xiang; Bozovic, Ivan

    In this study we performed a series of ozone and vacuum annealing experiments on epitaxial La 2-xSr xCuO 4+δ thin films. The transition temperature after each annealing step has been measured by the mutual inductance technique. The relationship between the effective doping and the vacuum annealing time has been studied. Short-time ozone annealing at 470 °C oxidizes an underdoped film all the way to the overdoped regime. The subsequent vacuum annealing at 350 °C to 380 °C slowly brings the sample across the optimal doping point back to the undoped, non-superconducting state. Several ozone and vacuum annealing cycles have beenmore » done on the same sample and the effects were found to be repeatable and reversible Vacuum annealing of ozone-loaded LSCO films is a very controllable process, allowing one to tune the doping level of LSCO in small steps across the superconducting dome, which can be used for fundamental physics studies.« less

  19. Formation of silicon nanocrystals in silicon carbide using flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Weiss, Charlotte; Schnabel, Manuel; Prucnal, Slawomir; Hofmann, Johannes; Reichert, Andreas; Fehrenbach, Tobias; Skorupa, Wolfgang; Janz, Stefan

    2016-09-01

    During the formation of Si nanocrystals (Si NC) in SixC1-x layers via solid-phase crystallization, the unintended formation of nanocrystalline SiC reduces the minority carrier lifetime and therefore the performance of SixC1-x as an absorber layer in solar cells. A significant reduction in the annealing time may suppress the crystallization of the SiC matrix while maintaining the formation of Si NC. In this study, we investigated the crystallization of stoichiometric SiC and Si-rich SiC using conventional rapid thermal annealing (RTA) and nonequilibrium millisecond range flash lamp annealing (FLA). The investigated SixC1-x films were prepared by plasma-enhanced chemical vapor deposition and annealed at temperatures from 700 °C to 1100 °C for RTA and at flash energies between 34 J/cm2 and 62 J/cm2 for FLA. Grazing incidence X-ray diffraction and Fourier transformed infrared spectroscopy were conducted to investigate hydrogen effusion, Si and SiC NC growth, and SiC crystallinity. Both the Si content and the choice of the annealing process affect the crystallization behavior. It is shown that under certain conditions, FLA can be successfully utilized for the formation of Si NC in a SiC matrix, which closely resembles Si NC in a SiC matrix achieved by RTA. The samples must have excess Si, and the flash energy should not exceed 40 J/cm2 and 47 J/cm2 for Si0.63C0.37 and Si0.77C0.23 samples, respectively. Under these conditions, FLA succeeds in producing Si NC of a given size in less crystalline SiC than RTA does. This result is discussed in terms of nucleation and crystal growth using classical crystallization theory. For FLA and RTA samples, an opposite relationship between NC size and Si content was observed and attributed either to the dependence of H effusion on Si content or to the optical absorption properties of the materials, which also depend on the Si content.

  20. Effects of plasma pretreatment on the process of self-forming Cu-Mn alloy barriers for Cu interconnects

    NASA Astrophysics Data System (ADS)

    Park, Jae-Hyung; Han, Dong-Suk; Kim, Kyoung-Deok; Park, Jong-Wan

    2018-02-01

    This study investigated the effect of plasma pretreatment on the process of a self-forming Cu-Mn alloy barrier on porous low-k dielectrics. To study the effects of plasma on the performance of a self-formed Mn-based barrier, low-k dielectrics were pretreated with H2 plasma or NH3 plasma. Cu-Mn alloy materials on low-k substrates that were subject to pretreatment with H2 plasma exhibited lower electrical resistivity values and the formation of thicker Mn-based interlayers than those on low-k substrates that were subject to pretreatment with NH3 plasma. Transmission electron microscopy (TEM), X-ray photoemission spectroscopy (XPS), and thermal stability analyses demonstrated the exceptional performance of the Mn-based interlayer on plasma-pretreated low-k substrates with regard to thickness, chemical composition, and reliability. Plasma treating with H2 gas formed hydrophilic Si-OH bonds on the surface of the low-k layer, resulting in Mn-based interlayers with greater thickness after annealing. However, additional moisture uptake was induced on the surface of the low-k dielectric, degrading electrical reliability. By contrast, plasma treating with NH3 gas was less effective with regard to forming a Mn-based interlayer, but produced a Si-N/C-N layer on the low-k surface, yielding improved barrier characteristics.

  1. N-Acetyl-S-(N,N-diethylcarbamoyl) cysteine in rat nucleus accumbens, medial prefrontal cortex, and in RAT and human plasma after disulfiram administration

    PubMed Central

    Winefield, Robert D.; Heemskerk, Anthonius A.M.; Kaul, Swetha; Williams, Todd D.; Caspers, Michael J.; Prisinzano, Thomas E.; McCance-Katz, Elinore F.; Lunte, Craig E.

    2015-01-01

    Disulfiram (DSF), a treatment for alcohol use disorders, has shown some clinical effectiveness in treating addiction to cocaine, nicotine, and pathological gambling. The mechanism of action of DSF for treating these addictions is unclear but it is unlikely to involve the inhibition of liver aldehyde dehydrogenase (ALDH2). DSF is a pro-drug and forms a number of metabolites, one of which is N-acetyl-S-(N,N-diethylcarbamoyl) cysteine (DETC-NAC). Here we describe a LCMS/MS method on a QQQ type instrument to quantify DETC-NAC in plasma and intracellular fluid from mammalian brain. An internal standard, the N,N-di-isopropylcarbamoyl homolog (MIM: 291 > 128) is easily separable from DETC-NAC (MIM: 263 > 100) on C18 RP media with a methanol gradient. The method's linear range is 0.5–500 nM from plasma and dialysate salt solution with all precisions better than 10% RSD. DETC-NAC and internal standards were recovered at better than 95% from all matrices, perchloric acid precipitation (plasma) or formic acid addition (salt) and is stable in plasma or salt at low pH for up to 24 h. Stability is observed through three freeze-thaw cycles per day for 7 days. No HPLC peak area matrix effect was greater than 10%. A human plasma sample from a prior analysis for S-(N,N-diethylcarbamoyl) glutathione (CARB) was found to have DETC NAC as well. In other human plasma samples from 62.5 mg/d and 250mg/d dosing, CARB concentration peaks at 0.3 and 4 nM at 3 h followed by DETC-NAC peaks of 11 and 70 nM 2 h later. Employing microdialysis sampling, DETC-NAC levels in the nucleus accumbens (NAc), medial prefrontal cortex (mPFC), and plasma of rats treated with DSF reached 1.1, 2.5 and 80 nM at 6 h. The correlation between the appearance and long duration of DETC-NAC concentration in rat brain and the persistence of DSF-induced changes in neurotransmitters observed by Faiman et al. (Neuropharmacology, 2013, 75C, 95–105) is discussed. PMID:25720821

  2. Synergistic effect of N-decorated and Mn2+ doped ZnO nanofibers with enhanced photocatalytic activity

    PubMed Central

    Wang, Yuting; Cheng, Jing; Yu, Suye; Alcocer, Enric Juan; Shahid, Muhammad; Wang, Ziyuan; Pan, Wei

    2016-01-01

    Here we report a high efficiency photocatalyst, i.e., Mn2+-doped and N-decorated ZnO nanofibers (NFs) enriched with vacancy defects, fabricated via electrospinning and a subsequent controlled annealing process. This nanocatalyst exhibits excellent visible-light photocatalytic activity and an apparent quantum efficiency up to 12.77%, which is 50 times higher than that of pure ZnO. It also demonstrates good stability and durability in repeated photocatalytic degradation experiments. A comprehensive structural analysis shows that high density of oxygen vacancies and nitrogen are introduced into the nanofibers surface. Hence, the significant enhanced visible photocatalytic properties for Mn-ZnO NFs are due to the synergetic effects of both Mn2+ doping and N decorated. Further investigations exhibit that the Mn2+-doping facilitates the formation of N-decorated and surface defects when annealing in N2 atmosphere. N doping induce the huge band gap decrease and thus significantly enhance the absorption of ZnO nanofibers in the range of visible-light. Overall, this paper provides a new approach to fabricate visible-light nanocatalysts using both doping and annealing under anoxic ambient. PMID:27600260

  3. Impact of Plasma Electron Flux on Plasma Damage‐Free Sputtering of Ultrathin Tin‐Doped Indium Oxide Contact Layer on p‐GaN for InGaN/GaN Light‐Emitting Diodes

    PubMed Central

    Son, Kwang Jeong; Kim, Tae Kyoung; Cha, Yu‐Jung; Oh, Seung Kyu; You, Shin‐Jae; Ryou, Jae‐Hyun

    2017-01-01

    Abstract The origin of plasma‐induced damage on a p‐type wide‐bandgap layer during the sputtering of tin‐doped indium oxide (ITO) contact layers by using radiofrequency‐superimposed direct current (DC) sputtering and its effects on the forward voltage and light output power (LOP) of light‐emitting diodes (LEDs) with sputtered ITO transparent conductive electrodes (TCE) is systematically studied. Changing the DC power voltage from negative to positive bias reduces the forward voltages and enhances the LOP of the LEDs. The positive DC power drastically decreases the electron flux in the plasma obtained by plasma diagnostics using a cutoff probe and a Langmuir probe, suggesting that the repulsion of plasma electrons from the p‐GaN surface can reduce plasma‐induced damage to the p‐GaN. Furthermore, electron‐beam irradiation on p‐GaN prior to ITO deposition significantly increases the forward voltages, showing that the plasma electrons play an important role in plasma‐induced damage to the p‐GaN. The plasma electrons can increase the effective barrier height at the ITO/deep‐level defect (DLD) band of p‐GaN by compensating DLDs, resulting in the deterioration of the forward voltage and LOP. Finally, the plasma damage‐free sputtered‐ITO TCE enhances the LOP of the LEDs by 20% with a low forward voltage of 2.9 V at 20 mA compared to LEDs with conventional e‐beam‐evaporated ITO TCE. PMID:29619312

  4. Ultrafast Flame Annealing of TiO2 Paste for Fabricating Dye-Sensitized and Perovskite Solar Cells with Enhanced Efficiency.

    PubMed

    Kim, Jung Kyu; Chai, Sung Uk; Cho, Yoonjun; Cai, Lili; Kim, Sung June; Park, Sangwook; Park, Jong Hyeok; Zheng, Xiaolin

    2017-11-01

    Mesoporous TiO 2 nanoparticle (NP) films are broadly used as electrodes in photoelectrochemical cells, dye-sensitized solar cells (DSSCs), and perovskite solar cells (PSCs). State-of-the-art mesoporous TiO 2 NP films for these solar cells are fabricated by annealing TiO 2 paste-coated fluorine-doped tin oxide glass in a box furnace at 500 °C for ≈30 min. Here, the use of a nontraditional reactor, i.e., flame, is reported for the high throughput and ultrafast annealing of TiO 2 paste (≈1 min). This flame-annealing method, compared to conventional furnace annealing, exhibits three distinct benefits. First, flame removes polymeric binders in the initial TiO 2 paste more completely because of its high temperature (≈1000 °C). Second, flame induces strong interconnections between TiO 2 nanoparticles without affecting the underlying transparent conducting oxide substrate. Third, the flame-induced carbothermic reduction on the TiO 2 surface facilitates charge injection from the dye/perovskite to TiO 2 . Consequently, when the flame-annealed mesoporous TiO 2 film is used to fabricate DSSCs and PSCs, both exhibit enhanced charge transport and higher power conversion efficiencies than those fabricated using furnace-annealed TiO 2 films. Finally, when the ultrafast flame-annealing method is combined with a fast dye-coating method to fabricate DSSC devices, its total fabrication time is reduced from over 3 h to ≈10 min. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Annealing free magnetic tunnel junction sensors

    NASA Astrophysics Data System (ADS)

    Knudde, S.; Leitao, D. C.; Cardoso, S.; Freitas, P. P.

    2017-04-01

    Annealing is a major step in the fabrication of magnetic tunnel junctions (MTJs). It sets the exchange bias between the pinned and antiferromagnetic layers, and helps to increase the tunnel magnetoresistance (TMR) in both amorphous and crystalline junctions. Recent research on MTJs has focused on MgO-based structures due to their high TMR. However, the strict process control and mandatory annealing step can limit the scope of the application of these structures as sensors. In this paper, we present AlOx-based MTJs that are produced by ion beam sputtering and remote plasma oxidation and show optimum transport properties with no annealing. The microfabricated devices show TMR values of up to 35% and using NiFe/CoFeB free layers provides tunable linear ranges, leading to coercivity-free linear responses with sensitivities of up to 5.5%/mT. The top-pinned synthetic antiferromagnetic reference shows a stability of about 30 mT in the microfabricated devices. Sensors with linear ranges of up to 60 mT are demonstrated. This paves the way for the integration of MTJ sensors in heat-sensitive applications such as flexible substrates, or for the design of low-footprint on-chip multiaxial sensing devices.

  6. Performance of Quantum Annealers on Hard Scheduling Problems

    NASA Astrophysics Data System (ADS)

    Pokharel, Bibek; Venturelli, Davide; Rieffel, Eleanor

    Quantum annealers have been employed to attack a variety of optimization problems. We compared the performance of the current D-Wave 2X quantum annealer to that of the previous generation D-Wave Two quantum annealer on scheduling-type planning problems. Further, we compared the effect of different anneal times, embeddings of the logical problem, and different settings of the ferromagnetic coupling JF across the logical vertex-model on the performance of the D-Wave 2X quantum annealer. Our results show that at the best settings, the scaling of expected anneal time to solution for D-WAVE 2X is better than that of the DWave Two, but still inferior to that of state of the art classical solvers on these problems. We discuss the implication of our results for the design and programming of future quantum annealers. Supported by NASA Ames Research Center.

  7. SnO2-gated AlGaN/GaN high electron mobility transistors based oxygen sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hung, S.T.; Chung, Chi-Jung; Chen, Chin Ching

    2012-01-01

    Hydrothermally grown SnO2 was integrated with AlGaN/GaN high electron mobility transistor (HEMT) sensor as the gate electrode for oxygen detection. The crystalline of the SnO2 was improved after annealing at 400 C. The grain growth kinetics of the SnO2 nanomaterials, together with the O2 gas sensing properties and sensing mechanism of the SnO2 gated HEMT sensors were investigated. Detection of 1% oxygen in nitrogen at 100 C was possible. A low operation temperature and low power consumption oxygen sensor can be achieved by combining the SnO2 films with the AlGaN/GaN HEMT structure

  8. InGaN nanocolumn growth self-induced by in-situ annealing and ion irradiation during growth process with molecular beam epitaxy method

    NASA Astrophysics Data System (ADS)

    Xue, Junjun; Cai, Qing; Zhang, Baohua; Ge, Mei; Chen, Dunjun; Zheng, Jianguo; Zhi, Ting; Tao, Zhikuo; Chen, Jiangwei; Wang, Lianhui; Zhang, Rong; Zheng, Youdou

    2017-11-01

    Incubation and shape transition are considered as two essential processes for nucleating of self-assembly InGaN nanocolumns (NCs) in traditional way. We propose a new approach for nuclei forming directly by in-situ annealing and ion irradiating the InGaN template during growing process. The nanoislands, considered as the nuclei of NCs, were formed by a combinational effect of thermal and ion etching (TIE), which made the gaps of the V-pits deeper and wider. On account of the decomposition of InGaN during TIE process, more nitride-rich amorphous alloys would intent to accumulate in the corroded V-pits. The amorphous alloys played a key role to promote the following growth from 2D regime into Volmer-Weber growth regime so that the NC morphology took place, rather than a compact film. As growth continued, the subsequently epitaxial InGaN alloys on the annealed NC nuclei were suffered in biaxial compressive stress for losing part of indium content from the NC nuclei during the TIE process. Strain relaxation, accompanied by thread dislocations, came up and made the lattice planes misoriented, which prevented the NCs from coalescence into a compact film at later period of growing.

  9. Electrical characteristics and thermal stability of n+ polycrystalline- Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lim, Kwan-Yong; Park, Dae-Gyu; Cho, Heung-Jae; Kim, Joong-Jung; Yang, Jun-Mo; Ii, Choi-Sang; Yeo, In-Seok; Park, Jin Won

    2002-01-01

    We have investigated the thermal stability of n+ polycrystalline-Si(poly-Si)/ZrO2(50-140 Å)/SiO2(7 Å)/p-Si metal-oxide-semiconductor (MOS) capacitors via electrical and material characterization. The ZrO2 gate dielectric was prepared by atomic layer chemical vapor deposition using ZrCl4 and H2O vapor. Capacitance-voltage hysteresis as small as ˜12 mV with the flatband voltage of -0.5 V and the interface trap density of ˜5×1010cm-2 eV-1 were attained with activation anneal at 750 °C. A high level of gate leakage current was observed at the activation temperatures over 750 °C and attributed to the interfacial reaction of poly-Si and ZrO2 during the poly-Si deposition and the following high temperature anneal. Because of this, the ZrO2 gate dielectric is incompatible with the conventional poly-Si gate process. In the MOS capacitors having a smaller active area (<50×50 μm2), fortunately, the electrical degradation by further severe silicidation does not occur up to an 800 °C anneal in N2 for 30 min.

  10. Effect of N{sub 2} and Ar gas on DC arc plasma generation and film composition from Ti-Al compound cathodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhirkov, Igor, E-mail: igozh@ifm.liu.se; Rosen, Johanna; Oks, Efim

    2015-06-07

    DC arc plasma from Ti, Al, and Ti{sub 1−x}Al{sub x} (x = 0.16, 0.25, 0.50, and 0.70) compound cathodes has been characterized with respect to plasma chemistry (charged particles) and charge-state-resolved ion energy for Ar and N{sub 2} pressures in the range 10{sup −6} to 3 × 10{sup −2} Torr. Scanning electron microscopy was used for exploring the correlation between the cathode and film composition, which in turn was correlated with the plasma properties. In an Ar atmosphere, the plasma ion composition showed a reduction of Al of approximately 5 at. % compared to the cathode composition, while deposited films were in accordance with the cathodemore » stoichiometry. Introducing N{sub 2} above ∼5 × 10{sup −3} Torr, lead to a reduced Al content in the plasma as well as in the film, and hence a 1:1 correlation between the cathode and film composition cannot be expected in a reactive environment. This may be explained by an influence of the reactive gas on the arc mode and type of erosion of Ti and Al rich contaminations, as well as on the plasma transport. Throughout the investigated pressure range, a higher deposition rate was obtained from cathodes with higher Al content. The origin of generated gas ions was investigated through the velocity rule, stating that the most likely ion velocities of all cathode elements from a compound cathode are equal. The results suggest that the major part of the gas ions in Ar is generated from electron impact ionization, while gas ions in a N{sub 2} atmosphere primarily originate from a nitrogen contaminated layer on the cathode surface. The presented results provide a contribution to the understanding processes of plasma generation from compound cathodes. It also allows for a more reasonable approach to the selection of composite cathode and experimental conditions for thin film depositions.« less

  11. All-dry transferred single- and few-layer MoS2 field effect transistor with enhanced performance by thermal annealing

    NASA Astrophysics Data System (ADS)

    Islam, Arnob; Lee, Jaesung; Feng, Philip X.-L.

    2018-01-01

    We report on the experimental demonstration of all-dry stamp transferred single- and few-layer (1L to 3L) molybdenum disulfide (MoS2) field effect transistors (FETs), with a significant enhancement of device performance by employing thermal annealing in moderate vacuum. Three orders of magnitude reduction in both contact and channel resistances have been attained via thermal annealing. We obtain a low contact resistance of 22 kΩ μm after thermal annealing of 1L MoS2 FETs stamp-transferred onto gold (Au) contact electrodes. Furthermore, nearly two orders of magnitude enhancement of field effect mobility are also observed after thermal annealing. Finally, we employ Raman and photoluminescence measurements to reveal the phenomena of alloying or hybridization between 1L MoS2 and its contacting electrodes during annealing, which is responsible for attaining the low contact resistance.

  12. Decomposition of 2-((2-methoxyphenyl)diazenyl)benzene-1,3,5-triol molecule by an argon plasma jet

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2018-05-01

    In this study, we have presented the effects of the argon plasma on a 2-((2-methoxyphenyl)diazenyl)benzene-1,3,5-triol molecule—AZO compound (abbreviated as 2MDB)—under atmospheric pressure. In order to do this, the validated molecule has been considered and plasma has been used to modify it. The atmospheric pressure plasma jet system was specially designed for performing decomposing processes of the 2MDB molecule. The characterizations before and after the application of plasma—which takes only 3 minutes under atmospheric pressure conditions, to dissolve the 2MDB molecule in ethanol and methanol solutions—were examined using the Fourier transform infrared and Ultraviolet-Visible (UV-Vis) spectroscopies. After the plasma treatment, the molecule was broken at -C-N=N-C-C bond. Accurate and important changes are seen clearly from the results. In addition, according to UV-Vis spectra, π-π* electronic transitions related to -N=N- AZO bridge for the 2MDB molecule in polar-aprotic solvents such as ethanol and methanol were recorded as strong transitions. The new photoproducts such as -C-N-N=C and C=O were obtained from the 2MDB molecule.

  13. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-12-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N 2 -based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H 2 /NH 3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias (V DSQ ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage (V th ), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  14. Effects of Post Annealing on I-V-T Characteristics of (Ni/Au)/Al0.09Ga0.91N Schottky Barrier Diodes

    NASA Astrophysics Data System (ADS)

    Akkaya, Abdullah; Ayyıldız, Enise

    2016-04-01

    Post annealing is a simple, effective and suitable method for improving the diode parameters, especially when the used chemically stable substrates like Si, III-N and ternary alloys. In our work, we were applied this method to (Ni/Au)/Al0.09Ga0.91N Schottky Barrier Diodes (SBDs) and investigated by temperature-dependent current-voltage (I-V-T) characteristics at optimum conditions. Optimum annealing temperature was 600°C, which it’s determined with respect to have a highest barrier height value. The temperature-dependent electrical characteristics of the annealed at 600°C (Ni/Au)/Al0.09Ga0.91N SBDs were investigated in the wide temperature range of 95-315K. The diode parameters such as ideality factor (n) and Schottky barrier height (Vb0) were obtained to be strongly temperature dependent. The observed variation in Vb0 and n can be attributed to the spatial barrier inhomogeneities in Schottky barrier height by assuming a triple Gaussian distribution (TGD) of barrier heights (BHs) at 95-145K, 145-230K and 230-315K. The modified Richardson plots and T0 analysis was performed to provide an experimental Richardson constants and bias coefficients of the mean barrier height. Furthermore, the chemical composition of the contacts was examined by the XPS depth profile analysis.

  15. Inulin Supplementation Does Not Reduce Plasma Trimethylamine N-Oxide Concentrations in Individuals at Risk for Type 2 Diabetes.

    PubMed

    Baugh, Mary Elizabeth; Steele, Cortney N; Angiletta, Christopher J; Mitchell, Cassie M; Neilson, Andrew P; Davy, Brenda M; Hulver, Matthew W; Davy, Kevin P

    2018-06-20

    Trimethylamine N -oxide (TMAO) is associated with type 2 diabetes (T2DM) and increased risk of adverse cardiovascular events. Prebiotic supplementation has been purported to reduce TMAO production, but whether prebiotics reduce fasting or postprandial TMAO levels is unclear. Sedentary, overweight/obese adults at risk for T2DM ( n = 18) were randomized to consume a standardized diet (55% carbohydrate, 30% fat) with 10 g/day of either an inulin supplement or maltodextrin placebo for 6 weeks. Blood samples were obtained in the fasting state and hourly during a 4-h high-fat challenge meal (820 kcal; 25% carbohydrate, 63% fat; 317.4 mg choline, 62.5 mg betaine, 8.1 mg l-carnitine) before and after the diet. Plasma TMAO and trimethylamine (TMA) moieties (choline, l-carnitine, betaine, and γ-butyrobetaine) were measured using isocratic ultraperformance liquid chromatography-tandem mass spectrometry (UPLC-MS/MS). There were no differences in fasting or postprandial TMAO or TMA moieties between the inulin and placebo groups at baseline (all p > 0.05). There were no significant changes in fasting or postprandial plasma TMAO or TMA moiety concentrations following inulin or placebo. These findings suggest that inulin supplementation for 6 weeks did not reduce fasting or postprandial TMAO in individuals at risk for T2DM. Future studies are needed to identify efficacious interventions that reduce plasma TMAO concentrations.

  16. Special Features of Induction Annealing of Friction Stir Welded Joints of Medium-Alloy Steels

    NASA Astrophysics Data System (ADS)

    Priymak, E. Yu.; Stepanchukova, A. V.; Bashirova, E. V.; Fot, A. P.; Firsova, N. V.

    2018-01-01

    Welded joints of medium-alloy steels XJY750 and 40KhN2MA are studied in the initial condition and after different variants of annealing. Special features of the phase transformations occurring in the welded steels are determined. Optimum modes of annealing are recommended for the studied welded joints of drill pipes, which provide a high level of mechanical properties including the case of impact loading.

  17. Two-stage ordering processes under annealing of Sr submonolayers on Mo(1 1 2)

    NASA Astrophysics Data System (ADS)

    Fedorus, A.; Godzik, G.; Naumovets, A.; Pfnür, H.

    2004-09-01

    Using LEED as technique of investigation, the evolution of geometrical order in the system Sr/Mo(1 1 2) was studied after annealing at temperatures between 100 and 900 K. Two stages of ordering were found for the chain-like structures p(8 × 1) and p(5 × 1). Partial ordering occurred already at the base adsorption temperature (90 K) with slight improvement after annealing to temperatures around 200 K. The full equilibration of the layers, however, was found to happen only at high annealing temperatures (ranging between 500 and 600 K, depending on coverage). Correlating these data with the highly anisotropic diffusivity known for Sr overlayers on Mo(1 1 2), we assume that the low-temperature ordering sets in via a kink-like diffusion of adsorbate chains essentially along the substrate troughs, whereas in the high-temperature step, diffusion across the troughs is most important.

  18. Controlling interface oxygen for forming Ag ohmic contact to semi-polar (1 1 -2 2) plane p-type GaN

    NASA Astrophysics Data System (ADS)

    Park, Jae-Seong; Han, Jaecheon; Seong, Tae-Yeon

    2014-11-01

    Low-resistance Ag ohmic contacts to semi-polar (1 1 -2 2) p-GaN were developed by controlling interfacial oxide using a Zn layer. The 300 °C-annealed Zn/Ag samples showed ohmic behavior with a contact resistivity of 6.0 × 10-4 Ω cm2 better than that of Ag-only contacts (1.0 × 10-3 Ω cm2). The X-ray photoemission spectroscopy (XPS) results showed that annealing caused the indiffusion of oxygen at the contact/GaN interface, resulting in the formation of different types of interfacial oxides, viz. Ga-oxide and Ga-doped ZnO. Based on the XPS and electrical results, the possible mechanisms underlying the improved electrical properties of the Zn/Ag samples are discussed.

  19. Enhancing the luminescence of Eu3+ /Eu2+ ion-doped hydroxyapatite by fluoridation and thermal annealing.

    PubMed

    Van, Hoang Nhu; Tam, Phuong Dinh; Kien, Nguyen Duc Trung; Huy, Pham Thanh; Pham, Vuong-Hung

    2017-08-01

    This paper reports a novel way for the synthesis of a europium (Eu)-doped fluor-hydroxyapatite (FHA) nanostructure to control the luminescence of hydroxyapatite nanophosphor, particularly, by applying optimum fluorine concentrations, annealed temperatures and pH value. The Eu-doped FHA was made using the co-precipitation method followed by thermal annealing in air and reducing in a H 2 atmosphere to control the visible light emission center of the nanophosphors. The intensities of the OH - group decreased with the increasing fluorine concentrations. For the specimens annealed in air, the light emission center of the nanophosphor was 615 nm, which was emission from the Eu 3 + ion. However, when they were annealed in reduced gas (Ar + 5% H 2 ), a 448 nm light emission center from the Eu 2 + ion of FHA was observed. The presence of fluorine in Eu-doped FHA resulted in a significant enhancement of nanophosphor luminescence, which has potential application in light emission and nanomedicine. Copyright © 2016 John Wiley & Sons, Ltd.

  20. Connection between the conformation and emission properties of poly[2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] single molecules during thermal annealing

    NASA Astrophysics Data System (ADS)

    Ou, Jiemei; Yang, Yuzhao; Lin, Wensheng; Yuan, Zhongke; Gan, Lin; Lin, Xiaofeng; Chen, Xudong; Chen, Yujie

    2015-03-01

    We investigated the transitions of conformations and their effects on emission properties of poly[2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] (MEH-PPV) single molecules in PMMA matrix during thermal annealing process. Total internal reflection fluorescence microscopy measurements reveal the transformation from collapsed conformations to extended, highly ordered rod-like structures of MEH-PPV single molecules during thermal annealing. The blue shifts in the ensemble single molecule PL spectra support our hypnosis. The transition occurs as the annealing temperature exceeds 100 °C, implying that an annealing temperature near the glass transition temperature Tg of matrix is ideal for the control and optimization of blend polymer films.

  1. Effect of annealing on the laser induced damage of polished and CO2 laser-processed fused silica surfaces

    NASA Astrophysics Data System (ADS)

    Doualle, T.; Gallais, L.; Cormont, P.; Donval, T.; Lamaignère, L.; Rullier, J. L.

    2016-06-01

    We investigate the effect of different heat treatments on the laser-induced damage probabilities of fused silica samples. Isothermal annealing in a furnace is applied, with different temperatures in the range 700-1100 °C and 12 h annealing time, to super-polished fused silica samples. The surface flatness and laser damage probabilities at 3 ns, 351 nm are measured before and after the different annealing procedures. We have found a significant improvement of the initial laser damage probabilities of the silica surface after annealing at 1050 °C for 12 h. A similar study has been conducted on CO2 laser-processed sites on the surface of the samples. Before and after annealing, we have studied the morphology of the sites, the evolution of residual stress, and the laser-induced damage threshold measured at 351 nm, 3 ns. In this case, we observe that the laser damage resistance of the laser created craters can reach the damage level of the bare fused silica surface after the annealing process, with a complete stress relieve. The obtained results are then compared to the case of local annealing process by CO2 laser irradiation during 1 s, and we found similar improvements in both cases. The different results obtained in the study are compared to numerical simulations made with a thermo-mechanical model based on finite-element method that allows the simulation of the isothermal or the local annealing process, the evolution of stress and fictive temperature. The simulation results were found to be very consistent with experimental observations for the stresses evolution after annealing and estimation of the heat affected area during laser-processing based on the density dependence with fictive temperature. Following this work, the temperature for local annealing should reach 1330-1470 °C for an optimized reduction of damage probability and be below the threshold for material removal, whereas furnace annealing should be kept below the annealing point to avoid sample

  2. Site- and bond-percolation thresholds in K_{n,n}-based lattices: Vulnerability of quantum annealers to random qubit and coupler failures on chimera topologies.

    PubMed

    Melchert, O; Katzgraber, Helmut G; Novotny, M A

    2016-04-01

    We estimate the critical thresholds of bond and site percolation on nonplanar, effectively two-dimensional graphs with chimeralike topology. The building blocks of these graphs are complete and symmetric bipartite subgraphs of size 2n, referred to as K_{n,n} graphs. For the numerical simulations we use an efficient union-find-based algorithm and employ a finite-size scaling analysis to obtain the critical properties for both bond and site percolation. We report the respective percolation thresholds for different sizes of the bipartite subgraph and verify that the associated universality class is that of standard two-dimensional percolation. For the canonical chimera graph used in the D-Wave Systems Inc. quantum annealer (n=4), we discuss device failure in terms of network vulnerability, i.e., we determine the critical fraction of qubits and couplers that can be absent due to random failures prior to losing large-scale connectivity throughout the device.

  3. Cesium lead iodide solar cells controlled by annealing temperature.

    PubMed

    Kim, Yu Geun; Kim, Tae-Yoon; Oh, Jeong Hyeon; Choi, Kyoung Soon; Kim, Youn-Jea; Kim, Soo Young

    2017-02-22

    An inorganic lead halide perovskite film, CsPbI 3 , used as an absorber in perovskite solar cells (PSCs) was optimized by controlling the annealing temperature and the layer thickness. The CsPbI 3 layer was synthesized by one-step coating of CsI mixed with PbI 2 and a HI additive in N,N-dimethylformamide. The annealing temperature of the CsPbI 3 film was varied from 80 to 120 °C for different durations and the thickness was controlled by changing the spin-coating rpm. After annealing the CsPbI 3 layer at 100 °C under dark conditions for 10 min, a black phase of CsPbI 3 was formed and the band gap was 1.69 eV. Most of the yellow spots disappeared, the surface coverage was almost 100%, and the rms roughness was minimized to 3.03 nm after annealing at 100 °C. The power conversion efficiency (PCE) of the CsPbI 3 based PSC annealed at 100 °C was 4.88%. This high PCE value is attributed to the low yellow phase ratio, high surface coverage, low rms roughness, lower charge transport resistance, and lower charge accumulation. The loss ratio of the PCE of the CH 3 NH 3 PbI x Cl 3-x and CsPbI 3 based PSCs after keeping in air was 47 and 26%, respectively, indicating that the stability of the CsPbI 3 based PSC is better than that of the CH 3 NH 3 PbI x Cl 3-x based PSC. From these results, it is evident that CsPbI 3 is a potential candidate for solar cell applications.

  4. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition

    NASA Astrophysics Data System (ADS)

    Mon-Pérez, E.; Salazar, J.; Ramos, E.; Santoyo Salazar, J.; López Suárez, A.; Dutt, A.; Santana, G.; Marel Monroy, B.

    2016-11-01

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH2Cl2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH3/SiH2Cl2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  5. Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.

    1990-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  6. Laser treatment of plasma-hydrogenated silicon wafers for thin layer exfoliation

    NASA Astrophysics Data System (ADS)

    Ghica, Corneliu; Nistor, Leona Cristina; Teodorescu, Valentin Serban; Maraloiu, Adrian; Vizireanu, Sorin; Scarisoreanu, Nae Doinel; Dinescu, Maria

    2011-03-01

    We have studied by transmission electron microscopy the microstructural effects induced by pulsed laser annealing in comparison with thermal treatments of RF plasma hydrogenated Si wafers aiming for further application in the smart-cut procedure. While thermal annealing mainly produces a slight decrease of the density of plasma-induced planar defects and an increase of the size and number of plasma-induced nanocavities in the Si matrix, pulsed laser annealing of RF plasma hydrogenated Si wafers with a 355 nm wavelength radiation results in both the healing of defects adjacent to the wafer surface and the formation of a well defined layer of nanometric cavities at a depth of 25-50 nm. In this way, a controlled fracture of single crystal layers of Si thinner than 50 nm is favored.

  7. A Reproducible Approach of Preparing High-Quality Overdoped Bi 2 Sr 2 CaCu 2 O 8+δ Single Crystals by Oxygen Annealing and Quenching Method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yu-Xiao; Zhao, Lin; Gu, Gen-Da

    2016-06-01

    Here, we report a reproducible approach in preparing high-quality overdoped Bi 2Sr 2 CaCu 2 O 8+δ (Bi2212) single crystals by annealing Bi2212 crystals in high oxygen pressure followed by a fast quenching. High-quality overdoped and heavily overdoped Bi2212 single crystals are obtained by controlling the annealing oxygen pressure. Furthermore, we find that, beyond a limit of oxygen pressure that can achieve most heavily overdoped Bi2212 with a T c ~63 K, the annealed Bi2212 begins to decompose. This accounts for the existence of the hole-doping limit and thus the T c limit in the heavily overdoped region of Bi2212more » by the oxygen annealing process. Our results provide a reliable way in preparing high-quality overdoped and heavily overdoped Bi2212 crystals that are important for studies of the physical properties, electronic structure and superconductivity mechanism of the cuprate superconductors.« less

  8. A low thermal impact annealing process for SiO2-embedded Si nanocrystals with optimized interface quality

    NASA Astrophysics Data System (ADS)

    Hiller, Daniel; Gutsch, Sebastian; Hartel, Andreas M.; Löper, Philipp; Gebel, Thoralf; Zacharias, Margit

    2014-04-01

    Silicon nanocrystals (Si NCs) for 3rd generation photovoltaics or optoelectronic applications can be produced by several industrially compatible physical or chemical vapor deposition technologies. A major obstacle for the integration into a fabrication process is the typical annealing to form and crystallize these Si quantum dots (QDs) which involves temperatures ≥1100 °C for 1 h. This standard annealing procedure allows for interface qualities that correspond to more than 95% dangling bond defect free Si NCs. We study the possibilities to use rapid thermal annealing (RTA) and flash lamp annealing to crystallize the Si QDs within seconds or milliseconds at high temperatures. The Si NC interface of such samples exhibits huge dangling bond defect densities which makes them inapplicable for photovoltaics or optoelectronics. However, if the RTA high temperature annealing is combined with a medium temperature inert gas post-annealing and a H2 passivation, luminescent Si NC fractions of up to 90% can be achieved with a significantly reduced thermal load. A new figure or merit, the relative dopant diffusion length, is introduced as a measure for the impact of a Si NC annealing procedure on doping profiles of device structures.

  9. Localized phase change of VO2 films grown by atomic-layer deposition on InAlN/AlN/GaN heterostructures

    NASA Astrophysics Data System (ADS)

    Downey, Brian P.; Wheeler, Virginia D.; Meyer, David J.

    2017-06-01

    We demonstrate the thermally actuated phase change of VO2 films formed by atomic layer deposition and subsequent thermal annealing on InAlN/AlN/GaN heterostructures. To locally raise the device temperature above the VO2 semiconductor-metal transition temperature, a two-dimensional electron gas formed within the InAlN/AlN/GaN heterostructure was used as an integrated resistive heater. An ON/OFF resistance ratio of nearly 103 was achieved for 50 nm VO2 films over a temperature range of 25 to 105 °C. The time required to switch the VO2 film from high- to low-resistance states was shown to depend on the applied heater power, with sub-microsecond transition times achieved.

  10. Inductively coupled BCl 3/Cl 2 /Ar plasma etching of Al-rich AlGaN

    DOE PAGES

    Douglas, Erica A.; Sanchez, Carlos A.; Kaplar, Robert J.; ...

    2016-12-01

    Varying atomic ratios in compound semiconductors is well known to have large effects on the etching properties of the material. The use of thin device barrier layers, down to 25 nm, adds to the fabrication complexity by requiring precise control over etch rates and surface morphology. The effects of bias power and gas ratio of BCl 3 to Cl 2 for inductively coupled plasma etching of high Al content AlGaN were contrasted with AlN in this study for etch rate, selectivity, and surface morphology. Etch rates were greatly affected by both bias power and gas chemistry. Here we detail themore » effects of small variations in Al composition for AlGaN and show substantial changes in etch rate with regards to bias power as compared to AlN.« less

  11. Annealing and thickness effects on magnetic properties of Co2FeAl alloy films

    NASA Astrophysics Data System (ADS)

    Wang, Ke; Xu, Zhan; Ling, Fujin; Wang, Yahong; Dong, Shuo

    2018-03-01

    Co2FeAl (CFA) films in a wide thickness range between 2 and 100 nm are sputtered at room temperature. Perpendicular magnetic anisotropy (PMA) is achieved in the annealed structure of Pd/CFA/MgO with CFA thickness ranging between 2.3 and 4.9 nm. PMA as high as 2 × 106 erg/cm3 is demonstrated in the structures annealed in the temperature range between 300 and 350 °C. Positive contributions to the PMA made by the interfaces of Pd/CFA and CFA/MgO are identified. For the as-deposited structure of MgO/CFA/Ta with thick CFA alloy up to 5 nm or above a high effective saturation magnetization of 983.9 ± 30.1 emu/cc is derived from the fitting and an in-plane uniaxial magnetic anisotropy of 104 erg/cm3 in magnitude is revealed by angular dependent magnetic measurements. In addition to the increase in saturation magnetization, a fourfold cubic magnetic anisotropy is found to develop with annealing, in line with the improvement of the crystalline structure confirmed by X-ray diffraction measurements. Out results provide some useful information for the design of the CFA-based magnetoelectronic devices.

  12. Large ferroelectric polarization of TiN/Hf0.5Zr0.5O2/TiN capacitors due to stress-induced crystallization at low thermal budget

    NASA Astrophysics Data System (ADS)

    Kim, Si Joon; Narayan, Dushyant; Lee, Jae-Gil; Mohan, Jaidah; Lee, Joy S.; Lee, Jaebeom; Kim, Harrison S.; Byun, Young-Chul; Lucero, Antonio T.; Young, Chadwin D.; Summerfelt, Scott R.; San, Tamer; Colombo, Luigi; Kim, Jiyoung

    2017-12-01

    We report on atomic layer deposited Hf0.5Zr0.5O2 (HZO)-based capacitors which exhibit excellent ferroelectric (FE) characteristics featuring a large switching polarization (45 μC/cm2) and a low FE saturation voltage (˜1.5 V) as extracted from pulse write/read measurements. The large FE polarization in HZO is achieved by the formation of a non-centrosymmetric orthorhombic phase, which is enabled by the TiN top electrode (TE) having a thickness of at least 90 nm. The TiN films are deposited at room temperature and annealed at 400 °C in an inert environment for at least 1 min in a rapid thermal annealing system. The room-temperature deposited TiN TE acts as a tensile stressor on the HZO film during the annealing process. The stress-inducing TiN TE is shown to inhibit the formation of the monoclinic phase during HZO crystallization, forming an orthorhombic phase that generates a large FE polarization, even at low process temperatures.

  13. Interface properties of SiOxNy layer on Si prepared by atmospheric-pressure plasma oxidation-nitridation

    PubMed Central

    2013-01-01

    SiOxNy films with a low nitrogen concentration (< 4%) have been prepared on Si substrates at 400°C by atmospheric-pressure plasma oxidation-nitridation process using O2 and N2 as gaseous precursors diluted in He. Interface properties of SiOxNy films have been investigated by analyzing high-frequency and quasistatic capacitance-voltage characteristics of metal-oxide-semiconductor capacitors. It is found that addition of N into the oxide increases both interface state density (Dit) and positive fixed charge density (Qf). After forming gas anneal, Dit decreases largely with decreasing N2/O2 flow ratio from 1 to 0.01 while the change of Qf is insignificant. These results suggest that low N2/O2 flow ratio is a key parameter to achieve a low Dit and relatively high Qf, which is effective for field effect passivation of n-type Si surfaces. PMID:23634872

  14. Mg-hydrogen interaction in AlGaN alloys

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Sunay, Ustun R.; Dashdorj, J.; Willoughby, W. R.; Allerman, A. A.

    2012-03-01

    It is well known that hydrogen passivation of Mg in Mg-doped GaN reduces free hole concentrations. While there are numerous studies of passivation of Mg in GaN, little work has been reported concerning passivation rates in AlGaN alloys. We investigated the hydrogen interaction with Mg in nitrides by measuring the intensity of the electron paramagnetic resonance (EPR) signal associated with the acceptor. The samples were isothermally annealed in sequential steps ranging from 5 min - 6.6 h between 300 and 700 oC in H2:N2 (7%: 92%) or pure N2. The signal intensity decreased during the H2N2 anneal and was revived by the N2 anneal as expected; however, the rate at which the intensity changed was shown to depend on Al concentration. In addition, while all signals were quenched at 700 oC in H2:N2, a 750 oC N2 anneal reactivated only about 30% of the Mg in the alloys and 80% of the intensity in the GaN film. These data suggest that the rate of passivation and activation of Mg by hydrogen is dependent on the concentration of Al in the AlxGa-1xN layer. The EPR annealing data could prove to be beneficial in improving p-type optimization in AlGaN alloys.

  15. Annealing shallow traps in electron beam irradiated high mobility metal-oxide-silicon transistors

    NASA Astrophysics Data System (ADS)

    Kim, Jin-Sung; Tyryshkin, Alexei; Lyon, Stephen

    In metal-oxide-silicon (MOS) quantum devices, electron beam lithography (EBL) is known to create defects at the Si/SiO2 interface which can be catastrophic for single electron control. Shallow traps ( meV), which only manifest themselves at low temperature ( 4 K), are especially detrimental to quantum devices but little is known about annealing them. In this work, we use electron spin resonance (ESR) to measure the density of shallow traps in two sets of high mobility (μ) MOS transistors. One set (μ=14,000 cm2/Vs) was irradiated with an EBL dose (10 kV, 40 μC/cm2) and was subsequently annealed in forming gas while the other remained unexposed (μ=23,000 cm2/Vs). Our ESR data show that the forming gas anneal is sufficient to remove shallow traps generated by the EBL dose over the measured shallow trap energy range (0.3-4 meV). We additionally fit these devices' conductivity data to a percolation transition model and extract a zero temperature percolation threshold density, n0 ( 9 ×1010 cm-2 for both devices). We find that the extracted n0 agrees within 15 % with our lowest temperature (360 mK) ESR measurements, demonstrating agreement between two independent methods of evaluating the interface.

  16. Phenomenological analysis of densification mechanism during spark plasma sintering of MgAl2O4

    NASA Astrophysics Data System (ADS)

    Bernard-Granger, Guillaume; Benameur, Nassira; Addad, Ahmed; Nygren, Mats; Guizard, Christian; Deville, Sylvain

    2009-05-01

    Spark plasma sintering (SPS) of MgAl2O4 powder was investigated at temperatures between 1200 and 1300{\\deg}C. A significant grain growth was observed during densification. The densification rate always exhibits at least one strong minimum, and resumes after an incubation period. Transmission electron microscopy investigations performed on sintered samples never revealed extensive dislocation activity in the elemental grains. The densification mechanism involved during SPS was determined by anisothermal (investigation of the heating stage of a SPS run) and isothermal methods (investigation at given soak temperatures). Grain-boundary sliding, accommodated by an in-series {interface-reaction/lattice diffusion of the O$^2$-anions} mechanism controlled by the interface-reaction step, governs densification. The zero-densification-rate period, detected for all soak temperatures, arise from the difficulty of annealing vacancies, necessary for the densification to proceed. The detection of atomic ledges at grain boundaries and the modification of the stoichiometry of spinel during SPS could be related to the difficulty to anneal vacancies at temperature soaks.

  17. Impact of time-dependent annealing on TiO2 films for CMOS application

    NASA Astrophysics Data System (ADS)

    Gyanan, Mondal, Sandip; Kumar, Arvind

    2017-05-01

    Post-deposition annealing (PDA) is the inherent part of sol-gel fabrication process to achieve the optimum device performance, especially in CMOS applications. The annealing removes the oxygen vacancies and improves the structural order of dielectric films. The process also reduces the interface related defects and improves the interfacial properties. In this work, we have integrated the sol-gel spin-coating deposited high-κ TiO2 films in MOS. The films are fired at 400°C for the duration of 20, 40, 60 and 80 min. The thicknesses of the films were found to be of ˜ 30 nm using ellipsometry. The (Al/TiO2/p-Si) devices were examined with current-voltage (I-V) and capacitance-voltage (C-V) at room temperature to understand the influence of firing time. The C-V and I-V characteristic showed a significant dependence on annealing time such as variation in dielectric constant and leakage current. The accumulation capacitance (Cox), dielectric constant (κ) and the equivalent oxide thickness (EOT) of the film fired for 60 min were found to be 458 pF, 33, and 4.25nm, respectively with a low leakage current density (1.09 × 10-6 A/cm2) fired for 80 min at +1 V.

  18. Optical properties of dip coated titanium-di-oxide (TiO2) thin films annealed at different temperatures

    NASA Astrophysics Data System (ADS)

    Biswas, Sayari; Kar, Asit Kumar

    2018-02-01

    Titanium dioxide (TiO2) thin films were synthesized by hydrothermal assisted sol-gel dip coating method on quartz substrate. The sol was prepared by hydrothermal method at 90 °C. Dip coating method was used to deposit the thin films. Later films were annealed at four different temperatures -600 °C, 800 °C, 1000 °C and 1200 °C. XRD study showed samples annealed at 600 °C are almost amorphous. At 800 °C, film turns into anatase phase and with further increment of annealing temperature they turn into rutile phase. Transmission spectra of thin films show sharp rise in the violet-ultraviolet transition region and a maximum transmittance of ˜60% was observed in the visible region for the sample annealed at the lowest temperature. Band gap of the prepared films varies from 2.9 eV to 3.5 eV.

  19. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    NASA Astrophysics Data System (ADS)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  20. Post-growth annealing induced change of conductivity in As-doped ZnO grown by radio frequency magnetron sputtering

    NASA Astrophysics Data System (ADS)

    To, C. K.; Yang, B.; Su, S. C.; Ling, C. C.; Beling, C. D.; Fung, S.

    2011-12-01

    Arsenic-doped ZnO films were fabricated by radio frequency magnetron sputtering method at a relatively low substrate temperature of 200 °C. Post-growth annealing in air was carried out up to a temperature of 1000 °C. The samples were characterized by Hall measurement, positron annihilation spectroscopy (PAS), secondary ion mass spectroscopy (SIMS), and cathodoluminescence (CL). The as-grown sample was of n-type and it converted to p-type material after the 400 °C annealing. The resulting hole concentration was found to increase with annealing temperature and reached a maximum of 6 × 1017 cm-3 at the annealing temperature of 600 °C. The origin of the p-type conductivity was consistent with the AsZn(VZn)2 shallow acceptor model. Further increasing the annealing temperature would decrease the hole concentration of the samples finally converted the sample back to n-type. With evidence, it was suggested that the removal of the p-type conductivity was due to the dissociation of the AsZn(VZn)2 acceptor and the creation of the deep level defect giving rise to the green luminescence.

  1. Effect of forming gas annealing on the degradation properties of Ge-based MOS stacks

    NASA Astrophysics Data System (ADS)

    Aguirre, F.; Pazos, S.; Palumbo, F. R. M.; Fadida, S.; Winter, R.; Eizenberg, M.

    2018-04-01

    The influence of forming gas annealing on the degradation at a constant stress voltage of multi-layered germanium-based Metal-Oxide-Semiconductor capacitors (p-Ge/GeOx/Al2O3/High-K/Metal Gate) has been analyzed in terms of the C-V hysteresis and flat band voltage as a function of both negative and positive stress fields. Significant differences were found for the case of negative voltage stress between the annealed and non-annealed samples, independently of the stressing time. It was found that the hole trapping effect decreases in the case of the forming gas annealed samples, indicating strong passivation of defects with energies close to the valence band existing in the oxide-semiconductor interface during the forming gas annealing. Finally, a comparison between the degradation dynamics of Germanium and III-V (n-InGaAs) MOS stacks is presented to summarize the main challenges in the integration of reliable Ge-III-V hybrid devices.

  2. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  3. Au-free ohmic Ti/Al/TiN contacts to UID n-GaN fabricated by sputter deposition

    NASA Astrophysics Data System (ADS)

    Garbe, V.; Weise, J.; Motylenko, M.; Münchgesang, W.; Schmid, A.; Rafaja, D.; Abendroth, B.; Meyer, D. C.

    2017-02-01

    The fabrication and characterization of an Au-free Ti/Al/TiN (20/100/100 nm) contact stack to unintentionally doped n-GaN with TiN serving as the diffusion barrier is presented. Sputter deposition and lift-off in combination with post deposition annealing at 850 °C are used for contact formation. After annealing, contact shows ohmic behavior to n-GaN and a specific contact resistivity of 1.60 × 10-3 Ω cm2. To understand the contact formation on the microscopic scale, the contact was characterized by current-voltage measurements, linear transmission line method, X-ray diffraction, transmission electron microscopy, and X-ray photoelectron spectroscopy. The results show the formation of Ti-N bonds at the GaN/Ti interface in the as-deposited stack. Annealing leads to diffusion of Ti, Al, Ga, and N, and the remaining metallic Ti is fully consumed by the formation of the intermetallic tetragonal Al3Ti phase. Native oxide from the GaN surface is trapped during annealing and accumulated in the Al interlayer. The TiN capping layer, however, was chemically stable during annealing. It prevented oxidation of the Ti/Al contact bilayer successfully and thus proved to be a well suitable diffusion barrier with ideal compatibility to the Ti/Al contact metallization.

  4. Critical Behavior of the Annealed Ising Model on Random Regular Graphs

    NASA Astrophysics Data System (ADS)

    Can, Van Hao

    2017-11-01

    In Giardinà et al. (ALEA Lat Am J Probab Math Stat 13(1):121-161, 2016), the authors have defined an annealed Ising model on random graphs and proved limit theorems for the magnetization of this model on some random graphs including random 2-regular graphs. Then in Can (Annealed limit theorems for the Ising model on random regular graphs, arXiv:1701.08639, 2017), we generalized their results to the class of all random regular graphs. In this paper, we study the critical behavior of this model. In particular, we determine the critical exponents and prove a non standard limit theorem stating that the magnetization scaled by n^{3/4} converges to a specific random variable, with n the number of vertices of random regular graphs.

  5. Phase Formation and Superconductivity of Fe-TUBE Encapsulated and Vacuum-Annealed MgB2

    NASA Astrophysics Data System (ADS)

    Singh, K. P.; Awana, V. P. S.; Shahabuddin, Md.; Husain, M.; Saxena, R. B.; Nigam, Rashmi; Ansari, M. A.; Gupta, Anurag; Narayan, Himanshu; Halder, S. K.; Kishan, H.

    We report optimization of the synthesis parameters viz. heating temperature (TH), and hold time (thold) for vacuum-annealed (10-5 Torr) and LN2 (liquid nitrogen) quenched MgB2 compound. These are single-phase compounds crystallizing in the hexagonal structure (space group P6/mmm) at room temperature. Our XRD results indicated that for phase-pure MgB2, the TH for 10-5 Torr annealed and LN2-quenched samples is 750°C. The right stoichiometry i.e., MgB2 of the compound corresponding to 10-5 Torr and TH of 750°C is found for the hold time (thold) of 2.30 hours. With varying thold from 1-4 hours at fixed TH (750°C) and vacuum (10-5 Torr), the c-lattice parameter decreases first and later increases with thold (hours) before a near saturation, while the a-lattice parameter first increases and later decreases beyond a thold of 2.30 hours. The c/a ratio versus thold plot showed an inverted bell-shaped curve, touching the lowest value of 1.141, which is the reported value for perfect stoichiometry of MgB2. The optimized stoichimetric MgB2 compound exhibited superconductivity at 39.2 K with a transition width of 0.6 K. In conclusion, the synthesis parameters for phase pure stoichimetric vacuum-annealed MgB2 compound are optimized and are compared with widely-reported Ta tube encapsulated samples.

  6. Photocatalytic characteristic and photodegradation kinetics of toluene using N-doped TiO2 modified by radio frequency plasma.

    PubMed

    Shie, Je-Lueng; Lee, Chiu-Hsuan; Chiou, Chyow-San; Chen, Yi-Hung; Chang, Ching-Yuan

    2014-01-01

    This study investigates the feasibility of applications of the plasma surface modification of photocatalysts and the removal of toluene from indoor environments. N-doped TiO2 is prepared by precipitation methods and calcined using a muffle furnace (MF) and modified by radio frequency plasma (RF) at different temperatures with light sources from a visible light lamp (VLL), a white light-emitting diode (WLED) and an ultraviolet light-emitting diode (UVLED). The operation parameters and influential factors are addressed and prepared for characteristic analysis and photo-decomposition examination. Furthermore, related kinetic models are established and used to simulate the experimental data. The characteristic analysis results show that the RF plasma-calcination method enhanced the Brunauer Emmett Teller surface area of the modified photocatalysts effectively. For the elemental analysis, the mass percentages of N for the RF-modified photocatalyst are larger than those of MF by six times. The aerodynamic diameters of the RF-modifiedphotocatalyst are all smaller than those of MF. Photocatalytic decompositions of toluene are elucidated according to the Langmuir-Hinshelwood model. Decomposition efficiencies (eta) of toluene for RF-calcined methods are all higher than those of commercial TiO2 (P25). Reaction kinetics ofphoto-decomposition reactions using RF-calcined methods with WLED are proposed. A comparison of the simulation results with experimental data is also made and indicates good agreement. All the results provide useful information and design specifications. Thus, this study shows the feasibility and potential use of plasma modification via LED in photocatalysis.

  7. First principles study of the effect of hydrogen annealing on SiC MOSFETs

    NASA Astrophysics Data System (ADS)

    Chokawa, Kenta; Shiraishi, Kenji

    2018-04-01

    The high interfacial defect density at SiC/SiO2 interfaces formed by thermal oxidation is a crucial problem. Although post-oxidation annealing with H2 can reduce the defect density, some defects still remain at the interface. We investigate the termination of vacancy defects by H atoms at the 4H-SiC(0001)/SiO2 interface and discuss the stability of these H termination structures. Si vacancy defects can be terminated with H atoms to reduce the defect density, and the termination structure is stable even at high temperatures. On the other hand, it is difficult to terminate C vacancy defects with H atoms because the H atoms desorb from the dangling bonds and form H2 molecules below room temperature. However, we confirm that N atoms are effective for reducing the C vacancy defect states. Therefore, a defect-less interface can be achieved by post-oxidation annealing with H2 and N2.

  8. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  9. Photo-response behavior of organic transistors based on thermally annealed semiconducting diketopyrrolopyrrole core

    NASA Astrophysics Data System (ADS)

    Tarsoly, Gergely; Pyo, Seungmoon

    2018-06-01

    We report the opto-electrical response of organic field-effect transistors based on a thin-film of a semiconducting diketopyrrolopyrrole (DPP) core, a popular building block for molecular semiconductors, and a polymeric gate dielectric. The thin-film of the DPP core was thermally annealed at different temperatures under N2 atmosphere to investigate the relationship between the annealing temperature and the electrical properties of the device. The results showed that the annealing process induces morphological changes in the thin film, and properly controlling the thermal annealing conditions can enhance the device performance. In addition, we also investigated in detail the photo-response behaviors by analyzing the responsivity (R) of the device with the optimally annealed DPP-core thin film under two light illumination conditions by considering the irradiance absorbed by the thin film instead of the total irradiance of the light source. We found that the proposed model could lead to a light-source-independent description of the photo-response behavior of the device, and which can be used for other applications.

  10. Interaction of Fast Ions with Global Plasma Modes in the C-2 Field Reversed Configuration Experiment

    NASA Astrophysics Data System (ADS)

    Smirnov, Artem; Dettrick, Sean; Clary, Ryan; Korepanov, Sergey; Thompson, Matthew; Trask, Erik; Tuszewski, Michel

    2012-10-01

    A high-confinement operating regime [1] with plasma lifetimes significantly exceeding past empirical scaling laws was recently obtained by combining plasma gun edge biasing and tangential Neutral Beam Injection (NBI) in the C-2 field-reversed configuration (FRC) experiment [2, 3]. We present experimental and computational results on the interaction of fast ions with the n=2 rotational and n=1 wobble modes in the C-2 FRC. It is found that the n=2 mode is similar to quadrupole magnetic fields in its detrimental effect on the fast ion transport due to symmetry breaking. The plasma gun generates an inward radial electric field, thus stabilizing the n=2 rotational instability without applying the quadrupole magnetic fields. The resultant FRCs are nearly axisymmetric, which enables fast ion confinement. The NBI further suppresses the n=2 mode, improves the plasma confinement characteristics, and increases the plasma configuration lifetime [4]. The n=1 wobble mode has relatively little effect on the fast ion transport, likely due to the approximate axisymmetry about the displaced plasma column. [4pt] [1] M. Tuszewski et al., Phys. Rev. Lett. 108, 255008 (2012).[0pt] [2] M. Binderbauer et al., Phys. Rev. Lett. 105, 045003 (2010).[0pt] [3] H.Y. Guo et al., Phys. Plasmas 18, 056110 (2011).[0pt] [4] M. Tuszewski et al., Phys. Plasmas 19, 056108 (2012)

  11. Purification of silicon powder by the formation of thin porous layer followed byphoto-thermal annealing.

    PubMed

    Khalifa, Marouan; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-08

    Porous silicon has been prepared using a vapor-etching based technique on a commercial silicon powder. Strong visible emission was observed in all samples. Obtained silicon powder with a thin porous layer at the surface was subjected to a photo-thermal annealing at different temperatures under oxygen atmosphere followed by a chemical treatment. Inductively coupled plasma atomic emission spectrometry results indicate that silicon purity is improved from 99.1% to 99.994% after annealing at 900°C.

  12. Hydrogen passivation of poly-Si/SiOx contacts for Si solar cells using Al2O3 studied with deuterium

    NASA Astrophysics Data System (ADS)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; Macco, Bart; Stradins, Paul; Kessels, W. M. M.; Young, David L.

    2018-05-01

    The interplay between hydrogenation and passivation of poly-Si/SiOx contacts to n-type Si wafers is studied using atomic layer deposited Al2O3 and anneals in forming gas and nitrogen. The poly-Si/SiOx stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiOx contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al2O3 is derived from its role as a hydrogen source for chemically passivating defects at SiOx; Al2O3 layers are found to hydrogenate poly-Si/SiOx much better than a forming gas anneal. By labelling Al2O3 and the subsequent anneal with different hydrogen isotopes, it is found that Al2O3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.

  13. VS{sub 2}/rGO hybrid nanosheets prepared by annealing of VS{sub 4}/rGO

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohan, Pandurangan; Yang, Jieun; Jena, Anirudha

    Layered transition metal dichalcogenides and their hybrids with reduced grpahene oxide (rGO) have attracted much interest due to many potential applications for electrode materials in Li ion batteries and supercapacitors and electro-catalysts for hydrogen evolution reaction. Among them, synthesis of VS{sub 2} sheets and VS{sub 4}/rGO hybrid via a hydrothermal reaction was recently reported, whereas VS{sub 2}/rGO hybrid sheets have not been reported. In this study, we report VS{sub 2}/rGO hybrid sheets after annealing VS{sub 4}/rGO hybrid materials at 350 °C. The conversion of VS{sub 4} to VS{sub 2} on rGO sheets after annealing is attributed to a thermal cleavagemore » of VS{sub 4}. - Highlights: • VS2/rGO hybrid sheets were obtained by annealing of VS4/rGO at 350 °C. • The phase transition from monoclinic to hexagonal is occurred. • The HER performance of VS2/rGO is investigated.« less

  14. The annealing investigation on morphology and photoluminescence properties of In2O3 1-D nanostructures in resistive evaporation mechanism

    NASA Astrophysics Data System (ADS)

    Shariati, Mohsen; Ghafouri, Vahid

    2014-02-01

    Synthesis of In2O3 nanostructures grown on Si substrate by the resistive evaporation of metallic indium granules followed by dry oxidation process has been articulated. To prepare nucleation growth sites, selected samples pre-annealed around indium melting point in free-oxygen atmosphere and then to fabricate 1-D nanostructures, they annealed in a horizontal thermal furnace in presence of argon and oxygen. For comparison, one sample, the same origin as initially pre-annealed samples, was excluded in pre-annealing process but presented in annealing step. Characterization of the products with FESEM revealed that the pre-annealed obtained nanostructures are mostly nanorod and nanowire with different morphologies. For the comparative sample, no 1-D structures achieved. X-ray diffraction (XRD) patterns for pre-annealed samples indicated that they are crystalline and the comparative one is polycrystalline. Photoluminescence (PL) measurements carried out at room temperature revealed that emission band shifted to shorter wavelength from pre-annealed samples to comparative one.

  15. Influence of post-deposition annealing on structural, morphological and optical properties of copper (II) acetylacetonate thin films.

    PubMed

    Abdel-Khalek, H; El-Samahi, M I; El-Mahalawy, Ahmed M

    2018-05-21

    In this study, the effect of thermal annealing under vacuum conditions on structural, morphological and optical properties of thermally evaporated copper (II) acetylacetonate, cu(acac) 2 , thin films were investigated. The copper (II) acetylacetonate thin films were deposited using thermal evaporation technique at vacuum pressure ~1 × 10 -5  mbar. The deposited films were thermally annealed at 323, 373, 423, and 473 K for 2 h in vacuum. The thermogravimetric analysis of cu(acac) 2 powder indicated a thermal stability of cu(acac) 2 up to 423 K. The effects of thermal annealing on the structural properties of cu(acac) 2 were evaluated employing X-ray diffraction method and the analysis showed a polycrystalline nature of the as-deposited and annealed films with a preferred orientation in [1¯01] direction. Fourier transformation infrared (FTIR) technique was used to negate the decomposition of copper (II) acetylacetonate during preparation or/and annealing up to 423 K. The surface morphology of the prepared films was characterized by means of field emission scanning electron microscopy (FESEM). A significant enhancement of the morphological properties of cu(acac) 2 thin films was obtained till the annealing temperature reaches 423 K. The variation of optical constants that estimated from spectrophotometric measurements of the prepared thin films was investigated as a function of annealing temperature. The annealing process presented significantly impacted the nonlinear optical properties such as third-order optical susceptibility χ (3) and nonlinear refractive index n 2 of cu(acac) 2 thin films. Copyright © 2018 Elsevier B.V. All rights reserved.

  16. Impact of vacuum anneal at low temperature on Al2O3/In-based III-V interfaces

    NASA Astrophysics Data System (ADS)

    Martinez, E.; Grampeix, H.; Desplats, O.; Herrera-Gomez, A.; Ceballos-Sanchez, O.; Guerrero, J.; Yckache, K.; Martin, F.

    2012-06-01

    We report on the effect of vacuum anneal on interfacial oxides formed between Al2O3 and III-V semiconductors. On InGaAs, no interfacial oxide is detected after annealing at 600 °C under UHV whereas annealing under secondary vacuum favours the regrowth of thin InGaOx interfacial oxide. Lowering the temperature at 400 °C highlights the effect of III-V substrates since In-OH bonds are only formed on InAs by OH release from TMA/H2O deposited alumina. On InGaAs, regrowth of InGaOx is observed, as a result of preferential oxidation of Ga. On InP, a transition from InPOx to POx is highlighted.

  17. Reduced annealing temperatures in silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.

    1981-01-01

    Cells irradiated to a fluence of 5x10,000,000,000,000/square cm showed short circuit current on annealing at 200 C, with complete annealing occurring at 275 C. Cells irradiated to 100,000,000,000,000/square cm showed a reduction in annealing temperature from the usual 500 to 300 C. Annealing kinetic studies yield an activation energy of (1.5 + or - 2) eV for the low fluence, low temperature anneal. Comparison with activation energies previously obtained indicate that the presently obtained activation energy is consistent with the presence of either the divacancy or the carbon interstitial carbon substitutional pair, a result which agrees with the conclusion based on defect behavior in boron-doped silicon.

  18. High heat flux properties of pure tungsten and plasma sprayed tungsten coatings

    NASA Astrophysics Data System (ADS)

    Liu, X.; Tamura, S.; Tokunaga, K.; Yoshida, N.; Noda, N.; Yang, L.; Xu, Z.

    2004-08-01

    High heat flux properties of pure tungsten and plasma sprayed tungsten coatings on carbon substrates have been studied by annealing and cyclic heat loading. The recrystallization temperature and an activation energy QR=126 kJ/mol for grain growth of tungsten coating by vacuum plasma spray (VPS) were estimated, and the microstructural changes of multi-layer tungsten and rhenium interface pre-deposited by physical vapor deposition (PVD) with anneal temperature were investigated. Cyclic load tests indicated that pure tungsten and VPS-tungsten coating could withstand 1000 cycles at 33-35 MW/m 2 heat flux and 3 s pulse duration, and inert gas plasma spray (IPS)-tungsten coating showed local cracks by 300 cycles but did not induce failure by further cycles. However, the failure of pure tungsten and VPS-tungsten coating by fatigue cracking was observed under higher heat load (55-60 MW/m 2) for 420 and 230 cycles, respectively.

  19. Anneal-Hardening Behavior of Cr-Fe-C Alloy Deposits Prepared in a Cr3+-Based Bath with Fe2+ Ions

    PubMed Central

    Huang, Ching An; Chen, Jhih You; Wang, Hai

    2017-01-01

    Cr-Fe-C alloy deposits were successfully prepared on high-carbon tool steel in a Cr3+-based electroplating bath containing Fe2+ ions and suitable complex agents. A Cr-based alloy deposit was obtained with an electroplating current density higher than 25 Adm−2, and a Fe-based alloy deposit was obtained using a current density of 20 Adm−2. Following electroplating, these alloy deposited specimens were annealed via rapid thermal annealing (RTA) at 500 °C for different periods up to 30 s. The experimental results show that Cr- and Fe-based alloy deposits could be significantly hardened after RTA at 500 °C for a few seconds. The maximum hardness was that of the Cr-Fe-C alloy deposit annealed at 500 °C for 10 s. The maximum hardness of 1205 Hv was detected from the annealed Cr-based alloy deposit prepared with 30 ASD. The hardening mechanism of annealed Cr- and Fe-based alloy deposits is attributed to the precipitation of C-related membranes. The hardness values of the annealed Cr- and Fe-based alloy deposits increase with the increasing degree of crystallization of the C-related membranes. PMID:29206206

  20. Evolution of the interfacial perpendicular magnetic anisotropy constant of the Co2FeAl/MgO interface upon annealing

    NASA Astrophysics Data System (ADS)

    Conca, A.; Niesen, A.; Reiss, G.; Hillebrands, B.

    2018-04-01

    We investigate a series of films with different thickness of the Heusler alloy Co2FeAl in order to study the effect of annealing on the interface with a MgO layer and on the bulk magnetic properties. Our results reveal that while the perpendicular interface anisotropy constant K\\perpS is zero for the as-deposited samples, its value increases with annealing up to a value of 1.14 +/- 0.07 mJ m‑2 for the series annealed at 320 °C and of 2.01 +/- 0.7 mJ m‑2 for the 450 °C annealed series owing to a strong modification of the interface during the thermal treatment. This large value ensures a stabilization of a perpendicular magnetization orientation for an extrapolated thickness below 1.7 nm. The data additionally shows that the in-plane biaxial anisotropy constant has a different evolution with thickness in as-deposited and annealed systems. The Gilbert damping parameter α shows minima for all series for a thickness of 40 nm and an absolute minimum value of 2.8+/-0.1×10-3 . The thickness dependence is explained in terms of an inhomogeneous magnetization state generated by the interplay between the different anisotropies of the system and by the crystalline disorder.

  1. Synthesis of ultrafine Si3N4 powder in RF-RF plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sato, Michitaka; Nishio, Hiroaki

    1991-10-01

    A newly designed plasma-CVD apparatus mounted with the RF-RF type plasma torch was introduced to synthesize ultrafine powders of silicon nitride (Si3N4). The RF-RF plasma system (the combination of a main (lower) and controlling (upper) RF plasma) improved the stability of simple RF plasma and solved the impurity problem of dc-RF hybrid plasma. The reaction of SiCl4 and NH3, which were radially injected into the tail flames of the upper and lower plasmas, respectively, yielded near-stoichiometric amorphous powders of Si3N4. The nitrogen content in the products largely depended on the flow rate of the quenching gas, a mixture of NH3more » (reactant) and H2. The oxygen content and metal impurities are 2-3 wt pct and less than 200 ppm, respectively. The powder particles had an average diameter of about 15 nm with a narrow size distribution, and showed extreme air sensitivity. Conspicuous crystallazation and particle growth occurred when heated at temperatures above 1400 C. These results suggested that the RF-RF system was a potential reactor for the synthesis of ultrafine powders with excellent sinterability at relatively low temperatures. 9 refs.« less

  2. Effect of template post-annealing on Y(Dy)BaCuO nucleation on CeO2 buffered metallic tapes

    NASA Astrophysics Data System (ADS)

    Hu, Xuefeng; Zhong, Yun; Zhong, Huaxiao; Fan, Feng; Sang, Lina; Li, Mengyao; Fang, Qiang; Zheng, Jiahui; Song, Haoyu; Lu, Yuming; Liu, Zhiyong; Bai, Chuanyi; Guo, Yanqun; Cai, Chuanbing

    2017-08-01

    Substrate engineering is very significant in the synthesis of the high-temperature superconductor (HTS) coated conductor. Here we design and synthesize several distinct and stable Cerium oxide (CeO2) surface reconstructions which are used to grow epitaxial films of the HTS YBa2Cu3O7-δ (YBCO). To identify the influence of annealing and post-annealing surroundings on the nature of nucleation centers, including Ar/5%H2, humid Ar/5%H2 and O2 in high temperature annealing process, we study the well-controlled structure, surface morphology, crystal constants and surface redox processes of the ceria buffers by using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and field-emission scanning electronic microscopy (FE-SEM), respectively. The ceria film post-annealed under humid Ar/5%H2 gas shows the best buffer layer properties. Furthermore, the film absorbs more oxygen ions, which appears to contribute to oxygenation of superconductor film. The film is well-suited for ceria model studies as well as a perfect substitute for CeO2 bulk material.

  3. Morphology and structural development of reduced anatase-TiO{sub 2} by pure Ti powder upon annealing and nitridation: Synthesis of TiO{sub x} and TiO{sub x}N{sub y} powders

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bolokang, A.S., E-mail: Sylvester.Bolokang@transnet.net; DST/CSIR National Centre for Nano-Structured Materials, Council for Scientific and Industrial Research, Pretoria 0001; Transnet Engineering, Product Development, Private Bag X 528, Kilnerpark 0127

    2015-02-15

    It is well known that nitriding of titanium is suitable for surface coating of biomaterials and in other applications such as anti-reflective coating, while oxygen-rich titanium oxynitride has been applied in thin film resistors and photocatalysis. Thus in this work anatase was reduced with pure titanium powder during annealing in argon. This was done to avoid any metallic contamination and unwanted residual metal doping. As a result, interesting and different types of particle morphology were synthesized when the pre-milled elemental anatase and titanium powders were mixed. The formation of metastable face centred cubic and monoclinic titanium monoxide was detected bymore » the X-ray diffraction technique. The phases were confirmed by energy dispersive X-ray spectroscopy analysis. Raman analysis revealed weak intensity peaks for samples annealed in argon as compared to those annealed under nitrogen. - Graphical abstract: Display Omitted - Highlights: • Reaction of TiO{sub 2} and Ti induced metastable FCC and monoclinic TiO{sub x}. • Compositions of mixed powder were prepared from the unmilled and pre-milled powders. • Nitridation of TiO{sub x} yielded TiO{sub x}N{sub y} phase. • Mixed morphology was observed on all three powder samples.« less

  4. Effects of post-deposition annealing on sputtered SiO2/4H-SiC metal-oxide-semiconductor

    NASA Astrophysics Data System (ADS)

    Lee, Suhyeong; Kim, Young Seok; Kang, Hong Jeon; Kim, Hyunwoo; Ha, Min-Woo; Kim, Hyeong Joon

    2018-01-01

    Reactive sputtering followed by N2, NH3, O2, and NO post-deposition annealing (PDA) of SiO2 on 4H-SiC was investigated in this study. The results of ellipsometry, an etching test, and X-ray photoemission spectroscopy showed that N2 and NH3 PDA nitrified the SiO2. Devices using N2 and NH3 PDA exhibited a high gate leakage current and low breakdown field due to oxygen vacancies and incomplete oxynitride. SiO2/4H-SiC MOS capacitors were also fabricated and their electrical characteristics measured. The average breakdown fields of the devices using N2, NH3, O2, and NO PDA were 0.12, 0.17, 4.71 and 2.63 MV/cm, respectively. The shifts in the flat-band voltage after O2 and NO PDA were 0.95 and -2.56 V, respectively, compared with the theoretical value. The extracted effective oxide charge was -4.11 × 1011 cm-2 for O2 PDA and 1.11 × 1012 cm-2 for NO PDA. NO PDA for 2 h at 1200 °C shifted the capacitance-voltage curve in the negative direction. The oxygen containing PDA showed better electrical properties than non-oxygen PDA. The sputtering method described can be applied to 4H-SiC MOS fabrication.

  5. Correlation between thermal annealing temperature and Joule-heating based insulator-metal transition in VO2 nanobeams

    NASA Astrophysics Data System (ADS)

    Rathi, Servin; Park, Jin-Hyung; Lee, In-yeal; Jin Kim, Min; Min Baik, Jeong; Kim, Gil-Ho

    2013-11-01

    Rapid thermal annealing of VO2 nanobeams in an ambient argon environment has been carried out at various temperatures after device fabrication. Our analysis revealed that increasing the annealing temperature from 200 °C to 400 °C results in the reduction of both ohmic and nanobeam resistances with an appreciable decrease in joule-heating based transition voltage and transition temperature, while samples annealed at 500 °C exhibited a conducting rutile-phase like characteristics at room temperature. In addition, these variation trends were explored using a physical model and the results were found to be in agreement with the observed results, thus verifying the model.

  6. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition.

    PubMed

    Mon-Pérez, E; Salazar, J; Ramos, E; Salazar, J Santoyo; Suárez, A López; Dutt, A; Santana, G; Monroy, B Marel

    2016-11-11

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH 2 Cl 2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH 3 /SiH 2 Cl 2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  7. Si-compatible cleaning process for graphene using low-density inductively coupled plasma.

    PubMed

    Lim, Yeong-Dae; Lee, Dae-Yeong; Shen, Tian-Zi; Ra, Chang-Ho; Choi, Jae-Young; Yoo, Won Jong

    2012-05-22

    We report a novel cleaning technique for few-layer graphene (FLG) by using inductively coupled plasma (ICP) of Ar with an extremely low plasma density of 3.5 × 10(8) cm(-3). It is known that conventional capacitively coupled plasma (CCP) treatments destroy the planar symmetry of FLG, giving rise to the generation of defects. However, ICP treatment with extremely low plasma density is able to remove polymer resist residues from FLG within 3 min at a room temperature of 300 K while retaining the carbon sp(2)-bonding of FLG. It is found that the carrier mobility and charge neutrality point of FLG are restored to their pristine defect-free state after the ICP treatment. Considering the application of graphene to silicon-based electronic devices, such a cleaning method can replace thermal vacuum annealing, electrical current annealing, and wet-chemical treatment due to its advantages of being a low-temperature, large-area, high-throughput, and Si-compatible process.

  8. Annealing shallow Si/SiO2 interface traps in electron-beam irradiated high-mobility metal-oxide-silicon transistors

    NASA Astrophysics Data System (ADS)

    Kim, J.-S.; Tyryshkin, A. M.; Lyon, S. A.

    2017-03-01

    Electron-beam (e-beam) lithography is commonly used in fabricating metal-oxide-silicon (MOS) quantum devices but creates defects at the Si/SiO2 interface. Here, we show that a forming gas anneal is effective at removing shallow defects (≤4 meV below the conduction band edge) created by an e-beam exposure by measuring the density of shallow electron traps in two sets of high-mobility MOS field-effect transistors. One set was irradiated with an electron-beam (10 keV, 40 μC/cm2) and was subsequently annealed in forming gas while the other set remained unexposed. Low temperature (335 mK) transport measurements indicate that the forming gas anneal recovers the e-beam exposed sample's peak mobility (14 000 cm2/Vs) to within a factor of two of the unexposed sample's mobility (23 000 cm2/Vs). Using electron spin resonance (ESR) to measure the density of shallow traps, we find that the two sets of devices are nearly identical, indicating the forming gas anneal is sufficient to anneal out shallow defects generated by the e-beam exposure. Fitting the two sets of devices' transport data to a percolation transition model, we extract a T = 0 percolation threshold density in quantitative agreement with our lowest temperature ESR-measured trap densities.

  9. Chalcogen (O2, S, Se, Te) atmosphere annealing induced bulk superconductivity in Fe1+yTe1-xSex single crystal

    NASA Astrophysics Data System (ADS)

    Sun, Y.; Tsuchiya, Y.; Yamada, T.; Taen, T.; Pyon, S.; Shi, Z. X.; Tamegai, T.

    2014-09-01

    We reported a detailed study of Fe1+yTe0.6Se0.4 single crystals annealed in the atmosphere of chalcogens (O2, S, Se, Te). After annealing with appropriate amount of chalcogens, Fe1+yTe0.6Se0.4 single crystals show Tc higher than 14 K with a sharp transition width ∼1 K. Critical current density Jc for the annealed crystals reach a very high value ∼2-4 × 105 A/cm2 under zero field, and is also robust under applied field at low temperatures. Magneto-optical imaging reveal that the Jc is homogeneously distributed in the annealed crystals and isotropic in the ab-plane. Our results show that annealing in the atmosphere of chalcogens can successfully induce bulk superconductivity in Fe1+yTe0.6Se0.4.

  10. Equilibrium drives of the low and high field side n = 2 plasma response and impact on global confinement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paz-Soldan, C.; Logan, N. C.; Haskey, S. R.

    The nature of the multi-modal n=2 plasma response and its impact on global confinement is studied as a function of the axisymmetric equilibrium pressure, edge safety factor, collisionality, and L-versus H-mode conditions. Varying the relative phase (ΔΦ UL) between upper and lower in-vessel coils demonstrates that different n=2 poloidal spectra preferentially excite different plasma responses. These different plasma response modes are preferentially detected on the tokamak high-field side (HFS) or low-field side (LFS) midplanes, have different radial extents, couple differently to the resonant surfaces, and have variable impacts on edge stability and global confinement. In all equilibrium conditions studied, themore » observed confinement degradation shares the same ΔΦ UL dependence as the coupling to the resonant surfaces given by both ideal (IPEC) and resistive (MARS-F) MHD computation. Varying the edge safety factor shifts the equilibrium field-line pitch and thus the ΔΦ UL dependence of both the global confinement and the n=2 magnetic response. As edge safety factor is varied, modeling finds that the HFS response (but not the LFS response), the resonant surface coupling, and the edge displacements near the X-point all share the same ΔΦ UL dependence. The LFS response magnitude is strongly sensitive to the core pressure and is insensitive to the collisionality and edge safety factor. This indicates that the LFS measurements are primarily sensitive to a pressure-driven kink-ballooning mode that couples to the core plasma. MHD modeling accurately reproduces these (and indeed all) LFS experimental trends and supports this interpretation. In contrast to the LFS, the HFS magnetic response and correlated global confinement impact is unchanged with plasma pressure, but is strongly reduced in high collisionality conditions in both H- and L-mode. This experimentally suggests the bootstrap current drives the HFS response through the kink-peeling mode drive

  11. Equilibrium drives of the low and high field side n = 2 plasma response and impact on global confinement

    DOE PAGES

    Paz-Soldan, C.; Logan, N. C.; Haskey, S. R.; ...

    2016-03-31

    The nature of the multi-modal n=2 plasma response and its impact on global confinement is studied as a function of the axisymmetric equilibrium pressure, edge safety factor, collisionality, and L-versus H-mode conditions. Varying the relative phase (ΔΦ UL) between upper and lower in-vessel coils demonstrates that different n=2 poloidal spectra preferentially excite different plasma responses. These different plasma response modes are preferentially detected on the tokamak high-field side (HFS) or low-field side (LFS) midplanes, have different radial extents, couple differently to the resonant surfaces, and have variable impacts on edge stability and global confinement. In all equilibrium conditions studied, themore » observed confinement degradation shares the same ΔΦ UL dependence as the coupling to the resonant surfaces given by both ideal (IPEC) and resistive (MARS-F) MHD computation. Varying the edge safety factor shifts the equilibrium field-line pitch and thus the ΔΦ UL dependence of both the global confinement and the n=2 magnetic response. As edge safety factor is varied, modeling finds that the HFS response (but not the LFS response), the resonant surface coupling, and the edge displacements near the X-point all share the same ΔΦ UL dependence. The LFS response magnitude is strongly sensitive to the core pressure and is insensitive to the collisionality and edge safety factor. This indicates that the LFS measurements are primarily sensitive to a pressure-driven kink-ballooning mode that couples to the core plasma. MHD modeling accurately reproduces these (and indeed all) LFS experimental trends and supports this interpretation. In contrast to the LFS, the HFS magnetic response and correlated global confinement impact is unchanged with plasma pressure, but is strongly reduced in high collisionality conditions in both H- and L-mode. This experimentally suggests the bootstrap current drives the HFS response through the kink-peeling mode drive

  12. The diagnostic value of plasma N-terminal connective tissue growth factor levels in children with heart failure.

    PubMed

    Li, Gang; Song, Xueqing; Xia, Jiyi; Li, Jing; Jia, Peng; Chen, Pengyuan; Zhao, Jian; Liu, Bin

    2017-01-01

    The aim of this study was to assess the diagnostic value of plasma N-terminal connective tissue growth factor in children with heart failure. Methods and results Plasma N-terminal connective tissue growth factor was determined in 61 children, including 41 children with heart failure, 20 children without heart failure, and 30 healthy volunteers. The correlations between plasma N-terminal connective tissue growth factor levels and clinical parameters were investigated. Moreover, the diagnostic value of N-terminal connective tissue growth factor levels was evaluated. Compared with healthy volunteers and children without heart failure, plasma N-terminal connective tissue growth factor levels were significantly elevated in those with heart failure (p0.05), but it obviously improved the ability of diagnosing heart failure in children, as demonstrated by the integrated discrimination improvement (6.2%, p=0.013) and net re-classification improvement (13.2%, p=0.017) indices. Plasma N-terminal connective tissue growth factor is a promising diagnostic biomarker for heart failure in children.

  13. Doping β-Ga2O3 with europium: influence of the implantation and annealing temperature

    NASA Astrophysics Data System (ADS)

    Peres, M.; Lorenz, K.; Alves, E.; Nogales, E.; Méndez, B.; Biquard, X.; Daudin, B.; Víllora, E. G.; Shimamura, K.

    2017-08-01

    β-Ga2O3 bulk single crystals were doped by ion implantation at temperatures from room temperature to 1000 °C, using a 300 keV Europium beam with a fluence of 1  ×  1015 at cm-2. Rising the implantation temperature from room temperature to 400-600 °C resulted in a significant increase of the substitutional Eu fraction and of the number of Eu ions in the 3+  charge state as well as in a considerable decrease of implantation damage. Eu is found in both charge states 2+  and 3+  and their relative fractions are critically dependent on the implantation and annealing temperature, suggesting that defects play an important role in stabilizing one of the charge states. The damage recovery during post-implant annealing is a complex process and typically defect levels first increase for intermediate annealing temperatures and a significant recovery of the crystal only starts around 1000 °C. Cathodoluminescence spectra are dominated by the sharp Eu3+ related intra-ionic 4f transition lines in the red spectral region. They show a strong increase of the emission intensity with increasing annealing temperature, in particular for samples implanted at elevated temperature, indicating the optical activation of Eu3+ ions. However, no direct correlation of emission intensity and Eu3+ fraction was found, again pointing to the important role of defects on the physical properties of these luminescent materials.

  14. Effects of O 2 and N 2/H 2 plasma treatments on the neuronal cell growth on single-walled carbon nanotube paper scaffolds

    NASA Astrophysics Data System (ADS)

    Yoon, Ok Ja; Lee, Hyun Jung; Jang, Yeong Mi; Kim, Hyun Woo; Lee, Won Bok; Kim, Sung Su; Lee, Nae-Eung

    2011-08-01

    The O 2 and N 2/H 2 plasma treatments of single-walled carbon nanotube (SWCNT) papers as scaffolds for enhanced neuronal cell growth were conducted to functionalize their surfaces with different functional groups and to roughen their surfaces. To evaluate the effects of the surface roughness and functionalization modifications of the SWCNT papers, we investigated the neuronal morphology, mitochondrial membrane potential, and acetylcholine/acetylcholinesterase levels of human neuroblastoma during SH-SY5Y cell growth on the treated SWCNT papers. Our results demonstrated that the plasma-chemical functionalization caused changes in the surface charge states with functional groups with negative and positive charges and then the increased surface roughness enhanced neuronal cell adhesion, mitochondrial membrane potential, and the level of neurotransmitter in vitro. The cell adhesion and mitochondrial membrane potential on the negatively charged SWCNT papers were improved more than on the positively charged SWCNT papers. Also, measurements of the neurotransmitter level showed an enhanced acetylcholine level on the negatively charged SWCNT papers compared to the positively charged SWCNT papers.

  15. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    NASA Astrophysics Data System (ADS)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  16. Evolution of Ge nanoislands on Si(110)-'16 × 2' surface under thermal annealing studied using STM

    NASA Astrophysics Data System (ADS)

    Gangopadhyay, Subhashis; Yoshimura, Masamichi; Ueda, Kazuyuki

    2009-11-01

    The initial nucleation of Ge nanoclusters on Si(110) at room temperature (RT), annealing-induced surface roughening and the evolution of three-dimensional Ge nanoislands have been investigated using scanning tunneling microscopy (STM). A few monolayers (ML) of Ge deposited at room temperature lead to the formation of Ge clusters which are homogeneously distributed across the surface. The stripe-like patterns, characteristic of the Si(110)-'16 × 2' surface reconstruction are also retained. Increasing annealing temperatures, however, lead to significant surface diffusion and thus, disruption of the underlying '16 × 2' reconstruction. The annealing-induced removal of the stripe structures (originated from '16 × 2' reconstruction) starts at approximately 300 °C, whereas the terrace structures of Si(110) are thermally stable up to 500 °C. At approximately 650 °C, shallow Ge islands of pyramidal shape with (15,17,1) side facets start to form. Annealing at even higher temperatures enhances Ge island formation. Our findings are explained in terms of partial dewetting of the metastable Ge wetting layer (WL) (formed at room temperature) as well as partial relaxation of lattice strain through three-dimensional (3D) island growth.

  17. Understanding the effect of annealing temperature on crystalline structure, morphology, and photocatalytic activity of silver-loaded TiO2 nanotubes

    NASA Astrophysics Data System (ADS)

    Viet, Pham Van; Phuong Trang, Duong Dao; Phat, Bui Dai; Hieu, Le Van; Thi, Cao Minh

    2018-05-01

    In this study, we classified the effect of the annealing temperature on silver-loaded TiO2 nanotubes (Ag/TNTs). X-ray diffraction results demonstrate that TNTs have a tendency of phase transformation owing to silver nanoparticles (Ag NPs). The Brunauer-Emmett-Teller method indicates that Ag/TNTs is a mesopore material and the surface area of Ag/TNTs decreases when the annealing temperature increases. This research concluded that the TNT structure begins to break at high annealing temperatures (>400 °C) and is completely broken at 500 °C. The average diameter of the Ag NPs in Ag/TNTs increases linearly with the annealing temperature. In addition, this study clearly explained the oxidation state transformation of Ag in Ag/TNTs under the impact of the annealing temperature, therein, the Ag0 state is transferred completely to Ag+ at 400 °C, and some Ag+ is oxidized to form Ag2+. The Ag/TNTs and Ag/TNTs annealed at 300 °C provided the good methylene blue photodegradation ability for 150 min under sunlight condition.

  18. Purification of silicon powder by the formation of thin porous layer followed byphoto-thermal annealing

    PubMed Central

    2012-01-01

    Porous silicon has been prepared using a vapor-etching based technique on a commercial silicon powder. Strong visible emission was observed in all samples. Obtained silicon powder with a thin porous layer at the surface was subjected to a photo-thermal annealing at different temperatures under oxygen atmosphere followed by a chemical treatment. Inductively coupled plasma atomic emission spectrometry results indicate that silicon purity is improved from 99.1% to 99.994% after annealing at 900°C. PMID:22873706

  19. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Imamura, Masato; Asano, Atsuki; Ishikawa, Kenji; Takeda, Keigo; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2017-08-01

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306 nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors.

  20. Highly scaled equivalent oxide thickness of 0.66 nm for TiN/HfO2/GaSb MOS capacitors by using plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Tsai, Ming-Li; Wang, Shin-Yuan; Chien, Chao-Hsin

    2017-08-01

    Through in situ hydrogen plasma treatment (HPT) and plasma-enhanced atomic-layer-deposited TiN (PEALD-TiN) layer capping, we successfully fabricated TiN/HfO2/GaSb metal-oxide-semiconductor capacitors with an ultrathin equivalent oxide thickness of 0.66 nm and a low density of states of approximately 2 × 1012 cm-2 eV-1 near the valence band edge. After in situ HPT, a native oxide-free surface was obtained through efficient etching. Moreover, the use of the in situ PEALD-TiN layer precluded high-κ dielectric damage that would have been caused by conventional sputtering, thereby yielding a superior high-κ dielectric and low gate leakage current.

  1. Thermodynamics of radiation induced amorphization and thermal annealing of Dy 2Sn 2O 7 pyrochlore

    DOE PAGES

    Chung, Cheng-Kai; Lang, Maik; Xu, Hongwu; ...

    2018-06-14

    Thermodynamics and annealing behavior of swift heavy ion amorphized Dy 2Sn 2O 7 pyrochlore were studied. Its amorphization enthalpy, defined as the total energetic difference between the irradiation amorphized and undamaged Dy 2Sn 2O 7 states, was determined to be 283.6 ± 6.5 kJ/mol by high temperature oxide melt drop solution calorimetry. It has been an enigma that stannate and some other pyrochlores do not follow the general r A/r B-radiation resistance relation seen in most pyrochlore systems. In this paper, we use the amorphization enthalpy, which reflects all the complex chemical and structural characteristics, as a more effective parametermore » to correlate the radiation damage resistance of pyrochlores with their compositions. It successfully explains the superior radiation damage resistance of the stannate pyrochlores compared with titanate pyrochlores. Differential scanning calorimetry (DSC) reveals a strong exothermic event starting at 978 K, which is attributed to long-range recrystallization based on X-ray diffraction (XRD) analysis, similar to the effect previously observed in Dy 2Ti 2O 7. A second pronounced heat event beginning at ~1148 K, which results from local structural rearrangement, is clearly decoupled from the first event for irradiated Dy 2Sn 2O 7. Both the heat releases measured by DSC on heating to 1023 and 1473 K, and the excess enthalpies of the annealed samples indicate that the recovery to the original, ordered state was not fully achieved up to even 1473 K, despite XRD showing the apparent restoration of crystalline pyrochlore structure. The remaining metastability may be attributed to local disorder in the form of weberite-like short-range domains in the recrystallized material. Intriguingly, the second event for different pyrochlores generally starts at similar temperatures while the onset of the long range recrystallization is compositionally dependent. Finally, the amorphization and thermal annealing behavior observed in

  2. Sapphire substrate-induced effects in VO2 thin films grown by oxygen plasma-assisted pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Skuza, J. R.; Scott, D. W.; Pradhan, A. K.

    2015-11-01

    We investigate the structural and electronic properties of VO2 thin films on c-plane sapphire substrates with three different surface morphologies to control the strain at the substrate-film interface. Only non-annealed substrates with no discernible surface features (terraces) provided a suitable template for VO2 film growth with a semiconductor-metal transition (SMT), which was much lower than the bulk transition temperature. In addition to strain, oxygen vacancy concentration also affects the properties of VO2, which can be controlled through deposition conditions. Oxygen plasma-assisted pulsed laser deposition allows favorable conditions for VO2 film growth with SMTs that can be easily tailored for device applications.

  3. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  4. Marginal Vitamin B-6 Deficiency Decreases Plasma (n-3) and (n-6) PUFA Concentrations in Healthy Men and Women123

    PubMed Central

    Zhao, Mei; Lamers, Yvonne; Ralat, Maria A.; Coats, Bonnie S.; Chi, Yueh-Yun; Muller, Keith E.; Bain, James R.; Shankar, Meena N.; Newgard, Christopher B.; Stacpoole, Peter W.; Gregory, Jesse F.

    2012-01-01

    Previous animal studies showed that severe vitamin B-6 deficiency altered fatty acid profiles of tissue lipids, often with an increase of linoleic acid and a decrease of arachidonic acid. However, little is known about the extent to which vitamin B-6 deficiency affects human fatty acid profiles. The aim of this study was to determine the effects of marginal vitamin B-6 deficiency on fatty acid profiles in plasma, erythrocytes, and peripheral blood mononuclear cells (PBMC) of healthy adults fed a 28-d, low-vitamin B-6 diet. Healthy participants (n = 23) received a 2-d, controlled, vitamin B-6–adequate diet followed by a 28-d, vitamin B-6–restricted diet to induce a marginal deficiency. Plasma HDL and LDL cholesterol concentrations, FFA concentrations, and erythrocyte and PBMC membrane fatty acid compositions did not significantly change from baseline after the 28-d restriction. Plasma total arachidonic acid, EPA, and DHA concentrations decreased from (mean ± SD) 548 ± 96 to 490 ± 94 μmol/L, 37 ± 13 to 32 ± 13 μmol/L, and 121 ± 28 to 109 ± 28 μmol/L [positive false discovery rate (pFDR) adjusted P < 0.05], respectively. The total (n-6):(n-3) PUFA ratio in plasma exhibited a minor increase from 15.4 ± 2.8 to 16.6 ± 3.1 (pFDR adjusted P < 0.05). These data indicate that short-term vitamin B-6 restriction decreases plasma (n-3) and (n-6) PUFA concentrations and tends to increase the plasma (n-6):(n-3) PUFA ratio. Such changes in blood lipids may be associated with the elevated risk of cardiovascular disease in vitamin B-6 insufficiency. PMID:22955512

  5. The effect of thermal annealing on pentacene thin film transistor with micro contact printing.

    PubMed

    Shin, Hong-Sik; Yun, Ho-Jin; Baek, Kyu-Ha; Ham, Yong-Hyun; Park, Kun-Sik; Kim, Dong-Pyo; Lee, Ga-Won; Lee, Hi-Deok; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We used micro contact printing (micro-CP) to fabricate inverted coplanar pentacene thin film transistors (TFTs) with 1-microm channels. The patterning of micro-scale source/drain electrodes without etch process was successfully achieved using Polydimethylsiloxane (PDMS) elastomer stamp. We used the Ag nano particle ink as an electrode material, and the sheet resistance and surface roughness of the Ag electrodes were effectively reduced with the 2-step thermal annealing on a hotplate, which improved the mobility, the on-off ratio, and the subthreshold slope (SS) of the pentacene TFTs. In addition, the device annealing on a hotplate in a N2 atmosphere for 30 sec can enhance the off-current and the mobility properties of OTFTs without damaging the pentacene thin films and increase the adhesion between pentacene and dielectric layer (SiO2), which was investigated with the pentacene films phase change of the XRD spectrum after device annealing.

  6. High-performance liquid chromatographic separation and electrochemical or spectrophotometric determination of R(-)N-n-propylnorapomorphine and R(-)10,11-methylenedioxy-N-n-propylnoraporphine in primate plasma.

    PubMed

    Lampen, P; Neumeyer, J L; Baldessarini, R J

    1988-04-29

    The dopamine receptor agonist R(-)N-n-propylnorapomorphine (NPA) and its proposed pro-drug R(-)10,11-methylenedioxy-N-n-propylnoraporphine (MDO-NPA) were isolated simultaneously from monkey plasma using a solid-phase extraction procedure. R(-)Apomorphine (APO) and R(-)10,11-methylenedioxyaporphine (MDO-APO) were added as internal standards, and separation and quantification were by high-performance liquid chromatography with electrochemical or ultraviolet detection of the free catechol and MDO compounds, respectively. The detection limits for NPA and MDO-NPA in plasma were 0.5 and 10 ng/ml and the coefficient of variation (S.D./mean) within assays and between days of assays for both drugs was 5.6% or less. Quantification of plasma levels of NPA and MDO-NPA was possible at ranges of 2-1000 and 40-5000 ng/ml, respectively, including concentrations found after intravenous administration of these agents.

  7. Diffusion length damage coefficient and annealing studies in proton-irradiated InP

    NASA Technical Reports Server (NTRS)

    Hakimzadeh, Roshanak; Vargas-Aburto, Carlos; Bailey, Sheila G.; Williams, Wendell

    1993-01-01

    We report on the measurement of the diffusion length damage coefficient (K(sub L)) and the annealing characteristics of the minority carrier diffusion length (L(sub n)) in Czochralski-grown zinc-doped indium phosphide (InP), with a carrier concentration of 1 x 10(exp l8) cm(exp -3). In measuring K(sub L) irradiations were made with 0.5 MeV protons with fluences ranging from 1 x 10(exp 11) to 3 x 10(exp 13) cm(exp -2). Pre- and post-irradiation electron-beam induced current (EBIC) measurements allowed for the extraction of L(sub n) from which K(sub L) was determined. In studying the annealing characteristics of L(sub n) irradiations were made with 2 MeV protons with fluence of 5 x 10(exp 13) cm(exp -2). Post-irradiation studies of L(sub n) with time at room temperature, and with minority carrier photoinjection and forward-bias injection were carried out. The results showed that recovery under Air Mass Zero (AMO) photoinjection was complete. L(sub n) was also found to recover under forward-bias injection, where recovery was found to depend on the value of the injection current. However, no recovery of L(sub n) after proton irradiation was observed with time at room temperature, in contrast to the behavior of 1 MeV electron-irradiated InP solar cells reported previously.

  8. Microstructural evolution during thermal annealing of ice-Ih

    NASA Astrophysics Data System (ADS)

    Hidas, Károly; Tommasi, Andréa; Mainprice, David; Chauve, Thomas; Barou, Fabrice; Montagnat, Maurine

    2017-06-01

    We studied the evolution of the microstructure of ice-Ih during static recrystallization by stepwise annealing experiments. We alternated thermal annealing and electron backscatter diffraction (EBSD) analyses on polycrystalline columnar ice pre-deformed in uniaxial compression at temperature of -7 °C to macroscopic strains of 3.0-5.2. Annealing experiments were carried out at -5 °C and -2 °C up to a maximum of 3.25 days, typically in 5-6 steps. EBSD crystal orientation maps obtained after each annealing step permit the description of microstructural changes. Decrease in average intragranular misorientation at the sample scale and modification of the misorientation across subgrain boundaries provide evidence for recovery from the earliest stages of annealing. This initial evolution is similar for all studied samples irrespective of their initial strain or annealing temperature. After an incubation period ≥1.5 h, recovery is accompanied by recrystallization (nucleation and grain boundary migration). Grain growth proceeds at the expense of domains with high intragranular misorientations, consuming first the most misorientated parts of primary grains. Grain growth kinetics fits the parabolic growth law with grain growth exponents in the range of 2.4-4.0. Deformation-induced tilt boundaries and kink bands may slow down grain boundary migration. They are stable features during early stages of static recrystallization, only erased by normal growth, which starts after >24 h of annealing.

  9. Microstructure and Dielectric Properties of LPCVD/CVI-SiBCN Ceramics Annealed at Different Temperatures

    PubMed Central

    Li, Jianping; Zhao, Mingxi; Liu, Yongsheng; Chai, Nan; Ye, Fang; Qin, Hailong; Cheng, Laifei; Zhang, Litong

    2017-01-01

    SiBCN ceramics were introduced into porous Si3N4 ceramics via a low-pressure chemical vapor deposition and infiltration (LPCVD/CVI) technique, and then the composite ceramics were heat-treated from 1400 °C to 1700 °C in a N2 atmosphere. The effects of annealing temperatures on microstructure, phase evolution, dielectric properties of SiBCN ceramics were investigated. The results revealed that α-Si3N4 and free carbon were separated below 1700 °C, and then SiC grains formed in the SiBCN ceramic matrix after annealing at 1700 °C through a phase-reaction between free carbon and α-Si3N4. The average dielectric loss of composites increased from 0 to 0.03 due to the formation of dispersive SiC grains and the increase of grain boundaries. PMID:28773015

  10. Influence of annealing temperature on the structural, optical and electrical properties of amorphous Zinc Sulfide thin films

    NASA Astrophysics Data System (ADS)

    Göde, F.; Güneri, E.; Kariper, A.; Ulutaş, C.; Kirmizigül, F.; Gümüş, C.

    2011-11-01

    Zinc sulfide films have been deposited on glass substrates at room temperature by the chemical bath deposition technique. The growth mechanism is studied using X-ray diffraction, scanning electron microscopy, optical absorption spectra and electrical measurements. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (100, 200, 300 400 and 500 °C) for 1 h. The annealed film was also characterized by structural, optical and electrical studies. The structural analyses revealed that the as-deposited film was amorphous, but after being annealed at 500 °C, it changed to polycrystalline. The optical band gap is direct with a value of 4.01 eV, but this value decreased to 3.74 eV with annealing temperature, except for the 500 °C anneal where it only decreased to 3.82 eV. The refractive index (n), extinction coefficient (k), and real (ɛ1) and imaginary (ɛ2) parts of the dielectric constant are evaluated. Raman peaks appearing at ~478 cm-1, ~546 cm-1, ~778 cm-1 and ~1082 cm-1 for the annealed film (500 °C) were attributed to [TOl+LAΣ, 2TOΓ, 2LO, 3LO phonons of ZnS. The electrical conductivities of both as-deposited and annealed films have been calculated to be of the order of ~10-10 (Ω cm)-1 .

  11. Interference effect on annealing temperature of A and E centers in silicon.

    NASA Technical Reports Server (NTRS)

    Fang, P. H.; Tanaka, T.

    1971-01-01

    The significance of recent experimental observations on the annealing defects in n-type silicon has been examined. The observed anomalous annealing temperatures of A and E centers and their impurity concentration dependence are explained by an interference between the two centers.

  12. Influence of surfactant and annealing temperature on optical properties of sol-gel derived nano-crystalline TiO2 thin films.

    PubMed

    Vishwas, M; Sharma, Sudhir Kumar; Rao, K Narasimha; Mohan, S; Gowda, K V Arjuna; Chakradhar, R P S

    2010-03-01

    Titanium dioxide thin films have been synthesized by sol-gel spin coating technique on glass and silicon substrates with and without surfactant polyethylene glycol (PEG). XRD and SEM results confirm the presence of nano-crystalline (anatase) phase at an annealing temperature of 300 degrees C. The influence of surfactant and annealing temperature on optical properties of TiO(2) thin films has been studied. Optical constants and film thickness were estimated by Swanepoel's (envelope) method and by ellipsometric measurements in the visible spectral range. The optical transmittance and reflectance were found to decrease with an increase in PEG percentage. Refractive index of the films decreased and film thickness increased with the increase in percentage of surfactant. The refractive index of the un-doped TiO(2) films was estimated at different annealing temperatures and it has increased with the increasing annealing temperature. The optical band gap of pure TiO(2) films was estimated by Tauc's method at different annealing temperature. Copyright 2010 Elsevier B.V. All rights reserved.

  13. Annealing effect on the structural, morphological and electrical properties of TiO2/ZnO bilayer thin films

    NASA Astrophysics Data System (ADS)

    Khan, M. I.; Imran, S.; Shahnawaz; Saleem, Muhammad; Ur Rehman, Saif

    2018-03-01

    The effect of annealing temperature on the structural, morphological and electrical properties of TiO2/ZnO (TZ) thin films has been observed. Bilayer thin films of TiO2/ZnO are deposited on FTO glass substrate by spray pyrolysis method. After deposition, these films are annealed at 573 K, 723 K and 873 K. XRD shows that TiO2 is present in anatase phase only and ZnO is present in hexagonal phase. No other phases of TiO2 and ZnO are present. Also, there is no evidence of other compounds like Zn-Ti etc. It also shows that the average grain size of TiO2/ZnO films is increased by increasing annealing temperature. AFM (Atomic force microscope) showed that the average roughness of TiO2/ZnO films is decreased at temperature 573-723 K and then increased at 873 K. The calculated average sheet resistivity of thin films annealed at 573 K, 723 K and 873 K is 152.28 × 102, 75.29 × 102 and 63.34 × 102 ohm-m respectively. This decrease in sheet resistivity might be due to the increment of electron concentration with increasing thickness and the temperature of thin films.

  14. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing.

    PubMed

    Fu, Chaochao; Zhou, Xiangbiao; Wang, Yan; Xu, Peng; Xu, Ming; Wu, Dongping; Luo, Jun; Zhao, Chao; Zhang, Shi-Li

    2016-04-27

    The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4-0.7 eV to 0.2-0.1 eV for both conduction polarities by annealing below 400 °C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 °C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature.

  15. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing

    PubMed Central

    Fu, Chaochao; Zhou, Xiangbiao; Wang, Yan; Xu, Peng; Xu, Ming; Wu, Dongping; Luo, Jun; Zhao, Chao; Zhang, Shi-Li

    2016-01-01

    The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4–0.7 eV to 0.2–0.1 eV for both conduction polarities by annealing below 400 °C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 °C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature. PMID:28773440

  16. Measurement of Plasma Nitrite by Chemiluminescence without Interference of S-, N-nitroso and Nitrated Species

    PubMed Central

    Nagababu, Enika; Rifkind, Joseph M.

    2009-01-01

    Recent studies have demonstrated that plasma nitrite (NO2-) reflects endothelial nitric oxide synthase activity and it has been proposed as a prognostic marker for cardiovascular disease. In addition, NO2- itself has been shown to have biological activities thought to be triggered by reduction back to NO in blood and tissues. The development of sensitive and reproducible methods for the quantitative determination of plasma NO2- is, therefore, of great importance. Ozone-based chemiluminescence assays have been shown to be highly sensitive for the determination of nanomolar quantities of NO and NO related species in biological fluids. We report here an improved direct chemiluminescence method for the determination of plasma NO2- without interference of other nitric oxide related species such as nitrate, S-nitrosothiols, N-nitrosamines, nitrated proteins and nitrated lipids. The method involves a reaction system consisting of glacial acetic acid and ascorbic acid in the purge vessel of the NO analyzer. Under these acidic conditions NO2- is stoichiometrically reduced to NO by ascorbic acid. Fasting human plasma NO2- values were found in the range of 56-210 nM (mean =110 ± 36 nM). This method has high sensitivity with an accuracy of 97% and high precision (C.V <10%) for determination of plasma nitrite. The present method is simple and highly specific for plasma NO2-. It is particularly suited to evaluate vasculature endothelial NO production that predicts the risks for cardiovascular disease. PMID:17382196

  17. Preparation of clean surfaces and Se vacancy formation in Bi2Se3 by ion bombardment and annealing

    NASA Astrophysics Data System (ADS)

    Zhou, Weimin; Zhu, Haoshan; Valles, Connie M.; Yarmoff, Jory A.

    2017-08-01

    Bismuth Selenide (Bi2Se3) is a topological insulator (TI) with a structure consisting of stacked quintuple layers. Single crystal surfaces are commonly prepared by mechanical cleaving. This work explores the use of low energy Ar+ ion bombardment and annealing (IBA) as an alternative method to produce reproducible and stable Bi2Se3 surfaces under ultra-high vacuum (UHV). It is found that a clean and well-ordered surface can be prepared by a single cycle of 1 keV Ar+ ion bombardment and 30 min of annealing. Low energy electron diffraction (LEED) and detailed low energy ion scattering (LEIS) measurements show no differences between IBA-prepared surfaces and those prepared by in situ cleaving in UHV. Analysis of the LEED patterns shows that the optimal annealing temperature is 450 °C. Angular LEIS scans reveal the formation of surface Se vacancies when the annealing temperature exceeds 520 °C.

  18. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    NASA Astrophysics Data System (ADS)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-07-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications.

  19. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    PubMed Central

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  20. Effects of SF6 plasma treatment on the properties of InGaZnO thin films

    NASA Astrophysics Data System (ADS)

    Choi, Jinsung; Bae, Byung Seong; Yun, Eui-Jung

    2018-03-01

    The effects of sulfur hexafluoride (SF6) plasma on the properties of amorphous InGaZnO (a-IGZO) thin films were examined. The properties of the a-IGZO thin films were characterized by Hall effect measurement, dynamic secondary ion mass spectroscopy (SIMS), and X-ray photoelectron spectroscopy (XPS). The IGZO thin films treated with SF6 plasma before annealing had a very high resistance mainly owing to the inclusion of S into the film surface, as evidenced by SIMS profiles. On the other hand, the samples treated with SF6 plasma after annealing showed better electrical properties with a Hall mobility of 10 cm2/(V·s) than the untreated samples or the samples SF6 plasma-treated before annealing. This was attributed to the increase in the number of oxygen vacancy defects in the a-IGZO thin films owing to the enhanced out-diffusion of O to the ambient and the increase in the number of F-related donor defects originating from the incorporation of a much larger amount of F than of S into the film surface, which were confirmed by XPS and SIMS.

  1. Improvement of hot-carrier and radiation hardnesses in metal-oxide-nitride-oxide semiconductor devices by irradiation-then-anneal treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang-Liao, K.S.; Hwu, J.G.

    The hardnesses of hot-carrier and radiation of metal-oxide nitride-oxide semiconductor (MONOS) devices can be improved by the irradiation-then-anneal (ITA) treatments. Each treatment includes an irradiation of Co-60 with a total dose of 1M rads(SiO[sub 2]) and an anneal in N[sub 2] at 400 C for 10 min successively. This improvement can be explained by the release of SiO[sub 2]/Si interfacial strain.

  2. Role of annealing duration on the microstructure and electrochemical performance of β-V2O5 thin films

    NASA Astrophysics Data System (ADS)

    Jeyalakshmi, K.; Muralidharan, G.

    2014-03-01

    Vanadium pentoxide thin films have been prepared by sol-gel spin coating method. The eight-layered films coated on fluorine-doped tin oxide substrate and glass substrate were subjected to different durations of annealing under a constant annealing temperature of 300 °C from 30 to 120 min. The X-ray diffraction spectrum reveals crystallinity along (2 0 0) direction. The SEM images of these films show the variation in the surface morphology with increase in annealing duration. The supercapacitor behaviour has been studied using cyclic voltammetry technique and electrochemical impedance spectroscopy. The film annealed for 60 min exhibits a maximum specific capacitance of 346 F/g at a scan rate of 5 mV/s with a charge transfer resistance of 172 Ω.

  3. Annealing of Solar Cells and Other Thin Film Devices

    NASA Technical Reports Server (NTRS)

    Escobar, Hector; Kuhlman, Franz; Dils, D. W.; Lush, G. B.; Mackey, Willie R. (Technical Monitor)

    2001-01-01

    Annealing is a key step in most semiconductor fabrication processes, especially for thin films where annealing enhances performance by healing defects and increasing grain sizes. We have employed a new annealing oven for the annealing of CdTe-based solar cells and have been using this system in an attempt to grow US on top of CdTe by annealing in the presence of H2S gas. Preliminary results of this process on CdTe solar cells and other thin-film devices will be presented.

  4. Optical properties of highly n-doped germanium obtained by in situ doping and laser annealing

    NASA Astrophysics Data System (ADS)

    Frigerio, J.; Ballabio, A.; Gallacher, K.; Giliberti, V.; Baldassarre, L.; Millar, R.; Milazzo, R.; Maiolo, L.; Minotti, A.; Bottegoni, F.; Biagioni, P.; Paul, D.; Ortolani, M.; Pecora, A.; Napolitani, E.; Isella, G.

    2017-11-01

    High n-type doping in germanium is essential for many electronic and optoelectronic applications especially for high performance Ohmic contacts, lasing and mid-infrared plasmonics. We report on the combination of in situ doping and excimer laser annealing to improve the activation of phosphorous in germanium. An activated n-doping concentration of 8.8  ×  1019 cm-3 has been achieved starting from an incorporated phosphorous concentration of 1.1  ×  1020 cm-3. Infrared reflectivity data fitted with a multi-layer Drude model indicate good uniformity over a 350 nm thick layer. Photoluminescence demonstrates clear bandgap narrowing and an increased ratio of direct to indirect bandgap emission confirming the high doping densities achieved.

  5. Formation and photoluminescence of GaAs1-xNx dilute nitride achieved by N-implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Gao, Kun; Prucnal, S.; Skorupa, W.; Helm, M.; Zhou, Shengqiang

    2014-07-01

    In this paper, we present the fabrication of dilute nitride semiconductor GaAs1-xNx by nitrogen-ion-implantation and flash lamp annealing (FLA). N was implanted into the GaAs wafers with atomic concentration of about ximp1 = 0.38% and ximp2 = 0.76%. The GaAs1-xNx layer is regrown on GaAs during FLA treatment in a solid phase epitaxy process. Room temperature near band-edge photoluminescence (PL) has been observed from the FLA treated GaAs1-xNx samples. According to the redshift of the near band-edge PL peak, up to 80% and 44% of the implanted N atoms have been incorporated into the lattice by FLA for ximp1 = 0.38% and ximp2 = 0.76%, respectively. Our investigation shows that ion implantation followed by ultrashort flash lamp treatment, which allows for large scale production, exhibits a promising prospect on bandgap engineering of GaAs based semiconductors.

  6. Thermoluminescence of α-Al2O3:C,Mg annealed at 1200 °C

    NASA Astrophysics Data System (ADS)

    Kalita, J. M.; Chithambo, M. L.

    2018-05-01

    Stimulated luminescence in α-Al2O3:C,Mg has thus far been studied for samples annealed at temperature no higher than 900 °C as can be seen by an examination of the literature. We report the thermoluminescence (TL) features of α-Al2O3:C,Mg annealed at 1200 °C. A glow curve measured at 1 °C/s from the samples annealed at 1200 °C shows eight peaks at 54, 80, 102, 173, 238, 290, 330 and 387 °C. Kinetic analyses show that the peak at 54 °C follows general order kinetics (b = 1.3) whereas the rest follow first order kinetics. The values of the activation energy of the peaks are between 0.77 eV and 1.90 eV and the frequency factors are of the order of 1010-1014 s-1. The intensity of the peaks at 54, 80, 102 and 173 °C increase with heating rate whereas those of the peaks at 238 and 290 °C decrease with heating rate. The decrease of intensity of the peaks at 238 and 290 °C with heating rate is due to thermal quenching whereas the increase of intensity of the peaks with heating rate indicates an inverse thermal-quenching-like behaviour. Interestingly this behaviour is observed only after annealing at 1200 °C. The activation energy for thermal quenching as calculated using the peaks at 238 and 290 °C are (1.02 ± 0.16) eV and (1.33 ± 0.15) eV respectively. Regarding the dosimetric features, the dose response of the peaks at 54, 80 and 102 °C are sublinear within 1-10 Gy and the peak at 54 °C saturates above 6 Gy. In contrast, the response of the peak at 173 °C is sublinear with 1-4 Gy and superlinear between 4 and 10 Gy. The peaks are found to fade at different rates and the rate of fading is also affected by annealing.

  7. Microstructural analysis of Ti/Al/Ti/Au ohmic contacts to n-AlGaN/GaN

    NASA Astrophysics Data System (ADS)

    Chen, J.; Ivey, D. G.; Bardwell, J.; Liu, Y.; Tang, H.; Webb, J. B.

    2002-05-01

    To develop high quality AlGaN/GaN heterostructure field effect transistors for use in high power, high frequency, and high temperature applications, low resistance, thermal stable ohmic contacts with good surface morphology are essential. Low specific contact resistances have been achieved using an Au/Ti/Al/Ti contact: a minimum value of 6.33×10-6 Ω cm2 was attained after annealing at 700 °C for 30 s. Microstructural analysis using transmission electron microscopy indicated that there is significant interaction between the metallization components and the semiconductor during annealing. The optimum electrical properties correspond to a microstructure that consists of Au2Ti and TiAl layers as well as of a thin Ti-rich layer (~10 nm thick) at the metallization/AlGaN interface. Degradation of the contact occurred for annealing temperatures in excess of 750 °C, and was accompanied by decomposition of the AlGaN layer and formation of a Au-Ti-Al-Ga quaternary phase.

  8. Effect of annealing on morphology and photoluminescence of beta-Ga2O3 nanostructures.

    PubMed

    Zhang, Shiying; Zhuang, Huizhao; Xue, Chengshan; Li, Baoli

    2008-07-01

    A novel method was applied to prepare one-dimensional beta-Ga2O3 nanostructure films. In this method, beta-Ga2O3 nanostructures have been successfully synthesized on Si(111) substrates through annealing sputtered Ga22O3/Mo films for differernt time under flowing ammonia. The as-synthesized beta-Ga2O3 nanostructures were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and photoluminescence (PL) spectrum. The results show that the formed nanostructures are single-crystalline Ga2O3 with monoclinic structure. The annealing time of the samples has an evident influence on the morphology and optical property of the nanostructured beta-Ga2O3 synthesized. The representative photoluminescence spectrum at room temperature exhibits a strong and broad emission band centered at 411.5 nm and a relatively weak emission peak located at 437.6 nm. The growth mechanism of the beta-Ga2O3 nanostructured materials is also discussed briefly.

  9. Determining the Concentrations and Temperatures of Products in a CF_4/CHF_3/N_2 Plasma via Submillimeter Absorption Spectroscopy

    NASA Astrophysics Data System (ADS)

    Helal, Yaser H.; Neese, Christopher F.; De Lucia, Frank C.; Ewing, Paul R.; Agarwal, Ankur; Craver, Barry; Stout, Phillip J.; Armacost, Michael D.

    2017-06-01

    Plasmas used for the manufacturing of semiconductor devices are similar in pressure and temperature to those used in the laboratory for the study of astrophysical species in the submillimeter (SMM) spectral region. The methods and technology developed in the SMM for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied and their spectra have been cataloged or are in the literature. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500-750 GHz radiation through a commercial inductively coupled plasma chamber. The measurement of transmission spectra was simultaneously fit for background and absorption signal. The measured absorption was used to calculate absolute densities and temperatures of polar species. Measurements for CHF_3, CF_2, FCN, HCN, and CN made in a CF_4/CHF_3/N_2 plasma will be presented. Temperature equilibrium among species will be shown and the common temperature is leveraged to obtain accurate density measurements for simultaneously observed species. The densities and temperatures of plasma species are studied as a function of plasma parameters, including flow rate, pressure, and discharge power.

  10. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  11. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    PubMed

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  12. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures

    PubMed Central

    2013-01-01

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C. PMID:23452508

  13. Investigation of (Ti-Zr-Hf-V-Nb)N Multicomponent Nanostructured Coatings before and after Thermal Annealing by Nuclear Physics Methods of Analysis

    NASA Astrophysics Data System (ADS)

    Pogrebnjak, A. D.; Beresnev, V. M.; Bondar', A. V.; Kaverin, M. V.; Ponomarev, A. G.

    2013-10-01

    (Ti-Zr-Hf-V-Nb)N multicomponent nanostructured coatings with thickness of 1.0-1.4 μm synthesized by the method of cathode arc-vapor deposition at temperatures of 250-300°С are investigated by various mutually complementary methods of elemental structural analysis using slow positron beams (SPB), proton microbeam based particle-induced x-ray emission (μ-PIXE), energy-dispersive x-ray spectroscopy (EDS) and scanning electron microscopy (SEM) analyses based on electron micro- and nanobeams, x-ray diffraction (XRD) method of phase structural analysis, and the "a-sin2φ" method of measuring a stressed-strained state (x-ray tensometry). The elemental composition, microstructure, residual stress in nanograins, profiles of defect and atom distributions with depth and over the coating surface in 3D-representation are studied for these coatings, and their phase composition, severely strained state, and composition of coatings before and after annealing at Tann = 600°С for annealing time τ = 30 min are investigated. It is demonstrated that the oxidation resistance of the examined coatings can be significantly increased by high-temperature annealing that leads to the formation of elastic severely strained compression state of the coating. Redistribution of elements and defects, their segregation near the interface boundaries and around grains and subgrains in the process of thermostimulated diffusion, and termination of spinodal segregation without considerable change of the average nanograin size are revealed.

  14. Nitrogen-doping of bulk and nanotubular TiO2 photocatalysts by plasma-assisted atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Creatore, Mariadriana; Ma, Quan-Bao; El Boukili, Aishah; Gao, Lu; Verheijen, Marcel A.; Verhoeven, M. W. G. M. (Tiny); Hensen, Emiel. J. M.

    2015-03-01

    Plasma-assisted atomic layer deposition (PA-ALD) was adopted to deposit TiO2-xNx ultrathin layers on Si wafers, calcined Ti foils and nanotubular TiO2 arrays. A range of N content and chemical bond configurations were obtained by varying the background gas (O2 or N2) during the Ti precursor exposure, while the N2/H2-fed inductively coupled plasma exposure time was varied between 2 and 20 s. On calcined Ti foils, a positive effect from N doping on photocurrent density was observed when O2 was the background gas with a short plasma exposure time (5 and 10 s). This correlates with the presence of interstitial N states in the TiO2 with a binding energy of 400 eV (Ninterst) as measured by X-ray photoelectron spectroscopy. A longer plasma time or the use of N2 as background gas results in formation of N state with a binding energy of 396 eV (Nsubst) and very low photocurrents. These Nsubst are linked to the presence of Ti3+, which act as detrimental recombination center for photo-generated electron-hole pairs. On contrary, PA-ALD treated nanotubular TiO2 arrays show no variation of photocurrent density (with respect to the pristine nanotubes) upon different plasma exposure times and when the O2 recipe was adopted. This is attributed to constant N content in the PA-ALD TiO2-xNx, regardless of the adopted recipe.

  15. Perovskite solar cells based on nanocolumnar plasma-deposited ZnO thin films.

    PubMed

    Ramos, F Javier; López-Santos, Maria C; Guillén, Elena; Nazeeruddin, Mohammad Khaja; Grätzel, Michael; Gonzalez-Elipe, Agustin R; Ahmad, Shahzada

    2014-04-14

    ZnO thin films having a nanocolumnar microstructure are grown by plasma-enhanced chemical vapor deposition at 423 K on pre-treated fluorine-doped tin oxide (FTO) substrates. The films consist of c-axis-oriented wurtzite ZnO nanocolumns with well-defined microstructure and crystallinity. By sensitizing CH3NH3PbI3 on these photoanodes a power conversion of 4.8% is obtained for solid-state solar cells. Poly(triarylamine) is found to be less effective when used as the hole-transport material, compared to 2,2',7,7'-tetrakis(N,N-di-p-methoxyphenylamine)-9,9'-spirobifluorene (spiro-OMeTAD), while the higher annealing temperature of the perovskite leads to a better infiltration in the nanocolumnar structure and an enhancement of the cell efficiency. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Enhanced photovoltaic property by forming p-i-n structures containing Si quantum dots/SiC multilayers

    PubMed Central

    2014-01-01

    Si quantum dots (Si QDs)/SiC multilayers were fabricated by annealing hydrogenated amorphous Si/SiC multilayers prepared in a plasma-enhanced chemical vapor deposition system. The thickness of amorphous Si layer was designed to be 4 nm, and the thickness of amorphous SiC layer was kept at 2 nm. Transmission electron microscopy observation revealed the formation of Si QDs after 900°C annealing. The optical properties of the Si QDs/SiC multilayers were studied, and the optical band gap deduced from the optical absorption coefficient result is 1.48 eV. Moreover, the p-i-n structure with n-a-Si/i-(Si QDs/SiC multilayers)/p-Si was fabricated, and the carrier transportation mechanism was investigated. The p-i-n structure was used in a solar cell device. The cell had the open circuit voltage of 532 mV and the power conversion efficiency (PCE) of 6.28%. PACS 81.07.Ta; 78.67.Pt; 88.40.jj PMID:25489285

  17. Effect of Rolling and Subsequent Annealing on Microstructure, Microtexture, and Properties of an Experimental Duplex Stainless Steel

    NASA Astrophysics Data System (ADS)

    Mandal, Arka; Patra, Sudipta; Chakrabarti, Debalay; Singh, Shiv Brat

    2017-12-01

    A lean duplex stainless steel (LDSS) has been prepared with low-N content and processed by different thermo-mechanical schedules, similar to the industrial processing that comprised hot-rolling, cold-rolling, and annealing treatments. The microstructure developed in the present study on low-N LDSS has been compared to that of high-N LDSS as reported in the literature. As N is an austenite stabilizer, lower-N content reduced the stability of austenite and the austenite content in low-N LDSS with respect to the conventional LDSS. Due to low stability of austenite in low-N LDSS, cold rolling resulted in strain-induced martensitic transformation and the reversion of martensite to austenite during subsequent annealing contributed to significant grain refinement within the austenite regions. δ-ferrite grains in low-N LDSS, on the other hand, are refined by extended recovery mechanism. Initial solidification texture (mainly cube texture) within the δ-ferrite region finally converted into gamma-fiber texture after cold rolling and annealing. Although MS-brass component dominated the austenite texture in low-N LDSS after hot rolling and cold rolling, that even transformed into alpha-fiber texture after the final annealing. Due to the significant grain refinement and formation of beneficial texture within both austenite and ferrite, good combination of strength and ductility has been achieved in cold-rolled and annealed sample of low-N LDSS steel.

  18. Annealing study of poly(etheretherketone)

    NASA Technical Reports Server (NTRS)

    Cebe, Peggy

    1988-01-01

    Annealing of PEEK has been studied for two materials cold-crystallized from the rubbery amorphous state. The first material is a low molecular weight PEEK; the second is commercially available neat resin. Differential scanning calorimetry was used to monitor the melting behavior of annealed samples. The effect of thermal history on melting behavior is very complex and depends upon annealing temperature, residence time at the annealing temperature, and subsequent scanning rate. Thermal stability of both materials is improved by annealing, and for an annealing temperature near the melting point, the polymer can be stabilized against reorganization during the scan. Variations of density, degree of crystallinity, and X-ray long period were studied as a function of annealing temperature for the commercial material.

  19. Reversible switching of wetting properties and erasable patterning of polymer surfaces using plasma oxidation and thermal treatment

    NASA Astrophysics Data System (ADS)

    Rashid, Zeeshan; Atay, Ipek; Soydan, Seren; Yagci, M. Baris; Jonáš, Alexandr; Yilgor, Emel; Kiraz, Alper; Yilgor, Iskender

    2018-05-01

    Polymer surfaces reversibly switchable from superhydrophobic to superhydrophilic by exposure to oxygen plasma and subsequent thermal treatment are demonstrated. Two inherently different polymers, hydrophobic segmented polydimethylsiloxane-urea copolymer (TPSC) and hydrophilic poly(methyl methacrylate) (PMMA) are modified with fumed silica nanoparticles to prepare superhydrophobic surfaces with roughness on nanometer to micrometer scale. Smooth TPSC and PMMA surfaces are also used as control samples. Regardless of their chemical structure and surface topography, all surfaces display completely reversible wetting behavior changing from hydrophobic to hydrophilic and back for many cycles upon plasma oxidation followed by thermal annealing. Influence of plasma power, plasma exposure time, annealing temperature and annealing time on the wetting behavior of polymeric surfaces are investigated. Surface compositions, textures and topographies are characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and white light interferometry (WLI), before and after oxidation and thermal annealing. Wetting properties of the surfaces are determined by measuring their static, advancing and receding water contact angle. We conclude that the chemical structure and surface topography of the polymers play a relatively minor role in reversible wetting behavior, where the essential factors are surface oxidation and migration of polymer molecules to the surface upon thermal annealing. Reconfigurable water channels on polymer surfaces are produced by plasma treatment using a mask and thermal annealing cycles. Such patterned reconfigurable hydrophilic regions can find use in surface microfluidics and optofluidics applications.

  20. Layer dependence of the superconducting transition temperature of HgBa2Can-1 CunO2 n+2+ δ

    NASA Astrophysics Data System (ADS)

    Scott, B. A.; Suard, E. Y.; Tsuei, C. C.; Mitzi, D. B.; McGuire, T. R.; Chen, B.-H.; Walker, D.

    1994-09-01

    High-pressure methods have been used to synthesize multiphase compositions in the Hg12{ n-1} n homologous series. The phase assemblages were examined by optical, electron diffraction and X-ray diffraction techniques, and their stoichiometries verified by electron microprobe. Transport and magnetic susceptibility measurements were combined with the results of the phase analysis to establish superconducting transition temperatures for both as-prepared and O 2- or Ar-annealed materials. It was found that the transition temperature peaks at Tc = 134 K for n = 3 and then decreases abruptly for n>4, reaching Tc<90 K for n⪖7.

  1. High carrier activation of Mg ion-implanted GaN by conventional rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Niwa, Takaki; Fujii, Takahiro; Oka, Tohru

    2017-09-01

    A high activation ratio of Mg ion implantation by conventional rapid thermal annealing (RTA) was demonstrated. To obtain the high activation ratio of Mg ion implantation, the dependence of hole concentration on Mg dose was investigated. A maximum hole concentration and a high activation ratio of 2.3% were obtained at a Mg dose of 2.3 × 1014 cm-2 between 9.2 × 1013 and 2.3 × 1015 cm-2. The ratio is, to the best of our knowledge, the highest ever obtained by conventional RTA.

  2. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    PubMed

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  3. Recent progress of quantum annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Sei

    2015-03-10

    We review the recent progress of quantum annealing. Quantum annealing was proposed as a method to solve generic optimization problems. Recently a Canadian company has drawn a great deal of attention, as it has commercialized a quantum computer based on quantum annealing. Although the performance of quantum annealing is not sufficiently understood, it is likely that quantum annealing will be a practical method both on a conventional computer and on a quantum computer.

  4. Controllably annealed CuO-nanoparticle modified ITO electrodes: Characterisation and electrochemical studies

    NASA Astrophysics Data System (ADS)

    Wang, Tong; Su, Wen; Fu, Yingyi; Hu, Jingbo

    2016-12-01

    In this paper, we report a facile and controllable two-step approach to produce indium tin oxide electrodes modified by copper(II) oxide nanoparticles (CuO/ITO) through ion implantation and annealing methods. After annealing treatment, the surface morphology of the CuO/ITO substrate changed remarkably and exhibited highly electroactive sites and a high specific surface area. The effects of annealing treatment on the synthesis of CuO/ITO were discussed based on various instruments' characterisations, and the possible mechanism by which CuO nanoparticles were generated was also proposed in this work. Cyclic voltammetric results indicated that CuO/ITO electrodes exhibited effective catalytic responses toward glucose in alkaline solution. Under optimal experimental conditions, the proposed CuO/ITO electrode showed sensitivity of 450.2 μA cm-2 mM-1 with a linear range of up to ∼4.4 mM and a detection limit of 0.7 μM (S/N = 3). Moreover, CuO/ITO exhibited good poison resistance, reproducibility, and stability properties.

  5. Crystal growth and annealing method and apparatus

    DOEpatents

    Gianoulakis, Steven E.; Sparrow, Robert

    2001-01-01

    A method and apparatus for producing crystals that minimizes birefringence even at large crystal sizes, and is suitable for production of CaF.sub.2 crystals. The method of the present invention comprises annealing a crystal by maintaining a minimal temperature gradient in the crystal while slowly reducing the bulk temperature of the crystal. An apparatus according to the present invention includes a thermal control system added to a crystal growth and annealing apparatus, wherein the thermal control system allows a temperature gradient during crystal growth but minimizes the temperature gradient during crystal annealing. An embodiment of the present invention comprises a secondary heater incorporated into a conventional crystal growth and annealing apparatus. The secondary heater supplies heat to minimize the temperature gradients in the crystal during the annealing process. The secondary heater can mount near the bottom of the crucible to effectively maintain appropriate temperature gradients.

  6. Mode-locking observation of a CO2 laser by intracavity plasma injection

    NASA Astrophysics Data System (ADS)

    John, P. K.; Dembinski, M.

    1980-06-01

    A TEA CO2 laser was simultaneously Q-switched and mode-locked when an underdense plasma was injected into the cavity. The plasma was produced in an electromagnetic shock tube. Plasma density and temperature were N sub e of approximately 10 to the 17th/cu cm and T sub e of approximately 2 eV, respectively. Phase perturbation of the cavity due to the time dependent plasma refractive index could account for the observed mode-locking.

  7. Thermal annealing studies of GeTe-Sb2Te3 alloys with multiple interfaces

    NASA Astrophysics Data System (ADS)

    Bragaglia, Valeria; Mio, Antonio M.; Calarco, Raffaella

    2017-08-01

    A high degree of vacancy ordering is obtained by annealing amorphous GeTe-Sb2Te3 (GST) alloys deposited on a crystalline substrate, which acts as a template for the crystallization. Under annealing the material evolves from amorphous to disordered rocksalt, to ordered rocksalt with vacancies arranged into (111) oriented layers, and finally converts into the stable trigonal phase. The role of the interface in respect to the formation of an ordered crystalline phase is studied by comparing the transformation stages of crystalline GST with and without a capping layer. The capping layer offers another crystallization interface, which harms the overall crystalline quality.

  8. Relationship of plasma N-terminal pro-brain natriuretic peptide concentrations to heart failure classification and cause of respiratory distress in dogs using a 2nd generation ELISA assay.

    PubMed

    Fox, P R; Oyama, M A; Hezzell, M J; Rush, J E; Nguyenba, T P; DeFrancesco, T C; Lehmkuhl, L B; Kellihan, H B; Bulmer, B; Gordon, S G; Cunningham, S M; MacGregor, J; Stepien, R L; Lefbom, B; Adin, D; Lamb, K

    2015-01-01

    Cardiac biomarkers provide objective data that augments clinical assessment of heart disease (HD). Determine the utility of plasma N-terminal pro-brain natriuretic peptide concentration [NT-proBNP] measured by a 2nd generation canine ELISA assay to discriminate cardiac from noncardiac respiratory distress and evaluate HD severity. Client-owned dogs (n = 291). Multicenter, cross-sectional, prospective investigation. Medical history, physical examination, echocardiography, and thoracic radiography classified 113 asymptomatic dogs (group 1, n = 39 without HD; group 2, n = 74 with HD), and 178 with respiratory distress (group 3, n = 104 respiratory disease, either with or without concurrent HD; group 4, n = 74 with congestive heart failure [CHF]). HD severity was graded using International Small Animal Cardiac Health Council (ISACHC) and ACVIM Consensus (ACVIM-HD) schemes without knowledge of [NT-proBNP] results. Receiver-operating characteristic curve analysis assessed the capacity of [NT-proBNP] to discriminate between dogs with cardiac and noncardiac respiratory distress. Multivariate general linear models containing key clinical variables tested associations between [NT-proBNP] and HD severity. Plasma [NT-proBNP] (median; IQR) was higher in CHF dogs (5,110; 2,769-8,466 pmol/L) compared to those with noncardiac respiratory distress (1,287; 672-2,704 pmol/L; P < .0001). A cut-off >2,447 pmol/L discriminated CHF from noncardiac respiratory distress (81.1% sensitivity; 73.1% specificity; area under curve, 0.84). A multivariate model comprising left atrial to aortic ratio, heart rate, left ventricular diameter, end-systole, and ACVIM-HD scheme most accurately associated average plasma [NT-proBNP] with HD severity. Plasma [NT-proBNP] was useful for discriminating CHF from noncardiac respiratory distress. Average plasma [NT-BNP] increased significantly as a function of HD severity using the ACVIM-HD classification scheme. Copyright © 2014 by the American College of

  9. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  10. Nonlinear Alfvén wave propagating in ideal MHD plasmas

    NASA Astrophysics Data System (ADS)

    Zheng, Jugao; Chen, Yinhua; Yu, Mingyang

    2016-01-01

    The behavior of nonlinear Alfvén waves propagating in ideal MHD plasmas is investigated numerically. It is found that in a one-dimensional weakly nonlinear system an Alfvén wave train can excite two longitudinal disturbances, namely an acoustic wave and a ponderomotively driven disturbance, which behave differently for β \\gt 1 and β \\lt 1, where β is the ratio of plasma-to-magnetic pressures. In a strongly nonlinear system, the Alfvén wave train is modulated and can steepen to form shocks, leading to significant dissipation due to appearance of current sheets at magnetic-pressure minima. For periodic boundary condition, we find that the Alfvén wave transfers its energy to the plasma and heats it during the shock formation. In two-dimensional systems, fast magneto-acoustic wave generation due to Alfvén wave phase mixing is considered. It is found that the process depends on the amplitude and frequency of the Alfvén waves, as well as their speed gradients and the pressure of the background plasma.

  11. Dietary flavonoids increase plasma very long-chain (n-3) fatty acids in rats.

    PubMed

    Toufektsian, Marie-Claire; Salen, Patricia; Laporte, François; Tonelli, Chiara; de Lorgeril, Michel

    2011-01-01

    Flavonoids probably contribute to the health benefits associated with the consumption of fruit and vegetables. However, the mechanisms by which they exert their effects are not fully elucidated. PUFA of the (n-3) series also have health benefits. Epidemiological and clinical studies have suggested that wine flavonoids may interact with the metabolism of (n-3) PUFA and increase their blood and cell levels. The present studies in rats were designed to assess whether flavonoids actually increase plasma levels of eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA), the main very long-chain (n-3) PUFA. Rats were fed a corn-derived anthocyanin (ACN)-rich (ACN-rich) or ACN-free diet with constant intakes of plant and marine (n-3) PUFA for 8 wk (Expt. 1). Plasma fatty acids were measured by GC. The ACN-rich diet contained ~0.24 ± 0.01 mg of ACN/g pellets. There were no significant differences between groups in the main saturated, monounsaturated, and (n-6) fatty acids. In contrast, plasma EPA and DHA were greater in the ACN-rich diet group than in the ACN-free diet group (P < 0.05). We obtained similar results in 2 subsequent experiments in which rats were administered palm oil (80 μL/d) and consumed the ACN-rich or ACN-free diet (Expt. 2) or were supplemented with fish oil (60 mg/d, providing 35 mg DHA and 12 mg EPA) and consumed the ACN-rich or ACN-free diet (Expt. 3). In both experiments, plasma EPA and DHA were significantly greater in the ACN-rich diet group. These studies demonstrate that the consumption of flavonoids increases plasma very long-chain (n-3) PUFA levels. These data confirm previous clinical and epidemiological studies and provide new insights into the health benefits of flavonoids.

  12. Deconvoluting the mechanism of microwave annealing of block copolymer thin films.

    PubMed

    Jin, Cong; Murphy, Jeffrey N; Harris, Kenneth D; Buriak, Jillian M

    2014-04-22

    The self-assembly of block copolymer (BCP) thin films is a versatile method for producing periodic nanoscale patterns with a variety of shapes. The key to attaining a desired pattern or structure is the annealing step undertaken to facilitate the reorganization of nanoscale phase-segregated domains of the BCP on a surface. Annealing BCPs on silicon substrates using a microwave oven has been shown to be very fast (seconds to minutes), both with and without contributions from solvent vapor. The mechanism of the microwave annealing process remains, however, unclear. This work endeavors to uncover the key steps that take place during microwave annealing, which enable the self-assembly process to proceed. Through the use of in situ temperature monitoring with a fiber optic temperature probe in direct contact with the sample, we have demonstrated that the silicon substrate on which the BCP film is cast is the dominant source of heating if the doping of the silicon wafer is sufficiently low. Surface temperatures as high as 240 °C are reached in under 1 min for lightly doped, high resistivity silicon wafers (n- or p-type). The influence of doping, sample size, and BCP composition was analyzed to rule out other possible mechanisms. In situ temperature monitoring of various polymer samples (PS, P2VP, PMMA, and the BCPs used here) showed that the polymers do not heat to any significant extent on their own with microwave irradiation of this frequency (2.45 GHz) and power (∼600 W). It was demonstrated that BCP annealing can be effectively carried out in 60 s on non-microwave-responsive substrates, such as highly doped silicon, indium tin oxide (ITO)-coated glass, glass, and Kapton, by placing a piece of high resistivity silicon wafer in contact with the sample-in this configuration, the silicon wafer is termed the heating element. Annealing and self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) and polystyrene-block-poly(methyl methacrylate) (PS

  13. Effect of hydrogen on Ca and Mg acceptors in GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, J.W.; Pearton, S.J.; Zolper, J.C.

    The influence of minority carrier injection on the reactivation of hydrogen passivated Mg in GaN at 175 C has been investigated in p-n junction diodes. The dissociation of the neutral MgH complexes is greatly enhanced in the presence of minority carrier and the reactivation process follows second order kinetics. Conventional annealing under zero-bias conditions does not produce Mg-H dissociation until temperatures {ge} 450 C. These results provide an explanation for the e-beam induced reactivation of Mg acceptors in hydrogenated GaN. Exposure to a hydrogen plasma at 250 C of p-type GaN (Ca) prepared by either Ca{sup +} or Ca{sup +}more » plus P{sup +} coimplantation leads to a reduction in sheet carrier density of approximately an order of magnitude (1.6 {times} 10{sup 12} cm{sup {minus}2} to 1.8 {times} 10{sup 11} cm{sup {minus}2}), and an accompanying increase in hole mobility (6 cm{sup 2}/Vs to 18 cm{sup 2}/Vs). The passivation process can be reversed by post-hydrogenation annealing at 400--500 C under a N{sub 2} ambient. This reactivation of the acceptors is characteristic of the formation of neutral (Ca-H) complexes in the GaN. The thermal stability of the passivation is similar to that of Mg-H complexes in material prepared in the same manner (implantation) with similar initial doping levels. Hydrogen passivation of acceptor dopants in GaN appears to be a ubiquitous phenomenon, as it is in other p-type semiconductors.« less

  14. Effect of annealing temperature on VO2(M)/ITO film nanomaterials for thermochromic smart windows application and study its contact angle

    NASA Astrophysics Data System (ADS)

    Shaban, Mohamed; Rabia, Mohamed; Ezzat, Sara; Mansour, Naglaa; Saeed, Ebtisam; Sayyah, Said M.

    2018-01-01

    Metastable phase VO2(B) film coated ITO glass was prepared using cyclic potentiometric device utilizing VOSO4 and H2SO4 solution. The optimum conditions for the deposition of the nanostructured VO2(B) film were determined using cathodic peak current density (Ipc) values. Ipc values increase with increasing both VOSO4 and H2SO4 concentrations and then decrease with further increasing the concentrations. Also, monoclinic phase VO2(M)/ITO film was prepared from VO2(B)/ITO film under the effect of annealing temperatures from 550°C to 750°C. Different analyses have been carried out to confirm the chemical, morphological, and crystal structure of the nanostructured VO2(M)/ITO film. From the XRD analysis, the crystallinity increases with the increasing of annealing temperature from 550°C to 750°C. The optical transmittance spectrum was ˜97% for the film annealed at 650°C. Also, the critical thermochromic temperature (Tc) of the optimized film was ˜47.5°C that measured using cooling and heating modes. Finally, the wettability of the VO2(M)/ITO film at different annealing temperature (550°C to 750°C) was studied, in which the contact angle increases from 81 deg to 92 deg with increasing annealing temperatures from 550°C to 750°C, respectively.

  15. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  16. Hydrogen passivation of poly-Si/SiO x contacts for Si solar cells using Al 2O 3 studied with deuterium

    DOE PAGES

    Schnabel, Manuel; van de Loo, Bas W. H.; Nemeth, William; ...

    2018-05-14

    Here, the interplay between hydrogenation and passivation of poly-Si/SiO x contacts to n-type Si wafers is studied using atomic layer deposited Al 2O 3 and anneals in forming gas and nitrogen. The poly-Si/SiO x stacks are prepared by thermal oxidation followed by thermal crystallization of a-Si:H films deposited by plasma-enhanced chemical vapor deposition. Implied open-circuit voltages as high as 710 mV are achieved for p-type poly-Si/SiO x contacts to n-type Si after hydrogenation. Correlating minority carrier lifetime data and secondary ion mass spectrometry profiles reveals that the main benefit of Al 2O 3 is derived from its role as amore » hydrogen source for chemically passivating defects at SiO x; Al 2O 3 layers are found to hydrogenate poly-Si/SiO x much better than a forming gas anneal. By labelling Al 2O 3 and the subsequent anneal with different hydrogen isotopes, it is found that Al 2O 3 exchanges most of its hydrogen with the ambient upon annealing at 400 °C for 1 h even though there is no significant net change in its total hydrogen content.« less

  17. Annealing effects on electrical behavior of gold nanoparticle film: Conversion of ohmic to non-ohmic conductivity

    NASA Astrophysics Data System (ADS)

    Ebrahimpour, Zeinab; Mansour, Nastaran

    2017-02-01

    -Nordheim (F-N) plots show the transition of the in-plane charge transport mechanism from direct tunneling to field emission in annealed films. Our results suggest that, the formation of a thin layer of Au2O3 , the proximity of the nanoparticles as well as their higher filling fraction are important parameters related with the tunneling process enhancement. The observed non-ohmic conductivity property can make these self-assembled gold nanoparticle films very useful structures in different applications such as sensing, resistors and other nanoelectronic applications.

  18. Annealing effect of fluorine-doped SnO2/WO3 core-shell inverse opal nanoarchitecture for photoelectrochemical water splitting

    NASA Astrophysics Data System (ADS)

    Cho, Seo Yoon; Kang, Soon Hyung; Yun, Gun; Balamurugan, Maheswari; Ahn, Kwang-Soon

    2017-01-01

    Fluorine-doped SnO2 inverse opal (FTO IO) was developed on a polystyrene bead template with a size of 350 nm (± 20 nm) by using the sol-gel-assisted spin-coating method. The resulting FTO IO film exhibited a pore diameter of 270 nm (± 5 nm), and a WO3 layer was electrodeposited with a constant charge of 400 mC/cm2, followed by a high-temperature annealing process (400, 475, and 550 °C) to increase the crystallinity of the IO films. The annealing temperature affected the morphology and the overall resistance of the thin film, thus significantly affecting the photoelectrochemical performance. In particular, the FTO/WO3 IO film annealed at 475 °C exhibited a photocurrent density of 2.9 mA/cm2 at 1.23 V versus normal hydrogen electrode, showing more than a three times higher photocurrent density in comparison with the other samples (550 °C), which is attributed to the large surface area and low resistance for the charge transport. Therefore, the annealing temperature significantly affects the morphological and the photoelectrochemical features of the FTO/WO3 IO films.

  19. Electrically conductive ZnO/GaN distributed Bragg reflectors grown by hybrid plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Hjort, Filip; Hashemi, Ehsan; Adolph, David; Ive, Tommy; Haglund, Àsa

    2017-02-01

    III-nitride-based vertical-cavity surface-emitting lasers have so far used intracavity contacting schemes since electrically conductive distributed Bragg reflectors (DBRs) have been difficult to achieve. A promising material combination for conductive DBRs is ZnO/GaN due to the small conduction band offset and ease of n-type doping. In addition, this combination offers a small lattice mismatch and high refractive index contrast, which could yield a mirror with a broad stopband and a high peak reflectivity using less than 20 DBR-pairs. A crack-free ZnO/GaN DBR was grown by hybrid plasma-assisted molecular beam epitaxy. The ZnO layers were approximately 20 nm thick and had an electron concentration of 1×1019 cm-3, while the GaN layers were 80-110 nm thick with an electron concentration of 1.8×1018 cm-3. In order to measure the resistance, mesa structures were formed by dry etching through the top 3 DBR-pairs and depositing non-annealed Al contacts on the GaN-layers at the top and next to the mesas. The measured specific series resistance was dominated by the lateral and contact contributions and gave an upper limit of 10-3Ωcm2 for the vertical resistance. Simulations show that the ZnO electron concentration and the cancellation of piezoelectric and spontaneous polarization in strained ZnO have a large impact on the vertical resistance and that it could be orders of magnitudes lower than what was measured. This is the first report on electrically conductive ZnO/GaN DBRs and the upper limit of the resistance reported here is close to the lowest values reported for III-nitride-based DBRs.

  20. Comprehensive sets of 124Xe(n ,γ )125Xe and 124Xe(n ,2 n )123Xe cross-section data for assessment of inertial-confinement deuterium-tritium fusion plasma

    NASA Astrophysics Data System (ADS)

    Bhike, Megha; Fallin, B.; Gooden, M. E.; Ludin, N.; Tornow, W.

    2015-01-01

    Measurements of the neutron radiative-capture cross section of 124Xe have been performed for the first time for neutron energies above 100 keV. In addition, data for the 124Xe(n ,2 n )123Xe reaction cross section have been obtained from threshold to 14.8 MeV to cover the entire energy range of interest, while previous data existed only at around 14 MeV. The results of these measurements provide the basis for an alternative and sensitive diagnostic tool for investigating properties of the inertial confinement fusion plasma in deuterium-tritium (DT) capsules at the National Ignition Facility located at Lawrence Livermore National Laboratory. Here, areal density ρ R (density × radius) of the fuel, burn asymmetry, and fuel-ablator mix are of special interest. The 124Xe(n ,γ )125Xe reaction probes the down-scattered neutrons, while the 124Xe(n ,2 n )123Xe reaction provides a measure of the 14 MeV direct neutrons.

  1. Experimental evidence of trap level modulation in silicon nitride thin films by hydrogen annealing

    NASA Astrophysics Data System (ADS)

    Seki, Harumi; Kamimuta, Yuuichi; Mitani, Yuichiro

    2018-06-01

    The energy level of electron traps in silicon nitride (SiN x ) thin films was investigated by discharging current transient spectroscopy (DCTS). Results indicate that the trap level of the SiN x thin films becomes deeper with decreasing composition (N/Si) and shallower after hydrogen annealing. The dependence of the trap level on the SiN x composition and the modulation of the trap level by hydrogen annealing are possibly related to the change in the number of Si–H bonds in the SiN x thin films.

  2. N = 2* Yang-Mills on the Lattice

    NASA Astrophysics Data System (ADS)

    Joseph, Anosh

    2018-03-01

    The N = 2* Yang-Mills theory in four dimensions is a non-conformal theory that appears as a mass deformation of maximally supersymmetric N = 4 Yang-Mills theory. This theory also takes part in the AdS/CFT correspondence and its gravity dual is type IIB supergravity on the Pilch-Warner background. The finite temperature properties of this theory have been studied recently in the literature. It has been argued that at large N and strong coupling this theory exhibits no thermal phase transition at any nonzero temperature. The low temperature N = 2* plasma can be compared to the QCD plasma. We provide a lattice construction of N = 2* Yang-Mills on a hypercubic lattice starting from the N = 4 gauge theory. The lattice construction is local, gauge-invariant, free from fermion doubling problem and preserves a part of the supersymmetry. This nonperturbative formulation of the theory can be used to provide a highly nontrivial check of the AdS/CFT correspondence in a non-conformal theory.

  3. Effect of Annealing Processes on Cu-Zr Alloy Film for Copper Metallization

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Li, Fu-yin; Tang, Bin-han

    2017-12-01

    The effect of two different annealing processes on the microstructure and barrier-forming ability of Cu-Zr alloy films has been investigated. Cu-Zr alloy films were deposited directly onto SiO2/Si substrates via direct current magnetron sputtering and subsequently annealed by the vacuum annealing process (VAP) or rapid annealing process under argon atmosphere at temperatures 350°C, 450°C, and 550°C. Then, the microstructure, interface characteristics, and electrical properties of the samples were measured. After annealing, the samples showed a preferential (111) crystal orientation, independent of the annealing process. After two annealing methods, Zr aggregated at the Cu-Zr/SiO2 interface and no serious interdiffusion occurred between Cu and Si. The leakage current measurements revealed that the samples annealed by VAP show a higher reliability. According to the results, the vacuum annealing has better barrier performance than the rapid annealing when used for the fabrication of Cu-based interconnects.

  4. Ultra-doped n-type germanium thin films for sensing in the mid-infrared

    PubMed Central

    Prucnal, Slawomir; Liu, Fang; Voelskow, Matthias; Vines, Lasse; Rebohle, Lars; Lang, Denny; Berencén, Yonder; Andric, Stefan; Boettger, Roman; Helm, Manfred; Zhou, Shengqiang; Skorupa, Wolfgang

    2016-01-01

    A key milestone for the next generation of high-performance multifunctional microelectronic devices is the monolithic integration of high-mobility materials with Si technology. The use of Ge instead of Si as a basic material in nanoelectronics would need homogeneous p- and n-type doping with high carrier densities. Here we use ion implantation followed by rear side flash-lamp annealing (r-FLA) for the fabrication of heavily doped n-type Ge with high mobility. This approach, in contrast to conventional annealing procedures, leads to the full recrystallization of Ge films and high P activation. In this way single crystalline Ge thin films free of defects with maximum attained carrier concentrations of 2.20 ± 0.11 × 1020 cm−3 and carrier mobilities above 260 cm2/(V·s) were obtained. The obtained ultra-doped Ge films display a room-temperature plasma frequency above 1,850 cm−1, which enables to exploit the plasmonic properties of Ge for sensing in the mid-infrared spectral range. PMID:27282547

  5. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  6. Improved perovskite phototransistor prepared using multi-step annealing method

    NASA Astrophysics Data System (ADS)

    Cao, Mingxuan; Zhang, Yating; Yu, Yu; Yao, Jianquan

    2018-02-01

    Organic-inorganic hybrid perovskites with good intrinsic physical properties have received substantial interest for solar cell and optoelectronic applications. However, perovskite film always suffers from a low carrier mobility due to its structural imperfection including sharp grain boundaries and pinholes, restricting their device performance and application potential. Here we demonstrate a straightforward strategy based on multi-step annealing process to improve the performance of perovskite photodetector. Annealing temperature and duration greatly affects the surface morphology and optoelectrical properties of perovskites which determines the device property of phototransistor. The perovskite films treated with multi-step annealing method tend to form highly uniform, well-crystallized and high surface coverage perovskite film, which exhibit stronger ultraviolet-visible absorption and photoluminescence spectrum compare to the perovskites prepared by conventional one-step annealing process. The field-effect mobilities of perovskite photodetector treated by one-step direct annealing method shows mobility as 0.121 (0.062) cm2V-1s-1 for holes (electrons), which increases to 1.01 (0.54) cm2V-1s-1 for that treated with muti-step slow annealing method. Moreover, the perovskite phototransistors exhibit a fast photoresponse speed of 78 μs. In general, this work focuses on the influence of annealing methods on perovskite phototransistor, instead of obtains best parameters of it. These findings prove that Multi-step annealing methods is feasible to prepared high performance based photodetector.

  7. Evolution of secondary-phase precipitates during annealing of the 12Kh18N9T steel irradiated with neutrons to a dose of 5 DPA

    NASA Astrophysics Data System (ADS)

    Tsai, K. V.; Maksimkin, O. P.; Turubarova, L. G.

    2007-03-01

    The formation and evolution of thermally-induced secondary precipitates in an austenitic stainless steel 12Kh18N9T irradiated in the core of a laboratory reactor VVR-K to a dose of 5 dpa and subjected to post-radiation isochronous annealings for 1 h in a temperature range from 450 to 1050°C have been studied using transmission electron microscopy (TEM) and microhardness measurements. It has been shown that the formation of stitch (secondary) titanium carbides and M 23C6 carbides at grain and twin boundaries after annealing at 1050°C is preceded by a complex evolution of fineparticles of secondary phases (titanium carbides and nitrides) precipitated at dislocation loops and dislocations during annealing at temperatures above 750°C.

  8. Experimental study of NO2 reduction in N2/Ar and O2/Ar mixtures by pulsed corona discharge.

    PubMed

    Zhu, Xinbo; Zheng, Chenghang; Gao, Xiang; Shen, Xu; Wang, Zhihua; Luo, Zhongyang; Cen, Kefa

    2014-11-01

    Non-thermal plasma technology has been regarded as a promising alternative technology for NOx removal. The understanding of NO2 reduction characteristics is extremely important since NO2 reduction could lower the total NO oxidation rate in the plasma atmosphere. In this study, NO2 reduction was experimentally investigated using a non-thermal plasma reactor driven by a pulsed power supply for different simulated gas compositions and operating parameters. The NO2 reduction was promoted by increasing the specific energy density (SED), and the highest conversion rates were 33.7%, 42.1% and 25.7% for Ar, N2/Ar and O2/Ar, respectively. For a given SED, the NO2 conversion rate had the order N2/Ar>Ar>O2/Ar. The highest energy yield of 3.31g/kWh was obtained in N2/Ar plasma and decreased with increasing SED; the same trends were also found in the other two gas compositions. The conversion rate decreased with increasing initial NO2 concentration. Furthermore, the presence of N2 or O2 led to different reaction pathways for NO2 conversion due to the formation of different dominating reactive radicals. Copyright © 2014. Published by Elsevier B.V.

  9. Impact of annealing temperature on the mechanical and electrical properties of sputtered aluminum nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gillinger, M.; Schneider, M.; Bittner, A.

    2015-02-14

    Aluminium nitride (AlN) is a promising material for challenging sensor applications such as process monitoring in harsh environments (e.g., turbine exhaust), due to its piezoelectric properties, its high temperature stability and good thermal match to silicon. Basically, the operational temperature of piezoelectric materials is limited by the increase of the leakage current as well as by enhanced diffusion effects in the material at elevated temperatures. This work focuses on the characterization of aluminum nitride thin films after post deposition annealings up to temperatures of 1000 °C in harsh environments. For this purpose, thin film samples were temperature loaded for 2 hmore » in pure nitrogen and oxygen gas atmospheres and characterized with respect to the film stress and the leakage current behaviour. The X-ray diffraction results show that AlN thin films are chemically stable in oxygen atmospheres for 2 h at annealing temperatures of up to 900 °C. At 1000 °C, a 100 nm thick AlN layer oxidizes completely. For nitrogen, the layer is stable up to 1000 °C. The activation energy of the samples was determined from leakage current measurements at different sample temperatures, in the range between 25 and 300 °C. Up to an annealing temperature of 700 °C, the leakage current in the thin film is dominated by Poole-Frenkel behavior, while at higher annealing temperatures, a mixture of different leakage current mechanisms is observed.« less

  10. Laser annealing of ion implanted CZ silicon for solar cell junction formation

    NASA Technical Reports Server (NTRS)

    Katzeff, J. S.

    1981-01-01

    The merits of large spot size pulsed laser annealing of phosphorus implanted, Czochralski grown silicon for function formation of solar cells are evaluated. The feasibility and requirements are also determined to scale-up a laser system to anneal 7.62 cm diameter wafers at a rate of one wafer/second. Results show that laser annealing yields active, defect-free, shallow junction devices. Functional cells with AM 1 conversion efficiencies up to 15.4% for 2 x 2 cm and 2 x 4 cm sizes were attained. For larger cells, 7.62 cm dia., conversion efficiencies ranged up to 14.5%. Experiments showed that texture etched surfaces are not compatible with pulsed laser annealing due to the surface melting caused by the laser energy. When compared with furnace annealed cells, the laser annealed cells generally exhibited conversion efficiencies which were equal to or better than those furnace annealed. In addition, laser annealing has greater throughput potential.

  11. Photothermal deflection technique investigation of annealing temperature and time effects on optical and thermal conductivity of V/V2O5 alternating layers structure

    NASA Astrophysics Data System (ADS)

    Khalfaoui, A.; Ilahi, S.; Abdel-Rahman, M.; Zia, M. F.; Alduraibi, M.; Ilahi, B.; Yacoubi, N.

    2017-10-01

    The VxOy material is fabricated by alternating multilayer of V/V2O5. Two sets of VxOy are presented annealed at 300 °C and 400 °C for 20, 30 and 40 min. We have determined optical absorption spectra of the two sets by comparison between experimental and theoretical PDS amplitude signal. In fact, a variation of the bandgap energy from 2.34eV to 2.49 eV has found for both set annealed at 300 °C and 400 °C for various annealing time. The variation of bandgap energy is discussed testifying a structural and compositional change. Moreover, thermal conductivity of the set annealed at 400 °C showed a variation from 1.96 W/m K to 6.2 W/m K noting a decrease up to 2.89 W/m K for that annealed for 30 min.

  12. Vapor annealing synthesis of non-epitaxial MgB2 films on glassy carbon

    NASA Astrophysics Data System (ADS)

    Baker, A. A.; Bayu Aji, L. B.; Bae, J. H.; Stavrou, E.; Steich, D. J.; McCall, S. K.; Kucheyev, S. O.

    2018-05-01

    We describe the fabrication and characterization of 25–800 nm thick MgB2 films on glassy carbon substrates by Mg vapor annealing of sputter-deposited amorphous B films. Results demonstrate a critical role of both the initial B film thickness and the temperature–time profile on the microstructure, elemental composition, and superconducting properties of the resultant MgB2 films. Films with thicknesses of 55 nm and below exhibit a smooth surface, with a roughness of 1.1 nm, while thicker films have surface morphology consisting of elongated nano-crystallites. The suppression of the superconducting transition temperature for thin films scales linearly with the oxygen impurity concentration and also correlates with the amount of lattice disorder probed by Raman scattering. The best results are obtained by a rapid (12 min) anneal at 850 °C with large temperature ramp and cooling rates of ∼540 °C min‑1. Such fast processing suppresses the deleterious oxygen uptake.

  13. Structural Characterization Studies on Semiconducting ZnSnN 2 Films using Synchrotron X-ray Diffraction

    NASA Astrophysics Data System (ADS)

    Senabulya, Nancy

    This work is motivated by the need for new visible frequency direct bandgap semiconductor materials that are earth abundant and low-cost to meet the increasing demand for optoelectronic device applications such as solid state lighting and photovoltaics. Zinc-Tin-Nitride (ZnSnN2), a member of the II-IV nitride semiconductor family has been proposed as an alternative to the more common III-nitride semiconductors for use in optoelectronic devices. This material has been synthesized under optimized conditions using plasma assisted molecular beam epitaxy. Though a lot of research has recently been done computationally to predict the electronic and structural properties of ZnSnN2, experimental verification of these theories in single crystal thin films is lacking and warrants investigation because the accurate determination of the crystal structure of ZnSnN2 is a fundamental prerequisite for controlling and optimizing optoelectronic properties. In this synchrotron x-ray diffraction study, we present experimental validation, through unit cell refinement and 3d reciprocal space maps, of the crystal structure of single domain ZnSnN2 films deposited on (111) Yttria stabilized zirconia (YSZ) and (001) Lithium gallate (LGO) substrates. We find that ZnSnN2 films grown on (111) YSZ can attain both the theoretically predicted disordered wurtzite and ordered orthorhombic Pna21 structures under carefully controlled MBE growth conditions, while films grown on (001) LGO have the ordered Pn21a orthorhombic crystal structure. Through a systematic annealing study, a temperature induced first order structural phase transition from the wurtzite to orthorhombic phase is realized, characterized by the appearance of superstructure reflections in.

  14. Improvement of band gap profile in Cu(InGa)Se{sub 2} solar cells through rapid thermal annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, D.S.; College of Mathematics and Physics, Shanghai University of Electric Power, Shanghai, 200090; Yang, J.

    Highlights: • Proper RTA treatment can effectively optimize band gap profile to more expected level. • Inter-diffusion of atoms account for the improvement of the graded band gap profile. • The variation of the band gap profile created an absolute gain in the efficiency by 1.22%. - Abstract: In the paper, the effect of rapid thermal annealing on non-optimal double-graded band gap profiles was investigated by using X-ray photoelectron spectroscopy and capacitance–voltage measurement techniques. Experimental results revealed that proper rapid thermal annealing treatment can effectively improve band gap profile to more optimal level. The annealing treatment could not only reducemore » the values of front band gap and minimum band gap, but also shift the position of the minimum band gap toward front electrode and enter into space charge region. In addition, the thickness of Cu(InGa)Se{sub 2} thin film decreased by 25 nm after rapid thermal annealing treatment. All of these modifications were attributed to the inter-diffusion of atoms during thermal treatment process. Simultaneously, the variation of the band gap profile created an absolute gain in the efficiency by 1.22%, short-circuit current density by 2.16 mA/cm{sup 2} and filled factor by 3.57%.« less

  15. Microstructure evolution and large magnetocaloric effect of La0.8Ce0.2(Fe0.95Co0.05)11.8Si1.2 alloy prepared by strip-casting and annealing

    NASA Astrophysics Data System (ADS)

    Zhong, X. C.; Feng, X. L.; Huang, J. H.; Zhang, H.; Huang, Y. L.; Liu, Z. W.; Jiao, D. L.

    2018-04-01

    The microstructure and magnetocaloric effect of the La0.8Ce0.2(Fe0.95Co0.05)11.8Si1.2 strip-cast flakes annealed between 1273K and 1423K for different time have been investigated. For the flakes annealed for 2h from 1273K to 1423K, the shape and distribution of α-Fe, La-rich and NaZn13-type 1:13 phases are quite sensitive to the annealing temperature. Especially, at a high annealing temperature of 1423K, the 1:13 phase began to decompose into macroscopic α-Fe conglomerations and La-rich dendrites. With the increase of annealing time from 0 to 12h at 1323K, the amount of 1:13 phase increased significantly and reached ˜93.50 wt.% at 12h. However, an overlong annealing time also led to 1:13 phase decomposition and influenced the magnetic performance. For the flakes annealed at 1323K for 12h, large magnetic entropy change value of 18.12Jkg-1K-1 at 5T has been obtained. The present results indicate that strip casting method can potentially be used in mass production of high performance magnetocaloric materials.

  16. Elimination of columnar microstructure in N-face InAlN, lattice-matched to GaN, grown by plasma-assisted molecular beam epitaxy in the N-rich regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmadi, Elaheh; Wienecke, Steven; Keller, Stacia

    2014-02-17

    The microstructure of N-face InAlN layers, lattice-matched to GaN, was investigated by scanning transmission electron microscopy and atom probe tomography. These layers were grown by plasma-assisted molecular beam epitaxy (PAMBE) in the N-rich regime. Microstructural analysis shows an absence of the lateral composition modulation that was previously observed in InAlN films grown by PAMBE. A room temperature two-dimensional electron gas (2DEG) mobility of 1100 cm{sup 2}/V s and 2DEG sheet charge density of 1.9 × 10{sup 13} cm{sup −2} was measured for N-face GaN/AlN/GaN/InAlN high-electron-mobility transistors with lattice-matched InAlN back barriers.

  17. Photocatalytic decomposition of N2O over TiO2/g-C3N4 photocatalysts heterojunction

    NASA Astrophysics Data System (ADS)

    Kočí, K.; Reli, M.; Troppová, I.; Šihor, M.; Kupková, J.; Kustrowski, P.; Praus, P.

    2017-02-01

    TiO2/g-C3N4 photocatalysts with the various TiO2/g-C3N4 weight ratios from 1:2 to 1:6 were fabricated by mechanical mixing in water suspension followed by calcination. Pure TiO2 was prepared by thermal hydrolysis and pure g-C3N4 was prepared from commercial melamine by thermal annealing at 620 °C. All the nanocomposites were characterized by X-ray powder diffraction, UV-vis diffuse reflectance spectroscopy, Raman spectroscopy, infrared spectroscopy, scanning electron microscopy, transmission electron microscopy, photoelectrochemical measurements and nitrogen physisorption. The prepared mixtures along with pure TiO2 and g-C3N4 were tested for the photocatalytic decomposition of nitrous oxide under UVC (λ = 254 nm), UVA (λ = 365 nm) and Vis (λ > 400 nm) irradiation. The TiO2/g-C3N4 nanocomposites showed moderate improvement compared to pure g-C3N4 but pure TiO2 proved to be a better photocatalyst under UVC irradiation. However, under UVA irradiation conditions, the photocatalytic activity of TiO2/g-C3N4 (1:2) nanocomposite exhibited an increase compared to pure TiO2. Nevertheless, further increase of g-C3N4 amount leads/led to a decrease in reactivity. These results are suggesting the nanocomposite with the optimal weight ratio of TiO2 and g-C3N4 have shifted absorption edge energy towards longer wavelengths and decreased the recombination rate of charge carriers compared to pure g-C3N4. This is probably due to the generation of heterojunction on the TiO2/g-C3N4 interface.

  18. Study of low resistivity and high work function ITO films prepared by oxygen flow rates and N2O plasma treatment for amorphous/crystalline silicon heterojunction solar cells.

    PubMed

    Hussain, Shahzada Qamar; Oh, Woong-Kyo; Kim, Sunbo; Ahn, Shihyun; Le, Anh Huy Tuan; Park, Hyeongsik; Lee, Youngseok; Dao, Vinh Ai; Velumani, S; Yi, Junsin

    2014-12-01

    Pulsed DC magnetron sputtered indium tin oxide (ITO) films deposited on glass substrates with lowest resistivity of 2.62 x 10(-4) Ω x cm and high transmittance of about 89% in the visible wavelength region. We report the enhancement of ITO work function (Φ(ITO)) by the variation of oxygen (O2) flow rate and N2O surface plasma treatment. The Φ(ITO) increased from 4.43 to 4.56 eV with the increase in O2 flow rate from 0 to 4 sccm while surface treatment of N2O plasma further enhanced the ITO work function to 4.65 eV. The crystallinity of the ITO films improved with increasing O2 flow rate, as revealed by XRD analysis. The ITO work function was increased by the interfacial dipole resulting from the surface rich in O- ions and by the dipole moment formed at the ITO surface during N2O plasma treatment. The ITO films with high work functions can be used to modify the front barrier height in heterojunction with intrinsic thin layer (HIT) solar cells.

  19. The effect of annealing on structural and optical properties of α-Fe2O3/CdS/α-Fe2O3 multilayer heterostructures

    NASA Astrophysics Data System (ADS)

    Saleem, M.; Durrani, S. M. A.; Saheb, N.; Al-Kuhaili, M. F.; Bakhtiari, I. A.

    2014-11-01

    Multilayered thin film heterostructures of α-Fe2O3/CdS/α-Fe2O3 were prepared through physical vapor deposition. Each α-Fe2O3 layer was deposited by e-beam evaporation of iron in an oxygen atmosphere. The CdS layer was deposited by thermal evaporation in a vacuum. The effect of post annealing of multilayered thin films in air in the temperature range 250 °C to 450 °C was investigated. Structural characterization indicated the growth of the α-Fe2O3 phase with a polycrystalline structure without any CdS crystalline phase. As-deposited multilayer heterostructures were amorphous and transformed into polycrystalline upon annealing. The surface modification of the films during annealing was revealed by scanning electron microscopy. Spectrophotometric measurements were used to determine the optical properties, including the transmittance, absorbance, and band gap. All the films had both direct as well as indirect band gaps.

  20. Activation of acceptor levels in Mn implanted Si by pulsed laser annealing

    NASA Astrophysics Data System (ADS)

    Li, Lin; Bürger, Danilo; Shalimov, Artem; Kovacs, Gy J.; Schmidt, Heidemarie; Zhou, Shengqiang

    2018-04-01

    In this paper, we report the magnetic and electrical properties of Mn implanted nearly intrinsic Si wafers after subsecond thermal treatment. Activation of acceptors is realized in pulsed laser annealing (PLA) films with a free hole concentration of 6.29  ×  1020 cm‑3 while the sample annealed by rapid thermal annealing (RTA) shows n-type conductivity with a much smaller free electron concentration in the order of 1015 cm‑3. Ferromagnetism is probed for all films by a SQUID magnetometer at low temperatures. The formation of ferromagnetic MnSi1.7 nanoparticles which was proven in RTA films can be excluded in Mn implanted Si annealed by PLA.