Sample records for n2o plasma treatment

  1. Effect of Nano-Si3N4 Additives and Plasma Treatment on the Dry Sliding Wear Behavior of Plasma Sprayed Al2O3-8YSZ Ceramic Coatings

    NASA Astrophysics Data System (ADS)

    Gou, Junfeng; Zhang, Jian; Zhang, Qiwen; Wang, You; Wang, Chaohui

    2017-04-01

    In this paper, the effect of nano-Si3N4 additives and plasma treatment on the wear behavior of Al2O3-8YSZ ceramic coatings was studied. Nano-Al2O3, nano-8YSZ (8 wt.% Y2O3-stabilized ZrO2) and nano-Si3N4 powders were used as raw materials to fabricate four types of sprayable feedstocks. Plasma treatment was used to improve the properties of the feedstocks. The surface morphologies of the ceramic coatings were observed. The mechanical properties of the ceramic coatings were measured. The dry sliding wear behavior of the Al2O3-8YSZ coatings with and without Si3N4 additives was studied. Nano-Si3N4 additives and plasma treatment can improve the morphologies of the coatings by prohibiting the initiation of micro-cracks and reducing the unmelted particles. The hardness and bonding strength of AZSP (Al2O3-18 wt.% 8YSZ-10 wt.% Si3N4-plasma treatment) coating increased by 79.2 and 44% compared to those of AZ (Al2O3-20 wt.% 8YSZ) coating. The porosity of AZSP coating decreased by 85.4% compared to that of AZ coating. The wear test results showed that the addition of nano-Si3N4 and plasma treatment could improve the wear resistance of Al2O3-8YSZ coatings.

  2. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  3. Non-equilibrium nitrogen DC-arc plasma treatment of TiO2 nanopowder.

    PubMed

    Suzuki, Yoshikazu; Gonzalez-Aguilar, José; Traisnel, Noel; Berger, Marie-Hélène; Repoux, Monique; Fulcheri, Laurent

    2009-01-01

    Non-equilibrium nitrogen DC-arc plasma treatment of a commercial TiO2 anatase nanopowder was examined to obtain nitrogen-doped TiO2. By using a non-thermal discharge at low current (150 mA) and high voltage (1200 V) using pure N2 gas, light yellowish-gray TiO2 powder was successfully obtained within a short period of 5-10 min. XPS and TEM-EELS studies confirmed the existence of doped nitrogen. Due to the relatively mild conditions (plasma power of 180 W), metastable anatase structure and fine crystallite size of TiO2 (ca. 10 nm) were maintained after the plasma treatment. The in-flight powder treatment system used in this study is promising for various type of powder treatment.

  4. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR <- 3.5 V), both of the two samples show good agreement with the surface leakage mechanism. The leakage current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  5. Study of low resistivity and high work function ITO films prepared by oxygen flow rates and N2O plasma treatment for amorphous/crystalline silicon heterojunction solar cells.

    PubMed

    Hussain, Shahzada Qamar; Oh, Woong-Kyo; Kim, Sunbo; Ahn, Shihyun; Le, Anh Huy Tuan; Park, Hyeongsik; Lee, Youngseok; Dao, Vinh Ai; Velumani, S; Yi, Junsin

    2014-12-01

    Pulsed DC magnetron sputtered indium tin oxide (ITO) films deposited on glass substrates with lowest resistivity of 2.62 x 10(-4) Ω x cm and high transmittance of about 89% in the visible wavelength region. We report the enhancement of ITO work function (Φ(ITO)) by the variation of oxygen (O2) flow rate and N2O surface plasma treatment. The Φ(ITO) increased from 4.43 to 4.56 eV with the increase in O2 flow rate from 0 to 4 sccm while surface treatment of N2O plasma further enhanced the ITO work function to 4.65 eV. The crystallinity of the ITO films improved with increasing O2 flow rate, as revealed by XRD analysis. The ITO work function was increased by the interfacial dipole resulting from the surface rich in O- ions and by the dipole moment formed at the ITO surface during N2O plasma treatment. The ITO films with high work functions can be used to modify the front barrier height in heterojunction with intrinsic thin layer (HIT) solar cells.

  6. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  7. Plasma treatment of p-GaN/n-ZnO nanorod light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Leung, Yu Hang; Ng, Alan M. C.; Djurišic, Aleksandra B.; Chan, Wai Kin; Fong, Patrick W. K.; Lui, Hsien Fai; Surya, Charles

    2014-03-01

    Zinc oxide (ZnO) is a material of great interest for short-wavelength optoelectronic applications due to its wide band gap (3.37 eV) and high exciton binding energy (60 meV). Due to the difficulty in stable p-type doping of ZnO, other p-type materials such as gallium nitride (GaN) have been used to form heterojunctions with ZnO. p-GaN/n-ZnO heterojunction devices, in particular light-emitting diodes (LED) have been extensively studied. There was a huge variety of electronic properties and emission colors on the reported devices. It is due to the different energy alignment at the interface caused by different properties of the GaN layer and ZnO counterpart in the junction. Attempts have been made on modifying the heterojunction by various methods, such as introducing a dielectric interlayer and post-growth surface treatment, and changing the growth methods of ZnO. In this study, heterojunction LED devices with p-GaN and ZnO nanorods array are demonstrated. The ZnO nanorods were grown by a solution method. The ZnO nanorods were exposed to different kinds of plasma treatments (such as nitrogen and oxygen) after the growth. It was found that the treatment could cause significant change on the optical properties of the ZnO nanorods, as well as the electronic properties and light emissions of the resultant LED devices.

  8. Production of simplex RNS and ROS by nanosecond pulse N2/O2 plasma jets with homogeneous shielding gas for inducing myeloma cell apoptosis

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Xu, Dehui; Liu, Dingxin; Cui, Qingjie; Cai, Haifeng; Li, Qiaosong; Chen, Hailan; Kong, Michael G.

    2017-05-01

    In this paper, atmospheric pressure N2/O2 plasma jets with homogeneous shielding gas excited by nanosecond pulse are obtained to generate simplex reactive nitrogen species (RNS) and reactive oxygen species (ROS), respectively, for the purpose of studying the simplex RNS and ROS to induce the myeloma cell apoptosis with the same discharge power. The results reveal that the cell death rate by the N2 plasma jet with N2 shielding gas is about two times that of the O2 plasma jet with O2 shielding gas for the equivalent treatment time. By diagnosing the reactive species of ONOO-, H2O2, OH and \\text{O}2- in medium, our findings suggest the cell death rate after plasma jets treatment has a positive correlation with the concentration of ONOO-. Therefore, the ONOO- in medium is thought to play an important role in the process of inducing myeloma cell apoptosis.

  9. AC Glow Discharge Plasma in N2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yousif, F. B.; Martinez, H.; Robledo-Martinez, A.

    2006-12-04

    This paper considers the optical and electrical characterization of AC glow discharge plasma in the abnormal glow mode used for optical emission spectroscopy. The total discharge current and applied voltage are measured using conventional techniques. The electrical characteristics of the planer-cathode glow discharge confirmed that the plasma is operating at abnormal discharge mode characterized by the increases in the operating voltage as the current was raised under given pressure. Optical emission spectroscopy was used to determine the main emission lines of the glow discharge plasma of N2O at pressures between 0.5 and 4.0 Torr. It shows that the discharge emissionmore » range is mainly within 300-400 nm. The emission lines correspond to NO, O2, and O{sub 2}{sup +} are the dominant lines in the glow discharge plasma in the present study. Intensity of the emission lines show linear increase with the discharge current up to 0.4 A followed by saturation at higher currents. No emission lines were observed in this work corresponding to atomic oxygen or nitrogen.« less

  10. Plasma sterilization of Geobacillus Stearothermophilus by O{mathsf2}:N{mathsf2} RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Sasaki, T.; Rossi, F.

    2006-05-01

    The aim of this work is to identify the main process responsible for sterilization of Geobacillus Stearothermophilus spores in O{2}:N{2} RF inductively coupled plasma. In order to meet this objective the sterilization efficiencies of discharges in mixtures differing in the initial O{2}/N{2} ratios are compared with plasma properties and with scanning electron microscopy images of treated spores. According to the obtained results it can be concluded that under our experimental conditions the time needed to reach complete sterilization is more related to O atom density than UV radiation intensity, i.e. complete sterilization is not related only to DNA damage as in UV sterilization but more likely to the etching of the spore.

  11. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    NASA Astrophysics Data System (ADS)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  12. Characteristics of surface-wave plasma with air-simulated N2 O2 gas mixture for low-temperature sterilization

    NASA Astrophysics Data System (ADS)

    Xu, L.; Nonaka, H.; Zhou, H. Y.; Ogino, A.; Nagata, T.; Koide, Y.; Nanko, S.; Kurawaki, I.; Nagatsu, M.

    2007-02-01

    Sterilization experiments using low-pressure air discharge plasma sustained by the 2.45 GHz surface-wave have been carried out. Geobacillus stearothermoplilus spores having a population of 3.0 × 106 were sterilized for only 3 min using air-simulated N2-O2 mixture gas discharge plasma, faster than the cases of pure O2 or pure N2 discharge plasmas. From the SEM analysis of plasma-irradiated spores and optical emission spectroscopy measurements of the plasmas, it has been found that the possible sterilization mechanisms of air-simulated plasma are the chemical etching effect due to the oxygen radicals and UV emission from the N2 molecules and NO radicals in the wavelength range 200-400 nm. Experiment suggested that UV emission in the wavelength range less than 200 nm might not be significant in the sterilization. The UV intensity at 237.0 nm originated from the NO γ system (A 2Σ+ → X 2Π) in N2-O2 plasma as a function of the O2 percentage added to N2-O2 mixture gas has been investigated. It achieved its maximum value when the O2 percentage was roughly 10-20%. This result suggests that air can be used as a discharge gas for sterilization, and indeed we have confirmed a rapid sterilization with the actual air discharge at a sample temperature of less than 65 °C.

  13. How Does Plasma Activated Media Treatment Differ From Direct Cold Plasma Treatment.

    PubMed

    Attri, Pankaj; Park, Ji Hoon; Ali, Anser; Choi, Eun Ha

    2018-04-06

    The aim of the paper is to investigate the optimum condition for generation of plasma activated media (PAM), where it can deactivate the cancer cells while minimum damage for normal cells. Over past few years, cold atmospheric plasma-activated media (PAM) have shown its promising application in plasma medicine for treatment of cancer. PAM has a tremendous ability for selective anti-cancer capacity in vitro and in vivo. We have analyzed the radicals in air using the optical emission spectroscopy and in culture media using chemical analysis. Further, we have tested the toxicity of PAM using MTT assay. We observed that more cancer cell death is for the Ar plasma followed by the Ar-N2 plasma, and the least cell death was observed for the Ar-O2 plasma at all treatment times both by direct treatment and through PAM treatment. The concentration of the RNS species is high for Ar-N2 plasma in gas as well as inside the culture media compared to that for pure Ar plasma. However, the difference is significantly less between the Ar plasma treatments and the Ar-N2 plasma treatments, showing that ROS is the main factor contributing to cell death. Among all three feeding gas plasmas the best system is Ar-O2 plasma for direct treatments towards the cancer cells. In addition, the best system for PAM preparation is Ar-N2 at low time treatments (1 min and 2 min) because it has no effect on normal cells, but kills the cancer cells. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  14. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment.

    PubMed

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-06

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS 2 film was deposited on TiO 2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO 2 nanorod arrays were treated with hydrogen plasma(H:TiO 2 ) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  15. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-01

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  16. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  17. Effect of organic solar cells using various power O2 plasma treatments on the indium tin oxide substrate.

    PubMed

    Ke, Jhong-Ciao; Wang, Yeong-Her; Chen, Kan-Lin; Huang, Chien-Jung

    2016-03-01

    The effect of organic solar cells (OSCs) by using different power O2 plasma treatments on indium tin oxide (ITO) substrate was studied. The power of O2 plasma treatment on ITO substrate was varied from 20W to 80W, and the power conversion efficiency of device was improved from 1.18% to 1.93% at 20W O2 plasma treatment. The function of O2 plasma treatment on ITO substrate was to remove the surface impurity and to improve the work function of ITO, which can reduce the energy offset between the ITO and SubPc layer and depress the leakage current of device, leading to the shunt resistance increased from 897 to 1100Ωcm(2). The surface roughness of ITO decreased from 3.81 to 3.33nm and the work function of ITO increased from 4.75 to 5.2eV after 20W O2 plasma treatment on ITO substrate. As a result, the open circuit voltage and the fill factor were improved from 0.46 to 0.70V and from 0.56 to 0.61, respectively. However, the series resistance of device was dramatically increased as the power of O2 plasma treatment exceeds 40W, leading to the efficiency reduction. The result is attributed to the variation of oxygen vacancies in ITO film after the 60, 80W O2 plasma treatment. As a consequence, the power of O2 plasma treatment on ITO substrate for the OSCs application should be controlled below 40W to avoid affecting the electricity of ITO film. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Interface studies of N2 plasma-treated ZnSnO nanowire transistors using low-frequency noise measurements.

    PubMed

    Kim, Seongmin; Kim, Hwansoo; Janes, David B; Ju, Sanghyun

    2013-08-02

    Due to the large surface-to-volume ratio of nanowires, the quality of nanowire-insulator interfaces as well as the nanowire surface characteristics significantly influence the electrical characteristics of nanowire transistors (NWTs). To improve the electrical characteristics by doping or post-processing, it is important to evaluate the interface characteristics and stability of NWTs. In this study, we have synthesized ZnSnO (ZTO) nanowires using the chemical vapor deposition method, characterized the composition of ZTO nanowires using x-ray photoelectron spectroscopy, and fabricated ZTO NWTs. We have characterized the current-voltage characteristics and low-frequency noise of ZTO NWTs in order to investigate the effects of interface states on subthreshold slope (SS) and the noise before and after N2 plasma treatments. The as-fabricated device exhibited a SS of 0.29 V/dec and Hooge parameter of ~1.20 × 10(-2). Upon N2 plasma treatment with N2 gas flow rate of 40 sccm (20 sccm), the SS improved to 0.12 V/dec (0.21 V/dec) and the Hooge parameter decreased to ~4.99 × 10(-3) (8.14 × 10(-3)). The interface trap densities inferred from both SS and low-frequency noise decrease upon plasma treatment, with the highest flow rate yielding the smallest trap density. These results demonstrate that the N2 plasma treatment decreases the interface trap states and defects on ZTO nanowires, thereby enabling the fabrication of high-quality nanowire interfaces.

  19. Effects of SnO2 on spectroscopic properties of borosilicate glasses before and after plasma treatment and its mechanical properties

    NASA Astrophysics Data System (ADS)

    Abdel Wahab, E. A.; Shaaban, Kh S.

    2018-02-01

    B2O3-SiO2-Na2O-Al2O3-TiO2 glasses modified by SnO2 have prepared and characterized by UV-spectroscopy before and after plasma treatment and by ultrasonic techniques. Makishima-Mackenzie Model has been applied to determine the elastic moduli of glasses. The density and the elastic moduli either determined from the ultrasonic or that computed according to the Makishima-Mackenzie model increase as the SnO2 concentration increases. The values of the optical band gap E g before and after plasma treatment, and refractive index have been determined. It was found that these parameters are sensitive to the increase of SnO2 content. The vibration temperature of nitrogen glow discharge has been calculated using Boltzmann plots of second positive system N2 (C3Πu) → (B3 Πg). The obtained results of vibration temperature decrease with increasing of gas pressure at different discharge currents.

  20. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O2/H2O low-temperature plasma treatment

    NASA Astrophysics Data System (ADS)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    The natural leather was modified through O2/H2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O2/H2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  1. Ta2O5 Polycrystalline Silicon Capacitors with CF4 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kao, Chyuan-Haur; Chen, Hsiang

    2012-04-01

    In this research, the effects of CF4 plasma treatment with post annealing on the electrical characteristics and material properties of Ta2O5 dielectrics were determined. The dielectric performance characteristics of samples under different treatment conditions were measured using equivalent oxide thickness (EOT), current density-electric field (J-E) characteristics, gate voltage shift versus time, and Weibull plots. In addition, X-ray diffraction (XRD) analysis provided insight into the changes in crystalline structure, atomic force microscopy (AFM) measurements visualized the surface roughness, and secondary ion mass spectroscopy (SIMS) revealed the distribution of fluorine ions inside the dielectric samples. Findings indicate that dielectric performance can be significantly improved by CF4 plasma treatment for 1 min with post annealing at 800 °C. The improvements in electrical characteristics were caused by the appropriate incorporation of the fluorine atoms and the removal of the dangling bonds and traps. The Ta2O5 dielectric incorporated with appropriate CF4 plasma and annealing treatments shows great promise for future generation of nonvolatile memory applications.

  2. Effects of O 2 and N 2/H 2 plasma treatments on the neuronal cell growth on single-walled carbon nanotube paper scaffolds

    NASA Astrophysics Data System (ADS)

    Yoon, Ok Ja; Lee, Hyun Jung; Jang, Yeong Mi; Kim, Hyun Woo; Lee, Won Bok; Kim, Sung Su; Lee, Nae-Eung

    2011-08-01

    The O 2 and N 2/H 2 plasma treatments of single-walled carbon nanotube (SWCNT) papers as scaffolds for enhanced neuronal cell growth were conducted to functionalize their surfaces with different functional groups and to roughen their surfaces. To evaluate the effects of the surface roughness and functionalization modifications of the SWCNT papers, we investigated the neuronal morphology, mitochondrial membrane potential, and acetylcholine/acetylcholinesterase levels of human neuroblastoma during SH-SY5Y cell growth on the treated SWCNT papers. Our results demonstrated that the plasma-chemical functionalization caused changes in the surface charge states with functional groups with negative and positive charges and then the increased surface roughness enhanced neuronal cell adhesion, mitochondrial membrane potential, and the level of neurotransmitter in vitro. The cell adhesion and mitochondrial membrane potential on the negatively charged SWCNT papers were improved more than on the positively charged SWCNT papers. Also, measurements of the neurotransmitter level showed an enhanced acetylcholine level on the negatively charged SWCNT papers compared to the positively charged SWCNT papers.

  3. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  4. Indium doped ZnO nano-powders prepared by RF thermal plasma treatment of In2O3 and ZnO

    NASA Astrophysics Data System (ADS)

    Lee, Mi-Yeon; Song, Min-Kyung; Seo, Jun-Ho; Kim, Min-Ho

    2015-06-01

    Indium doped ZnO nano-powders were synthesized by the RF thermal plasma treatment of In2O3 and ZnO. For this purpose, micron-sized ZnO powder was mixed with In2O3 powder at the In/Zn ratios of 0.0, 1.2, and 2.4 at. % by ball milling for 1 h, after which the mixtures were injected into RF thermal plasma generated at the plate power level of ˜140 kV A. As observed from the field emission scanning electron microscopy (FE-SEM) images of the RF plasma-treated powders, hexagonal prism-shaped nano-crystals were mainly obtained along with multi-pod type nano-particles, where the number of multi-pods decreased with increasing In/Zn ratios. In addition, the X-ray diffraction (XRD) data for the as-treated nano-powders showed the diffraction peaks for the In2O3 present in the precursor mixture to disappear, while the crystalline peaks for the single phase of ZnO structure shifted toward lower Bragg angles. In the UV-vis absorption spectra of the as-treated powders, redshifts were also observed with increases of the In/Zn ratios. Together with the FE-SEM images and the XRD data, the redshifts were indicative of the doping process of ZnO with indium, which took place during the RF thermal plasma treatment of In2O3 and ZnO.

  5. Isotopic Monitoring of N2O Emissions from Wastewater Treatment: Evidence for N2O Production Associated with Anammox Metabolism?

    NASA Astrophysics Data System (ADS)

    Harris, E. J.; Wunderlin, P.; Joss, A.; Emmenegger, L.; Kipf, M.; Wolf, B.; Mohn, J.

    2015-12-01

    Microbial production is the major source of N2O, the strongest greenhouse gas produced within the nitrogen cycle, and the most important stratospheric ozone destructant released in the 21st century. Wastewater treatment is an important and growing source of N2O, with best estimates predicting N2O emissions from this sector will have increased by >25% by 2020. Novel treatment employing partial nitritation-anammox, rather than traditional nitrification-denitrification, has the potential to achieve a neutral carbon footprint due to increased biogas production - if N2O production accounts for <0.5-1% of total nitrogen turnover. As a further motivation for this research, microbial pathways identified from wastewater treatment can be applied to our understanding of N cycling in the natural environment. This study presents the first online isotopic measurements of offgas N2O from a partial-nitritation anammox reactor 1. The measured N2O isotopic composition - in particular the N2O isotopic site preference (SP = δ15Nα - δ15Nβ) - was used to understand N2O production pathways in the reactor. When N2O emissions peaked due to high dissolved oxygen concentrations, low SP showed that N2O was produced primarily via nitrifier denitrification by ammonia oxidizing bacteria (AOBs). N2O production by AOBs via NH2OH oxidation, in contrast, did not appear to be important under any conditions. Over the majority of the one-month measurement period, the measured SP was much higher than expected following our current understanding of N2O production pathways 2. SP reached 41‰ during normal operating conditions and achieved a maximum of 45‰ when nitrite was added under anoxic conditions. These results could be explained by unexpectedly strong heterotrophic N2O reduction despite low dissolved organic matter concentrations, or by an incomplete understanding of isotopic fractionation during N2O production from NH2OH oxidation by AOBs - however the explanation most consistent with all

  6. Increased plasma O2 solubility improves O2 uptake of in situ dog muscle working maximally.

    PubMed

    Hogan, M C; Willford, D C; Keipert, P E; Faithfull, N S; Wagner, P D

    1992-12-01

    A perfluorocarbon emulsion [formulation containing 90% wt/vol perflubron (perfluorooctylbromide); Alliance Pharmaceutical] was used to increase O2 solubility in the plasma compartment during hyperoxic low hemoglobin concentration ([Hb]) perfusion of a maximally working dog muscle in situ. Our hypothesis was that the increased plasma O2 solubility would increase the muscle O2 diffusing capacity (DO2) by augmenting the capillary surface area in contact with high [O2]. Oxygen uptake (VO2) was measured in isolated in situ canine gastrocnemius (n = 4) while working for 6 min at a maximal stimulation rate of 1 Hz (isometric tetanic contractions) on three to four separate occasions for each muscle. On each occasion, the last 4 min of the 6-min work period was split into 2 min of a control treatment (only emulsifying agent mixed into blood) and 2 min of perflubron treatment (6 g/kg body wt), reversing the order for each subsequent work bout. Before contractions, the [Hb] of the dog was decreased to 8-9 g/100 ml and arterial PO2 was increased to 500-600 Torr by having the dog breathe 100% O2 to maximize the effect of the perflubron. Muscle blood flow was held constant between the two experimental conditions. Plasma O2 solubility was almost doubled to 0.005 ml O2 x 100 ml blood-1 x Torr-1 by the addition of the perflubron. Muscle O2 delivery and maximal VO2 were significantly improved (at the same blood flow and [Hb]) by 11 and 12.6%, respectively (P < 0.05), during the perflubron treatment compared with the control. O2 extraction by the muscle remained the same between the two treatments, as did the estimate of DO2.(ABSTRACT TRUNCATED AT 250 WORDS)

  7. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring trapsmore » on the surface and bulk channel are presented.« less

  8. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  9. Three-dimensional reduced-graphene/MnO2 prepared by plasma treatment as high-performance supercapacitor electrodes

    NASA Astrophysics Data System (ADS)

    Liu, Runru; Wen, Dongdong; Zhang, Xueyu; Wang, Dejun; Yang, Qiang; Yuan, Beilei; Lü, Wei

    2018-06-01

    In this work, three-Dimensional nitrogen-doped graphene/MnO2 (NG/MnO2) was prepared by plasma treatment, which provides a high specific surface area due to porous structure and exhibits enhanced supercapacitor performance. The advantage of NG/MnO2 electrode was obvious compared with reduced graphene oxide/MnO2 (RGO/MnO2) which was prepared by traditional hydrothermal method, such as improved electrochemical property and better cycling stability. The specific capacitance of NG/MnO2 at the scan rate of 5 mV s‑1 (393 F g‑1) is higher than that of RGO/MnO2 (260 F g‑1). In addition, NG/MnO2 showed higher durability with 90.2% capacitance retention than that of RGO/MnO2 (82%) after 5000 cycles. Such cheap and high-performance supercapacitor electrodes are available by our feasible plasma treatment, which give promise in large-scale commercial energy storage devices.

  10. Leachate treatment in landfills is a significant N2O source.

    PubMed

    Wang, Xiaojun; Jia, Mingsheng; Zhang, Chengliang; Chen, Shaohua; Cai, Zucong

    2017-10-15

    The importance of methane (CH 4 ) emissions from landfills has been extensively documented, while the nitrous oxide (N 2 O) emissions from landfills are considered negligible. In this study, three landfills were selected to measure CH 4 and N 2 O emissions using the static chamber method. Dongbu (DB) and Dongfu (DF) landfills, both located in Xiamen city, Fujian Province, were classified as sanitary. The former started to receive solid waste from Xiamen city in 2009, and the latter was closed in 2009. Nanjing (NJ) landfill, located in Nanjing county, Fujian Province, was classified as managed. Results showed that for the landfill reservoirs, CH 4 emissions were significant, while N 2 O emissions occurred mainly in operating areas (on average, 16.3 and 19.0mgN 2 Om -2 h -1 for DB and NJ landfills, respectively) and made a negligible contribution to the total greenhouse gas emissions in term of CO 2 equivalent. However, significant N 2 O emissions were observed in the leachate treatment systems of sanitary landfills and contributed 72.8% and 45.6% of total emissions in term of CO 2 equivalent in DB and DF landfills, respectively. The N 2 O emission factor (EF) of the leachate treatment systems was in the range of 8.9-11.9% of the removed nitrogen. The total N 2 O emissions from the leachate treatment systems of landfills in Xiamen city were estimated to be as high as 8.55gN 2 O-Ncapita -1 yr -1 . These results indicated that N 2 O emissions from leachate treatment systems of sanitary landfills were not negligible and should be included in national and/or local inventories of greenhouse gas emissions. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Collision cross sections and transport coefficients of O-, O2 -, O3 - and O4 - negative ions in O2, N2 and dry air for non-thermal plasmas modelling

    NASA Astrophysics Data System (ADS)

    Hennad, Ali; Yousfi, Mohammed

    2018-02-01

    The ions interaction data such as interaction potential parameters, elastic and inelastic collision cross sections and the transport coefficients (reduced mobility and diffusion coefficients) have been determined and analyzed in the case of the main negative oxygen ions (O-, O2 -, O3 - and O4 -) present in low temperature plasma at atmospheric pressure when colliding O2, N2 and dry air. The ion transport has been determined from an optimized Monte Carlo simulation using calculated elastic and experimentally fitted inelastic collision cross sections. The elastic momentum transfer collision cross sections have been calculated from a semi-classical JWKB approximation based on a ( n-4) rigid core interaction potential model. The cross sections sets involving elastic and inelastic processes were then validated using measured reduced mobility data and also diffusion coefficient whenever available in the literature. From the sets of elastic and inelastic collision cross sections thus obtained for the first time for O3-/O2, O2 -/N2, O3 -/N2, and O4 -/N2 systems, the ion transport coefficients were calculated in pure gases and dry air over a wide range of the density reduced electric field E/N.

  12. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  13. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment.

    PubMed

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-15

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (10 19 cm -3 ) are distributed in the initial state, which exist in the forms of Si 2 N≡Si·, SiO 2 ≡Si·, O 3 ≡Si·, and N 3 ≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H + and O 2- . Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  14. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment

    NASA Astrophysics Data System (ADS)

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-01

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (1019 cm‑3) are distributed in the initial state, which exist in the forms of Si2N≡Si·, SiO2≡Si·, O3≡Si·, and N3≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H+ and O2‑. Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  15. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    NASA Astrophysics Data System (ADS)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  16. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  17. Influence of Ar/O2/H2O Feed Gas and N2/O2/H2O Environment on the Interaction of Time Modulated MHz Atmospheric Pressure Plasma Jet (APPJ) with Model Polymers

    NASA Astrophysics Data System (ADS)

    Oehrlein, Gottlieb; Luan, Pingshan; Knoll, Andrew; Kondeti, Santosh; Bruggeman, Peter

    2016-09-01

    An Ar/O2/H2O fed time modulated MHz atmospheric pressure plasma jet (APPJ) in a sealed chamber was used to study plasma interaction with model polymers (polystyrene, poly-methyl methacrylate, etc.). The amount of H2O in the feed gas and/or present in the N2, O2, or N2/O2 environment was controlled. Short lived species such as O atoms and OH radicals play a crucial role in polymer etching and surface modifications (obtained from X-ray photoelectron spectroscopy of treated polymers without additional atmospheric exposure). Polymer etching depth for Ar/air fed APPJ mirrors the decay of gas phase O atoms with distance from the APPJ nozzle in air and is consistent with the estimated O atom flux at the polymer surface. Furthermore, whereas separate O2 or H2O admixture to Ar enhances polymer etching, simultaneous addition of O2 and H2O to Ar quenches polymer etching. This can be explained by the mutual quenching of O with OH, H and HO2 in the gas phase. Results where O2 and/or H2O in the environment were varied are consistent with these mechanisms. All results will be compared with measured and simulated species densities reported in the literature. We gratefully acknowledge funding from US Department of Energy (DE-SC0001939) and National Science Foundation (PHY-1415353).

  18. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  19. Plasma-assisted adsorption of elemental mercury on CeO2/TiO2 at low temperatures

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Gao, Xiang

    2017-11-01

    Mercury is a kind of pollutants contained in flue gas which is hazardous for human beings. In this work, CeO2 was packed in the discharge zone of a plasma reactor to adsorb elemental mercury at low temperatures. Plasma-catalyst reactor can remove Hg0 efficiently with CeO2/TiO2 catalysts packed in the discharge zone. The Hg0 concentration continued to decrease gradually when the plasma was turned on, but not sank rapidly. This tendency was different with other catalysts. The treatment of plasma to CeO2/TiO2 catalysts has a promotion effect on the adsorption of Hg0. Plasma has the effect of changing the surface properties of the catalysts and the changes would restitute if the condition changed. The long-running test demonstrated that this method is an effective way to remove Hg0. The removal efficiency remained at above 99% throughout 12 hours when plasma had been turned on (15kV, 0.5 g packed CeO2/TiO2).

  20. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    NASA Astrophysics Data System (ADS)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  1. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  2. Removal of NO in NO/N2, NO/N2/O2, NO/CH4/N2, and NO/CH4/O2/N2 systems by flowing microwave discharges.

    PubMed

    Hueso, José L; Gonzalez-Elipe, Agustín R; Cotrino, José; Caballero, Alfonso

    2007-02-15

    In this paper, continuing previous work, we report on experiments carried out to investigate the removal of NO from simulated flue gas in nonthermal plasmas. The plasma-induced decomposition of small concentrations of NO in N2 used as the carrier gas and O2 and CH4 as minority components has been studied in a surface wave discharge induced with a surfatron launcher. The reaction products and efficiency have been monitored by mass spectrometry as a function of the composition of the mixture. NO is effectively decomposed into N2 and O2 even in the presence of O2, provided always that enough CH4 is also present in the mixture. Other majority products of the plasma reactions under these conditions are NH3, CO, and H2. In the absence of O2, decomposition of NO also occurs, although in that case HCN accompanies the other reaction products as a majority component. The plasma for the different reaction mixtures has been characterized by optical emission spectroscopy. Intermediate excited species of NO*, C*, CN*, NH*, and CH* have been monitored depending on the gas mixture. The type of species detected and their evolution with the gas composition are in agreement with the reaction products detected in each case. The observations by mass spectrometry and optical emission spectroscopy are in agreement with the kinetic reaction models available in literature for simple plasma reactions in simple reaction mixtures.

  3. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    PubMed

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  4. Effects of Atmospheric-Pressure N2, He, Air, and O2 Microplasmas on Mung Bean Seed Germination and Seedling Growth

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Zhuang, Jinxing; Yang, Size; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-09-01

    Atmospheric-pressure N2, He, air, and O2 microplasma arrays have been used to investigate the effects of plasma treatment on seed germination and seedling growth of mung bean in aqueous solution. Seed germination and growth of mung bean were found to strongly depend on the feed gases used to generate plasma and plasma treatment time. Compared to the treatment with atmospheric-pressure O2, N2 and He microplasma arrays, treatment with air microplasma arrays was shown to be more efficient in improving both the seed germination rate and seedling growth, the effect attributed to solution acidification and interactions with plasma-generated reactive oxygen and nitrogen species. Acidic environment caused by air discharge in water may promote leathering of seed chaps, thus enhancing the germination rate of mung bean, and stimulating the growth of hypocotyl and radicle. The interactions between plasma-generated reactive species, such as hydrogen peroxide (H2O2) and nitrogen compounds, and seeds led to a significant acceleration of seed germination and an increase in seedling length of mung bean. Electrolyte leakage rate of mung bean seeds soaked in solution activated using air microplasma was the lowest, while the catalase activity of thus-treated mung bean seeds was the highest compared to other types of microplasma.

  5. Effects of Atmospheric-Pressure N2, He, Air, and O2 Microplasmas on Mung Bean Seed Germination and Seedling Growth.

    PubMed

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Zhuang, Jinxing; Yang, Size; Bazaka, Kateryna; Ken Ostrikov, Kostya

    2016-09-01

    Atmospheric-pressure N2, He, air, and O2 microplasma arrays have been used to investigate the effects of plasma treatment on seed germination and seedling growth of mung bean in aqueous solution. Seed germination and growth of mung bean were found to strongly depend on the feed gases used to generate plasma and plasma treatment time. Compared to the treatment with atmospheric-pressure O2, N2 and He microplasma arrays, treatment with air microplasma arrays was shown to be more efficient in improving both the seed germination rate and seedling growth, the effect attributed to solution acidification and interactions with plasma-generated reactive oxygen and nitrogen species. Acidic environment caused by air discharge in water may promote leathering of seed chaps, thus enhancing the germination rate of mung bean, and stimulating the growth of hypocotyl and radicle. The interactions between plasma-generated reactive species, such as hydrogen peroxide (H2O2) and nitrogen compounds, and seeds led to a significant acceleration of seed germination and an increase in seedling length of mung bean. Electrolyte leakage rate of mung bean seeds soaked in solution activated using air microplasma was the lowest, while the catalase activity of thus-treated mung bean seeds was the highest compared to other types of microplasma.

  6. Effect of O2 plasma treatment on density-of-states in a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Huang, Fei; Li, Sheng; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    This work reports an efficient route for enhancing the performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFT). The mobility was greatly improved by about 38% by means of O2 plasma treatment. Temperature-stress was carried out to investigate the stability and extract the parameters related to activation energy ( E a) and density-of-states (DOS). The DOS was calculated on the basis of the experimentally obtained E a, which can explain the experimental observation. A lower activation energy ( E a, 0.72 eV) and a smaller DOS were obtained in the O2 plasma treatment TFT based on the temperature-dependent transfer curves. The results showed that temperature stability and electrical properties enhancements in a-IGZO thin film transistors were attributed to the smaller DOS. [Figure not available: see fulltext.

  7. Influence of microstructure on hardness of plasma sprayed Al2O3-TiO2-MgO coatings with interface diffusion by heat treatment

    NASA Astrophysics Data System (ADS)

    Chen, Kunlun; Song, Peng; Li, Chao; Lu, Jiansheng

    2017-12-01

    The effect of heat treatment on the microstructure and mechanical properties of Al2O3-TiO2 coatings doped with 5 wt% MgO was investigated in this paper. The composite coatings were prepared by atmospheric plasma spraying (APS) and heat treated at 1000 °C for 24 h in Ar. The coatings were analyzed using scanning electron microscopy with electron probe x-ray microanalysis and x-ray diffraction. The hardness was determined using a Vickers hardness test on the as-sprayed coatings and after heat treatment. The results showed that the interface diffusion between the Al-rich and Ti-rich layers resulted in mutual pinning within the coating during the heat treatment. The newly formed MgAl2O4 phase promoted cracking-healing behavior within the coating. We conclude that increase of the hardness of the coatings was mainly caused by the mutual pinning interface and crack healing.

  8. Electrical characterization of the flowing afterglow of N{sub 2} and N{sub 2}/O{sub 2} microwave plasmas at reduced pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Afonso Ferreira, J.; Stafford, L., E-mail: luc.stafford@umontreal.ca; Leonelli, R.

    2014-04-28

    A cylindrical Langmuir probe was used to analyze the spatial distribution of the number density of positive ions and electrons as well as the electron energy distribution function (EEDF) in the flowing afterglow of a 6 Torr N{sub 2} and N{sub 2}/O{sub 2} plasma sustained by a propagating electromagnetic surface wave in the microwave regime. In pure N{sub 2} discharges, ion densities were in the mid 10{sup 14} m{sup −3} in the pink afterglow and in the mid 10{sup 12} m{sup −3} early in the late afterglow. In both pink and late afterglows, the ion population was much higher than the electron population,more » indicating non-macroscopically neutral media. The EEDF was close to a Maxwellian with an electron temperature of 0.5 ± 0.1 eV, except in the pink afterglow where the temperature rose to 1.1 ± 0.2 eV. This latter behavior is ascribed to N{sub 2} vibration-vibration pumping in the pink afterglow that increases the concentration of high N{sub 2} vibrational states and thus rises the electron temperature by vibration-electron collisions. After addition of small amounts of O{sub 2} in the nominally pure N{sub 2} discharge, the charged particles densities and average electron energy first strongly increased and then decreased with increasing O{sub 2} concentration. Based on these data and the evolution of the N{sub 2}{sup +}(B) band emission intensities, it is concluded that a significant change in the positive ion composition of the flowing afterglow occurs, going from N{sub 2}{sup +} in nominally pure N{sub 2} discharges to NO{sup +} after addition of trace amounts of O{sub 2} in N{sub 2}.« less

  9. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  10. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  11. Reaction pathways in remote plasma nitridation of ultrathin SiO2 films

    NASA Astrophysics Data System (ADS)

    Niimi, Hiro; Khandelwal, Amit; Lamb, H. Henry; Lucovsky, Gerald

    2002-01-01

    Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N-Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.

  12. Highly efficient low-temperature plasma-assisted modification of TiO2 nanosheets with exposed {001} facets for enhanced visible-light photocatalytic activity.

    PubMed

    Li, Beibei; Zhao, Zongbin; Zhou, Quan; Meng, Bo; Meng, Xiangtong; Qiu, Jieshan

    2014-11-03

    Anatase TiO2 nanosheets with exposed {001} facets have been controllably modified under non-thermal dielectric barrier discharge (DBD) plasma with various working gas, including Ar, H2 , and NH3 . The obtained TiO2 nanosheets possess a unique crystalline core/amorphous shell structure (TiO2 @TiO2-x ), which exhibit the improved visible and near-infrared light absorption. The types of dopants (oxygen vacancy/surface Ti(3+) /substituted N) in oxygen-deficient TiO2 can be tuned by controlling the working gases during plasma discharge. Both surface Ti(3+) and substituted N were doped into the lattice of TiO2 through NH3 plasma discharge, whereas the oxygen vacancy or Ti(3+) (along with the oxygen vacancy) was obtained after Ar or H2 plasma treatment. The TiO2 @TiO2-x from NH3 plasma with a green color shows the highest photocatalytic activity under visible-light irradiation compared with the products from Ar plasma or H2 plasma due to the synergistic effect of reduction and simultaneous nitridation in the NH3 plasma. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Experimental study of the visible-light photocatalytic activity of oxygen-deficient TiO2 prepared with Ar/H2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Nakano, Takuma; Yazawa, Shota; Araki, Shota; Kogoshi, Sumio; Katayama, Noboru; Kudo, Yusuke; Nakanishi, Tetsuya

    2015-01-01

    Oxygen-deficient TiO2 (TiO2-x) has been proposed as a visible-light-responsive photocatalyst. TiO2-x thin films were prepared by Ar/H2 plasma surface treatment, applying varying levels of microwave input power and processing times. The highest visible light photocatalytic activity was observed when using an input power of 200 W, a plasma processing time of 10 min, and a 1:1 \\text{Ar}:\\text{H}2 ratio, conditions that generate an electron temperature of 5.7(±1.0) eV and an electron density of 8.5 × 1010 cm-3. The maximum formaldehyde (HCHO) removal rate of the TiO2-x film was 2.6 times higher than that obtained from a TiO2-xNx film under the same test conditions.

  14. Highly scaled equivalent oxide thickness of 0.66 nm for TiN/HfO2/GaSb MOS capacitors by using plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Tsai, Ming-Li; Wang, Shin-Yuan; Chien, Chao-Hsin

    2017-08-01

    Through in situ hydrogen plasma treatment (HPT) and plasma-enhanced atomic-layer-deposited TiN (PEALD-TiN) layer capping, we successfully fabricated TiN/HfO2/GaSb metal-oxide-semiconductor capacitors with an ultrathin equivalent oxide thickness of 0.66 nm and a low density of states of approximately 2 × 1012 cm-2 eV-1 near the valence band edge. After in situ HPT, a native oxide-free surface was obtained through efficient etching. Moreover, the use of the in situ PEALD-TiN layer precluded high-κ dielectric damage that would have been caused by conventional sputtering, thereby yielding a superior high-κ dielectric and low gate leakage current.

  15. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  16. Characteristics of n-GaN After Cl2/Ar and Cl2/N2 Inductively Coupled Plasma Etching

    NASA Astrophysics Data System (ADS)

    Han, Yan-Jun; Xue, Song; Guo, Wen-Ping; Sun, Chang-Zheng; Hao, Zhi-Biao; Luo, Yi

    2003-10-01

    A systematic study on the effect of inductively coupled plasma (ICP) etching on n-type GaN is presented. The optical and electrical properties and surface stoichiometry of n-type GaN are evaluated using room-temperature photoluminescence (PL) and current-voltage (I-V) characteristic measurements, and X-ray photoelectron spectroscopy (XPS), respectively. Investigation of the effect of additive gas (N2 and Ar) and RF power on these characteristics has also been carried out. It is shown that the decrease in the O/Ga ratio after ICP etching can suppress the deterioration of the near-band-edge emission intensity. Furthermore, N vacancy (VN) with a shallow donor nature and Ga vacancy (VGa) with a deep acceptor nature are generated after ICP etching upon the addition of Ar and N2 to Cl2 plasma, respectively. Lower ohmic contact resistance could be obtained when VN or ion-bombardment-induced defect is dominant at the surface. Improved etching conditions have been obtained based on these results.

  17. Characteristics of epoxy resin/SiO2 nanocomposite insulation: effects of plasma surface treatment on the nanoparticles.

    PubMed

    Yan, Wei; Phung, B T; Han, Zhao Jun; Ostrikov, Kostya

    2013-05-01

    The present study compares the effects of two different material processing techniques on modifying hydrophilic SiO2 nanoparticles. In one method, the nanoparticles undergo plasma treatment by using a custom-developed atmospheric-pressure non-equilibrium plasma reactor. With the other method, they undergo chemical treatment which grafts silane groups onto their surface and turns them into hydrophobic. The treated nanoparticles are then used to synthesize epoxy resin-based nanocomposites for electrical insulation applications. Their characteristics are investigated and compared with the pure epoxy resin and nanocomposite fabricated with unmodified nanofillers counterparts. The dispersion features of the nanoparticles in the epoxy resin matrix are examined through scanning electron microscopy (SEM) images. All samples show evidence that the agglomerations are smaller than 30 nm in their diameters. This indicates good dispersion uniformity. The Weibull plot of breakdown strength and the recorded partial discharge (PD) events of the epoxy resin/plasma-treated hydrophilic SiO2 nanocomposite (ER/PTI) suggest that the plasma-treated specimen yields higher breakdown strength and lower PD magnitude as compared to the untreated ones. In contrast, surprisingly, lower breakdown strength is found for the nanocomposite made by the chemically treated hydrophobic particles, whereas the PD magnitude and PD numbers remain at a similar level as the plasma-treated ones.

  18. Parametric study of plasma-mediated thermoluminescence produced by Al2O3 sub-micron powders

    NASA Astrophysics Data System (ADS)

    Morávek, T.; Ambrico, P. F.; Ambrico, M.; Schiavulli, L.; Ráheľ, J.

    2017-10-01

    Sub-micron Al2O3 powders with a surface activated by dielectric barrier discharge exhibit improved performance in wet deposition of ceramic layers. In addressing the possible mechanisms responsible for the observed improvement, a comprehensive thermoluminescence (TL) study of plasma-activated powders was performed. TL offers the unique possibility of exploring the population of intrinsic electrons/holes in the charge trapping states. This study covers a wide range of experimental conditions affecting the TL of powders: treatment time, plasma working gas composition, change of discharge configuration, step-annealing of powder, exposure to laser irradiation and aging time. Deconvoluted TL spectra were followed for the changes in their relative contributions. The TL spectra of all tested gases (air, Ar, N2 and 5% He in N2) consist of the well-known main dosimetric peak at 450 K and a peak of similar magnitude at higher temperatures, centered between 700 and 800 K depending on the working gas used. N2 plasma treatment gave rise to a new specific TL peak at 510 K, which exhibited several peculiarities. Initial thermal annealing of Al2O3 powders led to its significant amplification (unlike the other peaks); the peak was insensitive to optical bleaching, and it exhibited slow gradual growth during the long-term aging test. Besides its relevance to the ceramic processing studies, a comprehensive set of data is presented that provides a useful and unconventional view on plasma-mediated material changes.

  19. Nitrogen-doping of bulk and nanotubular TiO2 photocatalysts by plasma-assisted atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Creatore, Mariadriana; Ma, Quan-Bao; El Boukili, Aishah; Gao, Lu; Verheijen, Marcel A.; Verhoeven, M. W. G. M. (Tiny); Hensen, Emiel. J. M.

    2015-03-01

    Plasma-assisted atomic layer deposition (PA-ALD) was adopted to deposit TiO2-xNx ultrathin layers on Si wafers, calcined Ti foils and nanotubular TiO2 arrays. A range of N content and chemical bond configurations were obtained by varying the background gas (O2 or N2) during the Ti precursor exposure, while the N2/H2-fed inductively coupled plasma exposure time was varied between 2 and 20 s. On calcined Ti foils, a positive effect from N doping on photocurrent density was observed when O2 was the background gas with a short plasma exposure time (5 and 10 s). This correlates with the presence of interstitial N states in the TiO2 with a binding energy of 400 eV (Ninterst) as measured by X-ray photoelectron spectroscopy. A longer plasma time or the use of N2 as background gas results in formation of N state with a binding energy of 396 eV (Nsubst) and very low photocurrents. These Nsubst are linked to the presence of Ti3+, which act as detrimental recombination center for photo-generated electron-hole pairs. On contrary, PA-ALD treated nanotubular TiO2 arrays show no variation of photocurrent density (with respect to the pristine nanotubes) upon different plasma exposure times and when the O2 recipe was adopted. This is attributed to constant N content in the PA-ALD TiO2-xNx, regardless of the adopted recipe.

  20. Enhanced Chemisorption of Cu(hfac)2 on Parylene Surface by N2 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Pimanpang, S.; Wang, P.-I.; Ye, D.-X.; Juneja, J. S.; Wang, G.-C.; Lu, T.-M.

    2006-03-01

    The metallization of polymers has been intensively studied due to its wide industrial applications. We report a study of interfacial interaction of metalorganic Cu(hfac)2 with the Parylene surface. Parylene is a low k dielectric polymer prepared by a chemical vapor deposition technique. The as-deposited Parylene surface is shown to be hydrophobic with a measured water droplet contact angle ˜72 . However, after the N2 plasma treatment, the water droplet contact angle decreases to ˜40 due to the formation of oxygen and nitrogen functional groups on the surface, as observed by x-ray photoelectron spectroscopy (XPS). These functional groups improve Cu(hfac)2 chemisorption on the plasma treated Parylene surface. Further studies by XPS show that chemisorption of Cu(hfac)2 is self-limiting up to 20 sec of Cu(hfac)2 precursor exposure time. The enhancement of chemisorption of metalorganic precursors on the polymer surface is an important step for chemical vapor deposition or atomic layer deposition of metal. ^a Supported by Thai govt. fellowship (SP) and SRC (JSJ).

  1. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    NASA Astrophysics Data System (ADS)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  2. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  3. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE PAGES

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; ...

    2017-10-26

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  4. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible lightmore » absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.« less

  5. Manganese-cerium oxide catalysts prepared by non-thermal plasma for NO oxidation: Effect of O2 in discharge atmosphere

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Wu, Shenghao; Gao, Xiang; Ni, Mingjiang; Cen, Kefa

    2017-09-01

    Non-thermal plasma with different O2 concentration in discharge atmosphere was applied to synthesize manganese and cerium mixed-oxides catalysts, which were compared in NO oxidation activity. Discharge atmosphere displayed a crucial influence on the performance of the catalysts prepared by plasma. Relatively low O2 concentration in discharge atmosphere allows synthesizing manganese-cerium oxides catalysts in a moderate environment and therefore is favorable for better physicochemical properties which lead to superior catalytic behavior. The best catalyst was obtained by treatment with 10% O2/N2 plasma and presented over 80% NO conversion in the temperature range of 275-325 °C, whereas catalyst prepared in pure O2 discharge atmosphere had the same activity with a catalyst prepared by calcinations. A correlation between the surface properties of the plasma prepared catalysts and its catalytic activity in NO oxidation is proposed. The amount of the surface adsorbed oxygen has an obvious linear correlation with the amount of Ce3+, the H2 consumption at low temperatures and the catalytic performance. The superior catalytic performance is mainly attributed to the stronger interaction between manganese oxides and ceria, and the formation of poorly crystallized Mn-O-Ce phase in the catalyst which resulted from the slow decomposition of nitrates and organics during plasma treatment. Catalysts prepared in relatively low O2 concentration have large specific surface area and is abundant in Ce3+ species and active oxygen species. The study suggests that plasma treatment with proper discharge gas components is a promising method to prepare effective manganese- cerium oxides catalyst for NO oxidation.

  6. Spectroscopic studies of MW plasmas containing HMDSO, O2 and N2

    NASA Astrophysics Data System (ADS)

    Nave, Andy; Roepcke, Juergen; Mitschker, Felix; Awakowicz, Peter

    2015-09-01

    The deposition of SiOx layers based on organosilicon plasmas is used to implement advantageous mechanical, electrical, and/or optical properties on various substrates. The development of such coating processes resulting in a wide range of chemical and physical film properties, using hexamethyldisiloxane (HMDSO) as a precursor, has been in the center of interest of various studies. In plasma, the dissociation of HMDSO into a large amount of fragments is a complex chemical phenomenon. The monitoring of the precursor and of formed species is very valuable to understand the plasma chemistry. Infrared absorption spectroscopy based on lead salt lasers and EC Quantum Cascade Laser have been used to monitor the concentrations of HMDSO, and of the reaction products CH4, C2H2, C2H4,C2H6, CO, CO2 and CH3 as a function of the HMDSO/O2 mixture ratio, and the power at various pressures in a MW plasma deposition reactor. Optical emission spectroscopy has been applied as complementary diagnostics to evaluate electron density and electron temperature. Supported by the German Research Foundation within SFB-TR24 and SFB-TR87.

  7. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  8. Sterilization of Fusarium oxysporum by treatment of non-thermalequilibrium plasma in nutrient solution

    NASA Astrophysics Data System (ADS)

    Yasui, Shinji; Seki, Satoshi; Yoshida, Ryohei; Shoji, Kazuhiro; Terazoe, Hitoshi

    2016-01-01

    Fusarium wilt of spinach due to F. oxysporum infection is one of the most destructive root diseases in hydroponics in factories using the nutrient film technique. We investigated new technologies for the sterilization of microconidia of F. oxysporum by using a non-thermalequilibrium plasma treatment method in nutrient solution. Specifically, we investigated the sterilization capabilities of five types of gas (air, O2, N2, He, and Ar) used for plasma generation. The highest sterilization capability was achieved by using O2 plasma. However, ozone, which causes growth inhibition, was then generated and released into the atmosphere. The sterilization capability was lower when N2 or air plasma was used in the nutrient solution. It was confirmed that sterilization can be achieved by plasma treatment using inert gases that do not generate ozone; therefore, we determined that Ar plasma is the most preferable. In addition, we investigated the sterilization capabilities of other factors associated with Ar plasma generation, without direct plasma treatment. However, none of these other factors, which included Ar bubbling, pH reduction, increased temperature, hydrogen peroxide concentration, and UV radiation, could completely reproduce the results of direct plasma treatment. We assume that radicals such as O or OH may contribute significantly to the sterilization of microconidia of F. oxysporum in a nutrient solution.

  9. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    NASA Astrophysics Data System (ADS)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  10. Synergistic Effect of H2O2 and NO2 in Cell Death Induced by Cold Atmospheric He Plasma

    PubMed Central

    Girard, Pierre-Marie; Arbabian, Atousa; Fleury, Michel; Bauville, Gérard; Puech, Vincent; Dutreix, Marie; Sousa, João Santos

    2016-01-01

    Cold atmospheric pressure plasmas (CAPPs) have emerged over the last decade as a new promising therapy to fight cancer. CAPPs’ antitumor activity is primarily due to the delivery of reactive oxygen and nitrogen species (RONS), but the precise determination of the constituents linked to this anticancer process remains to be done. In the present study, using a micro-plasma jet produced in helium (He), we demonstrate that the concentration of H2O2, NO2− and NO3− can fully account for the majority of RONS produced in plasma-activated buffer. The role of these species on the viability of normal and tumour cell lines was investigated. Although the degree of sensitivity to H2O2 is cell-type dependent, we show that H2O2 alone cannot account for the toxicity of He plasma. Indeed, NO2−, but not NO3−, acts in synergy with H2O2 to enhance cell death in normal and tumour cell lines to a level similar to that observed after plasma treatment. Our findings suggest that the efficiency of plasma treatment strongly depends on the combination of H2O2 and NO2− in determined concentrations. We also show that the interaction of the He plasma jet with the ambient air is required to generate NO2− and NO3− in solution. PMID:27364563

  11. CO2 Plasma-Treated TiO2 Film as an Effective Electron Transport Layer for High-Performance Planar Perovskite Solar Cells.

    PubMed

    Wang, Kang; Zhao, Wenjing; Liu, Jia; Niu, Jinzhi; Liu, Yucheng; Ren, Xiaodong; Feng, Jiangshan; Liu, Zhike; Sun, Jie; Wang, Dapeng; Liu, Shengzhong Frank

    2017-10-04

    Perovskite solar cells (PSCs) have received great attention because of their excellent photovoltaic properties especially for the comparable efficiency to silicon solar cells. The electron transport layer (ETL) is regarded as a crucial medium in transporting electrons and blocking holes for PSCs. In this study, CO 2 plasma generated by plasma-enhanced chemical vapor deposition (PECVD) was introduced to modify the TiO 2 ETL. The results indicated that the CO 2 plasma-treated compact TiO 2 layer exhibited better surface hydrophilicity, higher conductivity, and lower bulk defect state density in comparison with the pristine TiO 2 film. The quality of the stoichiometric TiO 2 structure was improved, and the concentration of oxygen-deficiency-induced defect sites was reduced significantly after CO 2 plasma treatment for 90 s. The PSCs with the TiO 2 film treated by CO 2 plasma for 90 s exhibited simultaneously improved short-circuit current (J SC ) and fill factor. As a result, the PSC-based TiO 2 ETL with CO 2 plasma treatment affords a power conversion efficiency of 15.39%, outperforming that based on pristine TiO 2 (13.54%). These results indicate that the plasma treatment by the PECVD method is an effective approach to modify the ETL for high-performance planar PSCs.

  12. Characteristic effects onto C13H12N2O3 molecule dissolved in solvents of argon plasma at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2017-07-01

    We could easily argue that the decomposition of the chemical chain molecules is a compelling application when it comes to the atmospheric pressure plasma. In this paper, we have investigated the effect of the atmospheric pressure argon plasma on 4-((2-methoxyphenyl)diazenyl)benzene-1,3,-diol molecule (abbreviated as 4MBD) at room temperature. 4MBD molecule is one of the industrial dye molecules used widely. When considering the ecological life, this molecule is very harmful and dangerous. As such, we suggest a new decomposing method for such molecules. Atmospheric pressure plasma jet is principally treated for the breakdown of the molecule in question. Fourier transform infrared spectrometry and UV-Vis spectrophotometry tools are used to characterization of the molecules subsequent to the plasma applications to 4MBD molecule in ethanol and methanol solvents. The atmospheric-pressure plasma jet of argon (Ar) as non-equilibrium has been formed by ac-power generator with frequency—24 kHz and voltage—12 kV. Characterizations for solutions prepared with ethanol and methanol solvents of 4MBD molecule have been examined after applying ( duration 3 min) the atmospheric pressure plasma jet. The molecule is broken at 6C-7N-8N=9C stretching peak in consequence of the plasma treatment. The new plasma photo-products for ethanol and methanol solutions are produced as 6C-7N-8N=9C (strong, varying) and 12C=17O (strong, wide) stretching peaks. Also, the bathochromic drifts are discerned.

  13. Normally-off p-GaN/AlGaN/GaN high electron mobility transistors using hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Hao, Ronghui; Fu, Kai; Yu, Guohao; Li, Weiyi; Yuan, Jie; Song, Liang; Zhang, Zhili; Sun, Shichuang; Li, Xiajun; Cai, Yong; Zhang, Xinping; Zhang, Baoshun

    2016-10-01

    In this letter, we report a method by introducing hydrogen plasma treatment to realize normally-off p-GaN/AlGaN/GaN HEMT devices. Instead of using etching technology, hydrogen plasma was adopted to compensate holes in the p-GaN above the two dimensional electron gas (2DEG) channel to release electrons in the 2DEG channel and form high-resistivity area to reduce leakage current and increase gate control capability. The fabricated p-GaN/AlGaN/GaN HEMT exhibits normally-off operation with a threshold voltage of 1.75 V, a subthreshold swing of 90 mV/dec, a maximum transconductance of 73.1 mS/mm, an ON/OFF ratio of 1 × 107, a breakdown voltage of 393 V, and a maximum drain current density of 188 mA/mm at a gate bias of 6 V. The comparison of the two processes of hydrogen plasma treatment and p-GaN etching has also been made in this work.

  14. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  15. Mathematical modeling of nitrous oxide (N2O) emissions from full-scale wastewater treatment plants.

    PubMed

    Ni, Bing-Jie; Ye, Liu; Law, Yingyu; Byers, Craig; Yuan, Zhiguo

    2013-07-16

    Mathematical modeling of N2O emissions is of great importance toward understanding the whole environmental impact of wastewater treatment systems. However, information on modeling of N2O emissions from full-scale wastewater treatment plants (WWTP) is still sparse. In this work, a mathematical model based on currently known or hypothesized metabolic pathways for N2O productions by heterotrophic denitrifiers and ammonia-oxidizing bacteria (AOB) is developed and calibrated to describe the N2O emissions from full-scale WWTPs. The model described well the dynamic ammonium, nitrite, nitrate, dissolved oxygen (DO) and N2O data collected from both an open oxidation ditch (OD) system with surface aerators and a sequencing batch reactor (SBR) system with bubbling aeration. The obtained kinetic parameters for N2O production are found to be reasonable as the 95% confidence regions of the estimates are all small with mean values approximately at the center. The model is further validated with independent data sets collected from the same two WWTPs. This is the first time that mathematical modeling of N2O emissions is conducted successfully for full-scale WWTPs. While clearly showing that the NH2OH related pathways could well explain N2O production and emission in the two full-scale plants studied, the modeling results do not prove the dominance of the NH2OH pathways in these plants, nor rule out the possibility of AOB denitrification being a potentially dominating pathway in other WWTPs that are designed or operated differently.

  16. Mechanisms of N2O production in biological wastewater treatment under nitrifying and denitrifying conditions.

    PubMed

    Wunderlin, Pascal; Mohn, Joachim; Joss, Adriano; Emmenegger, Lukas; Siegrist, Hansruedi

    2012-03-15

    Nitrous oxide (N2O) is an important greenhouse gas and a major sink for stratospheric ozone. In biological wastewater treatment, microbial processes such as autotrophic nitrification and heterotrophic denitrification have been identified as major sources; however, the underlying pathways remain unclear. In this study, the mechanisms of N2O production were investigated in a laboratory batch-scale system with activated sludge for treating municipal wastewater. This relatively complex mixed population system is well representative for full-scale activated sludge treatment under nitrifying and denitrifying conditions. Under aerobic conditions, the addition of nitrite resulted in strongly nitrite-dependent N2O production, mainly by nitrifier denitrification of ammonia-oxidizing bacteria (AOB). Furthermore, N2O is produced via hydroxylamine oxidation, as has been shown by the addition of hydroxylamine. In both sets of experiments, N2O production was highest at the beginning of the experiment, then decreased continuously and ceased when the substrate (nitrite, hydroxylamine) had been completely consumed. In ammonia oxidation experiments, N2O peaked at the beginning of the experiment when the nitrite concentration was lowest. This indicates that N2O production via hydroxylamine oxidation is favored at high ammonia and low nitrite concentrations, and in combination with a high metabolic activity of ammonia-oxidizing bacteria (at 2 to 3 mgO2/l); the contribution of nitrifier denitrification by AOB increased at higher nitrite and lower ammonia concentrations towards the end of the experiment. Under anoxic conditions, nitrate reducing experiments confirmed that N2O emission is low under optimal growth conditions for heterotrophic denitrifiers (e.g. no oxygen input and no limitation of readily biodegradable organic carbon). However, N2O and nitric oxide (NO) production rates increased significantly in the presence of nitrite or low dissolved oxygen concentrations. Copyright

  17. Novel microelectrode-based online system for monitoring N2O gas emissions during wastewater treatment.

    PubMed

    Marques, Ricardo; Oehmen, Adrian; Pijuan, Maite

    2014-11-04

    Clark-type nitrous oxide (N2O) microelectrodes are commonly used for measuring dissolved N2O levels, but have not previously been tested for gas-phase applications, where the N2O emitted from wastewater systems can be directly quantified. In this study, N2O microelectrodes were tested and validated for online gas measurements, and assessed with respect to their temperature, gas flow, composition dependence, gas pressure, and humidity. An exponential correlation between temperature and sensor signal was found, whereas gas flow, composition, pressure, and humidity did not have any influence on the signal. Two of the sensors were tested at different N2O concentration ranges (0-422.3, 0-50, 0-10, and 0-2 ppmv N2O) and exhibited a linear response over each range. The N2O emission dynamics from two laboratory scale sequencing batch reactors performing ammonia or nitrite oxidation were also monitored using one of the microsensors and results were compared with two other analytical methods. Results show that N2O emissions were accurately described with these microelectrodes and support their application for assessing gaseous N2O emissions from wastewater treatment systems. Advantages of the sensors as compared to conventional measurement techniques include a wider quantification range of N2O fluxes, and a single measurement system that can assess both liquid and gas-phase N2O dynamics.

  18. Potential Alternatives for Advanced Energy Material Processing in High Performance Li-ion Batteries (LIBs) via Atmospheric Pressure Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Duh, Jenq-Gong; Chuang, Shang-I.; Lan, Chun-Kai; Yang, Hao; Chen, Hsien-Wei

    2015-09-01

    A new processing technique by atmospheric pressure plasma (APP) jet treatment of LIBs was introduced. Ar/N2 plasma enhanced the high-rate anode performance of Li4Ti5O12. Oxygen vacancies were discovered and nitrogen doping were achieved by the surface reaction between pristine Li4Ti5O12 and plasma reactive species (N* and N2+). Electrochemical impedance spectra confirm that plasma modification increases Li ions diffusivity and reduces internal charge-transfer resistance, leading to a superior capacity (132 mAh/g) and excellent stability with negligible capacity decay over 100 cycles under 10C rate. Besides 2D material surface treatment, a specially designed APP generator that are feasible to modify 3D TiO2 powders is proposed. The rate capacity of 20 min plasma treated TiO2 exhibited 20% increment. Plasma diagnosis revealed that excited Ar and N2 was contributed to TiO2 surface reduction as companied by formation of oxygen vacancy. A higher amount of oxygen vacancy increased the chance for excited nitrogen doped onto surface of TiO2 particle. These findings promote the understanding of APP on processing anode materials in high performance LIBs.

  19. Electrochemical Characterization of O2 Plasma Functionalized Multi-Walled Carbon Nanotube Electrode for Legionella pneumophila DNA Sensor

    NASA Astrophysics Data System (ADS)

    Park, Eun Jin; Lee, Jun-Yong; Hyup Kim, Jun; Kug Kim, Sun; Lee, Cheol Jin; Min, Nam Ki

    2010-08-01

    An electrochemical DNA sensor for Legionella pneumophila detection was constructed using O2 plasma functionalized multi-walled carbon nanotube (MWCNT) film as a working electrode (WE). The cyclic voltammetry (CV) results revealed that the electrocatalytic activity of plasma functionalized MWCNT (pf-MWCNT) significantly changed depending on O2 plasma treatment time due to some oxygen containing functional groups on the pf-MWCNT surface. Scanning electron microscope (SEM) images and X-ray photoelectron spectroscopy (XPS) spectra were also presented the changes of their surface morphologies and oxygen composition before and after plasma treatment. From a comparison study, it was found that the pf-MWCNT WEs had higher electrocatalytic activity and more capability of probe DNA immobilization: therefore, electrochemical signal changes by probe DNA immobilization and hybridization on pf-MWCNT WEs were larger than on Au WEs. The pf-MWCNT based DNA sensor was able to detect a concentration range of 10 pM-100 nM of target DNA to detect L. pneumophila.

  20. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-12-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency.

  1. S180 cell growth on low ion energy plasma treated TiO 2 thin films

    NASA Astrophysics Data System (ADS)

    Dhayal, Marshal; Cho, Su-In; Moon, Jun Young; Cho, Su-Jin; Zykova, Anna

    2008-03-01

    X-ray photoelectron spectroscopy (XPS) was used to characterise the effects of low energy (<2 eV) argon ion plasma surface modification of TiO 2 thin films deposited by radio frequency (RF) magnetron sputter system. The low energy argon ion plasma surface modification of TiO 2 in a two-stage hybrid system had increased the proportion of surface states of TiO 2 as Ti 3+. The proportion of carbon atoms as alcohol/ether (C sbnd OX) was decreased with increase the RF power and carbon atoms as carbonyl (C dbnd O) functionality had increased for low RF power treatment. The proportion of C( dbnd O)OX functionality at the surface was decreased at low power and further increase in power has showed an increase in its relive proportion at the surface. The growth of S180 cells was observed and it seems that cells are uniformly spreads on tissue culture polystyrene surface and untreated TiO 2 surfaces whereas small-localised cell free area can be seen on plasma treated TiO 2 surfaces which may be due to decrease in C( dbnd O)OX, increase in C dbnd O and active sites at the surface. A relatively large variation in the surface functionalities with no change in the surface roughness was achieved by different RF plasma treatments of TiO 2 surface whereas no significant change in S180 cell growth with different plasma treatments. This may be because cell growth on TiO 2 was mainly influenced by nano-surface characteristics of oxide films rather than surface chemistry.

  2. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  3. Electrical and structural characterization of plasma polymerized polyaniline/TiO2 heterostructure diode: a comparative study of single and bilayer TiO2 thin film electrode.

    PubMed

    Ameen, Sadia; Akhtar, M Shaheer; Kimi, Young Soon; Yang, O-Bong; Shin, Hyung-Shik

    2011-04-01

    A heterostructure was fabricated using p-type plasma polymerized polyaniline (PANI) and n-type (single and bilayer) titanium dioxide (TiO2) thin film on FTO glass. The deposition of single and bilayer TiO2 thin film on FTO substrate was achieved through doctor blade followed by dip coating technique before subjected to plasma enhanced polymerization. To fabricate p-n heterostructure, a plasma polymerization of aniline was conducted using RF plasma at 13.5 MHz and at the power of 120 W on the single and bilayer TiO2 thin film electrodes. The morphological, optical and the structural characterizations revealed the formation of p-n heterostructures between PANI and TiO2 thin film. The PANI/bilayer TiO2 heterostructure showed the improved current-voltage (I-V) characteristics due to the substantial deposition of PANI molecules into the bilayer TiO2 thin film which provided good conducting pathway and reduced the degree of excitons recombination. The change of linear I-V behavior of PANI/TiO2 heterostructure to non linear behavior with top Pt contact layer confirmed the formation of Schottky contact at the interfaces of Pt layer and PANI/TiO2 thin film layers.

  4. Emissions of NO and N2O in wetland microcosms for swine wastewater treatment.

    PubMed

    Zhang, Shunan; Liu, Feng; Xiao, Runlin; Li, Yong; Zhou, Juan; Wu, Jinshui

    2015-12-01

    Nitric oxide (NO) and nitrous oxide (N2O) emitted from wetland systems contribute an important proportion to the global warming effect. In this study, four wetland microcosms vegetated with Myriophyllum elatinoides (WM), Alternanthera philoxeroides (WA), Eichhornia crassipes (WE), or without vegetation (NW) were compared to investigate the emissions of NO and N2O during nitrogen (N) removal process when treating swine wastewater. After 30-day incubation, TN removal rates of 96.4, 74.2, 97.2, and 47.3 % were observed for the WM, WA, WE, and NW microcosms, respectively. Yet, no significant difference was observed in WM and WE (p > 0.05). The average NO and N2O emissions in WE was significantly higher than those in WM, WA, and NW (p < 0.05). In addition, the emission of N2O in WE accounted for 2.10 % of initial TN load and 2.17 % of the total amount of TN removal, compared with less than 1 % in the other microcosms. These findings indicate that wetland vegetated with M. elatinoides may be an optimal system for swine wastewater treatment, based on its higher removal of N and lower emissions of NO and N2O.

  5. Assessment of online monitoring strategies for measuring N2O emissions from full-scale wastewater treatment systems.

    PubMed

    Marques, Ricardo; Rodriguez-Caballero, A; Oehmen, Adrian; Pijuan, Maite

    2016-08-01

    Clark-Type nitrous oxide (N2O) sensors are routinely used to measure dissolved N2O concentrations in wastewater treatment plants (WWTPs), but have never before been applied to assess gas-phase N2O emissions in full-scale WWTPs. In this study, a full-scale N2O gas sensor was tested and validated for online gas measurements, and assessed with respect to its linearity, temperature dependence, signal saturation and drift prior to full-scale application. The sensor was linear at the concentrations tested (0-422.3, 0-50 and 0-10 ppmv N2O) and had a linear response up to 2750 ppmv N2O. An exponential correlation between temperature and sensor signal was described and predicted using a double exponential equation while the drift did not have a significant influence on the signal. The N2O gas sensor was used for online N2O monitoring in a full-scale sequencing batch reactor (SBR) treating domestic wastewater and results were compared with those obtained by a commercial online gas analyser. Emissions were successfully described by the sensor, being even more accurate than the values given by the commercial analyser at N2O concentrations above 500 ppmv. Data from this gas N2O sensor was also used to validate two models to predict N2O emissions from dissolved N2O measurements, one based on oxygen transfer rate and the other based on superficial velocity of the gas bubble. Using the first model, predictions for N2O emissions agreed by 98.7% with the measured by the gas sensor, while 87.0% similarity was obtained with the second model. This is the first study showing a reliable estimation of gas emissions based on dissolved N2O online data in a full-scale wastewater treatment facility. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    PubMed

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  7. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    PubMed Central

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-01-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency. PMID:28004829

  8. Use of statistical design of experiments for surface modification of Kapton films by CF4sbnd O2 microwave plasma treatment

    NASA Astrophysics Data System (ADS)

    Grandoni, Andrea; Mannini, Giacomo; Glisenti, Antonella; Manariti, Antonella; Galli, Giancarlo

    2017-10-01

    A statistical design of experiments (DoE) was used to evaluate the effects of CF4sbnd O2 plasma on Kapton films in which the duration of treatment, volume ratio of plasma gases, and microwave power were selected as effective experimental factors for systematic investigation of surface modification. Static water contact angle (θW), polar component of surface free energy (γSp) and surface O/C atomic ratio were analyzed as response variables. A significant enhancement in wettability and polarity of the treated films compared to untreated Kapton films was observed; depending on the experimental conditions, θW very significantly decreased, showing full wettability, and γSp rose dramatically, up to ten times. Within the DoE the conditions of plasma treatment were identified that resulted in selected optimal values of θW, γSp and O/C responses. Surface chemical changes were detected by XPS and ATR-IR investigations that evidenced both the introduction of fluorinated groups and the opening of the imide ring in the plasma-treated films.

  9. Friction and wear behaviour of plasma sprayed Cr2O3-TiO2 coating

    NASA Astrophysics Data System (ADS)

    Bagde, Pranay; Sapate, S. G.; Khatirkar, R. K.; Vashishtha, Nitesh; Tailor, Satish

    2018-02-01

    Cr2O3-25TiO2 coating was deposited by atmospheric plasma spray (APS) coating technique. Effect of load (5-30 N) and sliding velocity (0.25, 0.75 m s-1) on friction coefficient and abrasive wear behaviour of the Cr2O3-25TiO2 coating was studied. Mechanical and microstructural characterization of the Cr2O3-25TiO2 coating was carried out. With an increase in sliding velocity, abrasive wear rate and friction coefficient (COF) decreased while wear rate and friction coefficient showed an increasing trend with the load. The worn out surfaces were analyzed by SEM, EDS and XRD. At lower sliding velocity, XRD analysis revealed peaks of Ti2O3, Ti3O5, CrO2 and CrO3. In addition, peak of Ti4O7 was also detected at higher sliding velocity and at 30 N load. At higher sliding velocity medium to severe tribo oxidation was observed. XPS analysis of worn surfaces at both the sliding velocities, showed surface film of oxides of titanium and chromium along with Cr(OH)3. Magneli phase titanium oxides with sub stoichiometric composition, along with surface films of chromium oxides and hydroxides altered the friction and wear behaviour of the coating. The decrease in friction coefficient with an increase in sliding velocity was attributed to tribo oxides and tribochemical reaction films having lower shear strength with good lubricating properties. The mechanism of material removal involved plastic deformation at lower load whereas inter-granular and trans-granular fracture, delamination cracking and splat fracture was observed with an increase load from 10 N to 30 N.

  10. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  11. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreasedmore » sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.« less

  12. Experimental study of NO2 reduction in N2/Ar and O2/Ar mixtures by pulsed corona discharge.

    PubMed

    Zhu, Xinbo; Zheng, Chenghang; Gao, Xiang; Shen, Xu; Wang, Zhihua; Luo, Zhongyang; Cen, Kefa

    2014-11-01

    Non-thermal plasma technology has been regarded as a promising alternative technology for NOx removal. The understanding of NO2 reduction characteristics is extremely important since NO2 reduction could lower the total NO oxidation rate in the plasma atmosphere. In this study, NO2 reduction was experimentally investigated using a non-thermal plasma reactor driven by a pulsed power supply for different simulated gas compositions and operating parameters. The NO2 reduction was promoted by increasing the specific energy density (SED), and the highest conversion rates were 33.7%, 42.1% and 25.7% for Ar, N2/Ar and O2/Ar, respectively. For a given SED, the NO2 conversion rate had the order N2/Ar>Ar>O2/Ar. The highest energy yield of 3.31g/kWh was obtained in N2/Ar plasma and decreased with increasing SED; the same trends were also found in the other two gas compositions. The conversion rate decreased with increasing initial NO2 concentration. Furthermore, the presence of N2 or O2 led to different reaction pathways for NO2 conversion due to the formation of different dominating reactive radicals. Copyright © 2014. Published by Elsevier B.V.

  13. Enhancing electronic and optoelectronic performances of tungsten diselenide by plasma treatment.

    PubMed

    Xie, Yuan; Wu, Enxiu; Hu, Ruixue; Qian, Shuangbei; Feng, Zhihong; Chen, Xuejiao; Zhang, Hao; Xu, Linyan; Hu, Xiaodong; Liu, Jing; Zhang, Daihua

    2018-06-21

    Transition metal dichalcogenides (TMDCs) have recently become spotlighted as nanomaterials for future electronic and optoelectronic devices. In this work, we develop an effective approach to enhance the electronic and optoelectronic performances of WSe2-based devices by N2O plasma treatment. The hole mobility and sheet density increase by 2 and 5 orders of magnitude, reaching 110 cm2 V-1 s-1 and 2.2 × 1012 cm-2, respectively, after the treatment. At the same time, the contact resistance (Rc) between WSe2 and its metal electrode drop by 5 orders of magnitude from 1.0 GΩ μm to 28.4 kΩ μm. The WSe2 photoconductor exhibits superior performance with high responsivity (1.5 × 105 A W-1), short response time (<2 ms), high detectivity (3.6 × 1013 Jones) and very large photoconductive gain (>106). We have also built a lateral p-n junction on a single piece of WSe2 flake by selective plasma exposure. The junction reaches an exceedingly high rectifying ratio of 106, an excellent photoresponsivity of 2.49 A W-1 and a fast response of 8 ms. The enhanced optoelectronic performance is attributed to band-engineering through the N2O plasma treatment, which can potentially serve as an effective and versatile approach for device engineering and optimization in a wide range of electronic and optoelectronic devices based on 2D materials.

  14. Photoacoustic Spectroscopy for the Quantification of N2O in the Off-Gas of Wastewater Treatment Plants.

    PubMed

    Thaler, Klemens M; Berger, Christoph; Leix, Carmen; Drewes, Jörg; Niessner, Reinhard; Haisch, Christoph

    2017-03-21

    Different configurations of photoacoustic (PA) setups for the online-measurement of gaseous N 2 O, employing semiconductor lasers at 2.9 and 4.5 μm, were developed and tested. Their performance was assessed with respect to the analysis of N 2 O emissions from wastewater treatment plants. For this purpose, the local N 2 O emissions of a wastewater treatment bioreactor was sampled by a dedicated mobile sampling device, and the total N 2 O emissions were analyzed in the gastight headspace of the bioreactor. We found that the use of a quantum-cascade laser emitting at about 4.53 μm, operated in a wavelength modulation mode, in combination with a conventional longitudinal PA cell yielded the highest sensitivity (<100 ppbv). However, we also observed a strong cross-sensitivity to humidity, which can be explained by increased V-T relaxation. This observation in combination with the limited dynamic range (max conc. ∼ 3000 ppmv) led us to the use of the less-sensitive but spectroscopically more robust 2.9 μm laser. A detection limit below 1 ppmv, a dynamic range of more than 4 orders of magnitude, no influence of humidity or any other substance relevant to the off-gas analysis, as well as a comparable low price of the laser source made it the ideal tool for N 2 O analyses of the off-gas of a wastewater treatment plant. Such a system was implemented successfully in a full-scale wastewater treatment plant. The results regarding the comparison of different PA setups can be transferred to other systems, and the optimum performance can be selected according to the specific demands.

  15. Photocatalytic characteristic and photodegradation kinetics of toluene using N-doped TiO2 modified by radio frequency plasma.

    PubMed

    Shie, Je-Lueng; Lee, Chiu-Hsuan; Chiou, Chyow-San; Chen, Yi-Hung; Chang, Ching-Yuan

    2014-01-01

    This study investigates the feasibility of applications of the plasma surface modification of photocatalysts and the removal of toluene from indoor environments. N-doped TiO2 is prepared by precipitation methods and calcined using a muffle furnace (MF) and modified by radio frequency plasma (RF) at different temperatures with light sources from a visible light lamp (VLL), a white light-emitting diode (WLED) and an ultraviolet light-emitting diode (UVLED). The operation parameters and influential factors are addressed and prepared for characteristic analysis and photo-decomposition examination. Furthermore, related kinetic models are established and used to simulate the experimental data. The characteristic analysis results show that the RF plasma-calcination method enhanced the Brunauer Emmett Teller surface area of the modified photocatalysts effectively. For the elemental analysis, the mass percentages of N for the RF-modified photocatalyst are larger than those of MF by six times. The aerodynamic diameters of the RF-modifiedphotocatalyst are all smaller than those of MF. Photocatalytic decompositions of toluene are elucidated according to the Langmuir-Hinshelwood model. Decomposition efficiencies (eta) of toluene for RF-calcined methods are all higher than those of commercial TiO2 (P25). Reaction kinetics ofphoto-decomposition reactions using RF-calcined methods with WLED are proposed. A comparison of the simulation results with experimental data is also made and indicates good agreement. All the results provide useful information and design specifications. Thus, this study shows the feasibility and potential use of plasma modification via LED in photocatalysis.

  16. Production of N2O/+/ by reaction of metastable O2/+/ ions with N2

    NASA Technical Reports Server (NTRS)

    Ajello, J. M.; Rayermann, P.

    1975-01-01

    Photoionization mass spectrometry examination of the production of N2O(+) was undertaken to determine whether N2(+) or O2(+) ions are responsible for onset of N2O(+). It appears that the N2(+) ion does not contribute significantly to the production of N2O(+) in this experiment. Therefore, it is clear that excited O2(+) is responsible for the formation of N2O(+) near the appearance potential of these ions.

  17. Core-shell iron oxide-layered double hydroxide: High electrochemical sensing performance of H2O2 biomarker in live cancer cells with plasma therapeutics.

    PubMed

    Asif, Muhammad; Liu, Hongwei; Aziz, Ayesha; Wang, Haitao; Wang, Zhengyun; Ajmal, Muhammad; Xiao, Fei; Liu, Hongfang

    2017-11-15

    In this work, we develop a new type of multifunctional core-shell nanomaterial by controllable integration of CuAl layered double hydroxides (LDHs) over the surface of iron oxides (Fe 3 O 4 ) nanospheres (NSs) to fabricate (Fe 3 O 4 @CuAl NSs) hybrid material with interior tunability of LDH phase and explore its practical application in ultrasensitive detection of emerging biomarker, i.e., H 2 O 2 as cancer diagnostic probe. In addition, atmospheric pressure plasmas (APPs) have also been used as potential therapeutic approach for cancer treatment. Due to the synergistic combination of p-type semiconductive channels of LDHs with multi-functional properties, unique morphology and abundant surface active sites, the Fe 3 O 4 @CuAl NSs modified electrode exhibited attractive electrocatalytic activity towards H 2 O 2 reduction. Under the optimized conditions, the proposed biosensor demonstrated striking electrochemical sensing performances to H 2 O 2 including linear range as broad as 8 orders of magnitude, low real detection limit of 1nM (S/N = 3), high sensitivity, good reproducibility and long-term stability. Arising from the superb efficiency, the electrochemical biosensor has been used for in vitro determination of H 2 O 2 concentrations in human urine and serum samples prior to and following the intake of coffee, and real-time monitoring of H 2 O 2 efflux from different cancer cell lines in normal state and after plasma treatment. We believe that this novel nano-platform of structurally integrated core-shell nanohybrid materials combined with APPs will enhance diagnostic as well as therapeutic window for cancer diseases. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. Reduction and prediction of N2O emission from an Anoxic/Oxic wastewater treatment plant upon DO control and model simulation.

    PubMed

    Sun, Shichang; Bao, Zhiyuan; Li, Ruoyu; Sun, Dezhi; Geng, Haihong; Huang, Xiaofei; Lin, Junhao; Zhang, Peixin; Ma, Rui; Fang, Lin; Zhang, Xianghua; Zhao, Xuxin

    2017-11-01

    In order to make a better understanding of the characteristics of N 2 O emission in A/O wastewater treatment plant, full-scale and pilot-scale experiments were carried out and a back propagation artificial neural network model based on the experimental data was constructed to make a precise prediction of N 2 O emission. Results showed that, N 2 O flux from different units followed a descending order: aerated grit tank>oxic zone≫anoxic zone>final clarifier>primary clarifier, but 99.4% of the total emission of N 2 O (1.60% of N-load) was monitored from the oxic zone due to its big surface area. A proper DO control could reduce N 2 O emission down to 0.21% of N-load in A/O process, and a two-hidden-layers back propagation model with an optimized structure of 4:3:9:1 could achieve a good simulation of N 2 O emission, which provided a new method for the prediction of N 2 O emission during wastewater treatment. Copyright © 2017. Published by Elsevier Ltd.

  19. Experimental investigation of the contact resistance of Graphene/MoS2 interface treated with O2 plasma

    NASA Astrophysics Data System (ADS)

    Lu, Qin; Liu, Yan; Han, Genquan; Fang, Cizhe; Shao, Yao; Zhang, Jincheng; Hao, Yue

    2018-02-01

    High contact resistance has been a major bottleneck for MoS2 to achieve high performances among two-dimensional material based optoelectronic and electronic devices. In this study, we investigate the contact resistances of different layered graphene film with MoS2 film with Ti/Au electrodes under different O2 plasma treatment time using the circular transmission line model (CTLM). Annealing process followed O2 plasma process to reduce the oxygen element introduced. Raman and X-ray photoelectric spectroscopy were used to analyze the quality of the materials. Finally, the current and voltage curve indicates good linear characteristics. Under the optimized condition of the O2 plasma treatment, a relatively low contact resistance (∼35.7 Ohm mm) without back gate voltage in single-layer graphene/MoS2 structure at room temperature was achieved compared with the existing reports. This method of introducing graphene as electrodes for MoS2 film demonstrates a remarkable ability to improve the contact resistance, without additional channel doping for two-dimensional materials based devices, which paves the way for MoS2 to be a more promising channel material in optoelectronic and electronic integration.

  20. OH and O radicals production in atmospheric pressure air/Ar/H2O gliding arc discharge plasma jet

    NASA Astrophysics Data System (ADS)

    N, C. ROY; M, R. TALUKDER; A, N. CHOWDHURY

    2017-12-01

    Atmospheric pressure air/Ar/H2O gliding arc discharge plasma is produced by a pulsed dc power supply. An optical emission spectroscopic (OES) diagnostic technique is used for the characterization of plasmas and for identifications of {{OH}} and {{O}} radicals along with other species in the plasmas. The OES diagnostic technique reveals the excitation T x ≈ 5550-9000 K, rotational T r ≈ 1350-2700 K and gas T g ≈ 850-1600 K temperatures, and electron density {n}{{e}}≈ ({1.1-1.9})× {10}14 {{{cm}}}-3 under different experimental conditions. The production and destruction of {{OH}} and {{O}} radicals are investigated as functions of applied voltage and air flow rate. Relative intensities of {{OH}} and {{O}} radicals indicate that their production rates are increased with increasing {{Ar}} content in the gas mixture and applied voltage. {n}{{e}} reveals that the higher densities of {{OH}} and {{O}} radicals are produced in the discharge due to more effective electron impact dissociation of {{{H}}}2{{O}} and {{{O}}}2 molecules caused by higher kinetic energies as gained by electrons from the enhanced electric field as well as by enhanced {n}{{e}}. The productions of {{OH}} and {{O}} are decreasing with increasing air flow rate due to removal of Joule heat from the discharge region but enhanced air flow rate significantly modifies discharge maintenance properties. Besides, {T}{{g}} significantly reduces with the enhanced air flow rate. This investigation reveals that {{Ar}} plays a significant role in the production of {{OH}} and {{O}} radicals.

  1. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  2. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  3. Lu2O3-SiO2-ZrO2 Coatings for Environmental Barrier Application by Solution Precursor Plasma Spraying and Influence of Precursor Chemistry

    NASA Astrophysics Data System (ADS)

    Darthout, Émilien; Quet, Aurélie; Braidy, Nadi; Gitzhofer, François

    2014-02-01

    As environmental barrier coatings are subjected to thermal stress in gas turbine engines, the introduction of a secondary phase as zircon (ZrSiO4) is likely to increase the stress resistance of Lu2Si2O7 coatings generated by induction plasma spraying using liquid precursors. In a first step, precursor chemistry effect is investigated by the synthesis of ZrO2-SiO2 nanopowders by induction plasma nanopowder synthesis technique. Tetraethyl orthosilicate (TEOS) as silicon precursor and zirconium oxynitrate and zirconium ethoxide as zirconium precursors are mixed in ethanol and produce a mixture of tetragonal zirconia and amorphous silica nanoparticles. The use of zirconium ethoxide precursor results in zirconia particles with diameter below 50 nm because of exothermic thermal decomposition of the ethoxide and its high boiling point with respect to solvent, while larger particles are formed when zirconium oxynitrate is employed. The formation temperature of zircon from zirconia and silica oxides is found at 1425 °C. Second, coatings are synthesized in Lu2O3-ZrO2-SiO2 system. After heat treatment, the doping effect of lutetium on zirconia grains totally inhibits the zircon formation. Dense coatings are obtained with the use of zirconium ethoxide because denser particles with a homogeneous diameter distribution constitute the coating.

  4. Study of ultrasound-assisted radio-frequency plasma discharges in n-dodecane

    NASA Astrophysics Data System (ADS)

    Camerotto, Elisabeth; De Schepper, Peter; Nikiforov, Anton Y.; Brems, Steven; Shamiryan, Denis; Boullart, Werner; Leys, Christophe; De Gendt, Stefan

    2012-10-01

    This paper investigates the generation of a stable plasma phase in a liquid hydrocarbon (n-dodecane) by means of ultrasound (US) and radio-frequency (RF) or electromagnetic radiation. It is demonstrated for the first time that ultrasonic aided RF plasma discharges can be generated in a liquid. Plasma discharges are obtained for different gas mixtures at a pressure of 12 kPa and at low ignition powers (100 W for RF and 2.4 W cm-2 for US). Direct carbon deposition from the liquid precursor on Cu, Ni, SiO2 and Si substrates has been obtained and no apparent compositional or structural difference among the substrate materials was observed. Characterization of the deposited solid phase revealed an amorphous structure. In addition, structural changes in the liquid precursor after plasma treatment have been analysed. Optical emission spectroscopy (OES) allowed the estimation of several plasma characteristic temperatures. The plasma excitation temperature was estimated to be about 2.3-2.4 eV. The rotational and vibrational temperatures of the discharge in n-dodecane with Ar as a feed gas were 1400 K and 6500 K, respectively. In Ar/O2 plasma, an increased rotational (1630 K) and vibrational temperature (7200 K) were obtained.

  5. Self-terminated etching of GaN with a high selectivity over AlGaN under inductively coupled Cl2/N2/O2 plasma with a low-energy ion bombardment

    NASA Astrophysics Data System (ADS)

    Zhong, Yaozong; Zhou, Yu; Gao, Hongwei; Dai, Shujun; He, Junlei; Feng, Meixin; Sun, Qian; Zhang, Jijun; Zhao, Yanfei; DingSun, An; Yang, Hui

    2017-10-01

    Etching of GaN/AlGaN heterostructure by O-containing inductively coupled Cl2/N2 plasma with a low-energy ion bombardment can be self-terminated at the surface of the AlGaN layer. The estimated etching rates of GaN and AlGaN were 42 and 0.6 nm/min, respectively, giving a selective etching ratio of 70:1. To study the mechanism of the etching self-termination, detailed characterization and analyses were carried out, including X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectroscopy (TOF-SIMS). It was found that in the presence of oxygen, the top surface of the AlGaN layer was converted into a thin film of (Al,Ga)Ox with a high bonding energy, which effectively prevented the underlying atoms from a further etching, resulting in a nearly self-terminated etching. This technique enables a uniform and reproducible fabrication process for enhancement-mode high electron mobility transistors with a p-GaN gate.

  6. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-07-01

    Thin films grown by Al2O3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al2O3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5×10-5 g/m2 day at 38 °C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H2O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ˜7×10-3 g/m2 day at 38 °C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al2O3 ALD film. An Al2O3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ˜7×10-3 to ≤5×10-5 g/m2 day at 38 °C/85% RH. The reduction in the permeability for Al2O3 ALD on the SiN PECVD films was attributed to either Al2O3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al2O3 ALD on SiN.

  7. N2O emissions from a nitrogen-enriched river

    USGS Publications Warehouse

    McMahon, P.B.; Dennehy, K.F.

    1999-01-01

    Nitrous oxide (N2O) emissions from the South Platte River in Colorado were measured using closed chambers in the fall, winter, and summer of 1994- 1995. The South Platte River was enriched in inorganic N (9-800 ??M) derived from municipal wastewater effluent and groundwater return flows from irrigated agricultural fields. River water was as much as 2500% supersaturated with N2O, and median N2O emission rates from the river surface ranged from less than 90 to 32 600 ??g-N m-2 d-1. Seventy-nine percent of the variance in N2O emission rates was explained by concentrations of total inorganic N in river water and by water temperature. The estimated total annual N2O emissions from the South Platte River were 2 x 1013-6 x 1013 ??g-N yr-1. This amount of annual N2O emissions was similar to the estimated annual N2O emissions from all primary municipal wastewater treatment processes in the United States (1). Results from this study indicate that N-enriched rivers could be important anthropogenic sources of N2O to the atmosphere. However, N2O emission measurements from other N-enriched rivers are needed to better quantify this source.Nitrous oxide (N2O) emissions from the South Platte River in Colorado were measured using closed chambers in the fall, winter, and summer of 1994-1995. The South Platte River was enriched in inorganic N (9-800 ??M) derived from municipal wastewater effluent and groundwater return flows from irrigated agricultural fields. River water was as much as 2500% supersaturated with N2O, and median N2O emission rates from the river surface ranged from less than 90 to 32 600 ??g-N m-2 d-1. Seventy-nine percent of the variance in N2O emission rates was explained by concentrations of total inorganic N in river water and by water temperature. The estimated total annual N2O emissions from the South Platte River were 2??1013-6??1013 ??g-N yr-1. This amount of annual N2O emissions was similar to the estimated annual N2O emissions from all primary municipal

  8. Selective Encaging of N2O in N2O-N2 Binary Gas Hydrates via Hydrate-Based Gas Separation.

    PubMed

    Yang, Youjeong; Shin, Donghoon; Choi, Seunghyun; Woo, Yesol; Lee, Jong-Won; Kim, Dongseon; Shin, Hee-Young; Cha, Minjun; Yoon, Ji-Ho

    2017-03-21

    The crystal structure and guest inclusion behaviors of nitrous oxide-nitrogen (N 2 O-N 2 ) binary gas hydrates formed from N 2 O/N 2 gas mixtures are determined through spectroscopic analysis. Powder X-ray diffraction results indicate that the crystal structure of all the N 2 O-N 2 binary gas hydrates is identified as the structure I (sI) hydrate. Raman spectra for the N 2 O-N 2 binary gas hydrate formed from N 2 O/N 2 (80/20, 60/40, 40/60 mol %) gas mixtures reveal that N 2 O molecules occupy both large and small cages of the sI hydrate. In contrast, there is a single Raman band of N 2 O molecules for the N 2 O-N 2 binary gas hydrate formed from the N 2 O/N 2 (20/80 mol %) gas mixture, indicating that N 2 O molecules are trapped in only large cages of the sI hydrate. From temperature-dependent Raman spectra and the Predictive Soave-Redlich-Kwong (PSRK) model calculation, we confirm the self-preservation of N 2 O-N 2 binary gas hydrates in the temperature range of 210-270 K. Both the experimental measurements and the PSRK model calculations demonstrate the preferential occupation of N 2 O molecules rather than N 2 molecules in the hydrate cages, leading to a possible process for separating N 2 O from gas mixtures via hydrate formation. The phase equilibrium conditions, pseudo-pressure-composition (P-x) diagram, and gas storage capacity of N 2 O-N 2 binary gas hydrates are discussed in detail.

  9. Argon-plasma-controlled optical reset in the SiO2/Cu filamentary resistive memory stack

    NASA Astrophysics Data System (ADS)

    Kawashima, T.; Yew, K. S.; Zhou, Y.; Ang, D. S.; Zhang, H. Z.; Kyuno, K.

    2018-05-01

    We show that resistive switching in the SiO2/Cu stack can be modified by a brief exposure of the oxide to an Ar plasma. The set voltage of the SiO2/Cu stack is reduced by 33%, while the breakdown voltage of the SiO2/Si stack (control) is almost unchanged. Besides, the Ar plasma treatment suppresses the negative photoconductivity or optical resistance reset effect, where the electrically formed filamentary conductive path consisting of Cu-ion and oxygen-vacancy clusters is disrupted by the recombination of the oxygen vacancies with nearby light-excited oxygen ions. From the enhanced O-H peak in the Fourier-transform infrared spectrum of the plasma-treated oxide, it is proposed that the Ar plasma has created more oxygen vacancies in the surface region of the oxide. These vacancies in turn adsorb water molecules, which act as counter anions (OH-) promoting the migration of Cu cations into the oxide and forming a more complete Cu filament that is less responsive to light. The finding points to the prospect of a control over the optical resistance reset effect by a simple surface treatment step.

  10. One-step argon/nitrogen binary plasma jet irradiation of Li4Ti5O12 for stable high-rate lithium ion battery anodes

    NASA Astrophysics Data System (ADS)

    Lan, Chun-Kai; Chuang, Shang-I.; Bao, Qi; Liao, Yen-Ting; Duh, Jenq-Gong

    2015-02-01

    Atmospheric pressure Ar/N2 binary plasma jet irradiation has been introduced into the manufacturing process of lithium ions batteries as a facile, green and scalable post-fabrication treatment approach, which enhanced significantly the high-rate anode performance of lithium titanate (Li4Ti5O12). Main emission lines in Ar/N2 plasma measured by optical emission spectroscopy reveal that the dominant excited high-energy species in Ar/N2 plasma are N2*, N2+, N∗ and Ar∗. Sufficient oxygen vacancies have been evidenced by high resolution X-ray photoelectron spectroscopy analysis and Raman spectra. Nitrogen doping has been achieved simultaneously by the surface reaction between pristine Li4Ti5O12 particles and chemically reactive plasma species such as N∗ and N2+. The variety of Li4Ti5O12 particles on the surface of electrodes after different plasma processing time has been examined by grazing incident X-Ray diffraction. Electrochemical impedance spectra (EIS) confirm that the Ar/N2 atmospheric plasma treatment facilitates Li+ ions diffusion and reduces the internal charge-transfer resistance. The as-prepared Li4Ti5O12 anodes exhibit a superior capacity (132 mAh g-1) and excellent stability with almost no capacity decay over 100 cycles under a high C rate (10C).

  11. Effects of surface plasma treatment on threshold voltage hysteresis and instability in metal-insulator-semiconductor (MIS) AlGaN/GaN heterostructure HEMTs

    NASA Astrophysics Data System (ADS)

    Zaidi, Z. H.; Lee, K. B.; Roberts, J. W.; Guiney, I.; Qian, H.; Jiang, S.; Cheong, J. S.; Li, P.; Wallis, D. J.; Humphreys, C. J.; Chalker, P. R.; Houston, P. A.

    2018-05-01

    In a bid to understand the commonly observed hysteresis in the threshold voltage (VTH) in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors during forward gate bias stress, we have analyzed a series of measurements on devices with no surface treatment and with two different plasma treatments before the in-situ Al2O3 deposition. The observed changes between samples were quasi-equilibrium VTH, forward bias related VTH hysteresis, and electrical response to reverse bias stress. To explain these effects, a disorder induced gap state model, combined with a discrete level donor, at the dielectric/semiconductor interface was employed. Technology Computer-Aided Design modeling demonstrated the possible differences in the interface state distributions that could give a consistent explanation for the observations.

  12. O2 Herzberg State Reaction with N2: A Possible Source of Stratospheric N2O

    NASA Technical Reports Server (NTRS)

    Slanger, Tom G.; Copeland, Richard A.

    1997-01-01

    The goal of this one-year investigation was to determine whether N2O is formed in atmospherically significant quantities by the reaction of vibrationally excited levels of the O2((A3 Sigma(sub u)(sup +)) state with nitrogen. O2(A3 Sigma(sub u)(sup +)) is made throughout the upper stratosphere in considerable amounts by solar photoabsorption, and only a very small reactive yield is necessary for this mechanism to be a major N2O source. By long-term 245-252 nm irradiation of O2/N2 mixtures on- and off-resonance with absorption lines in the O2(A3 Sigma(sub u)(sup +) - X3 Sigma(sub g)(sup -)) transition, followed by N2O analysis by frequency-modulated diode laser absorption spectroscopy, we determined an upper limit for the N2O yield of the candidate reaction. This limit, 3 x 10(exp -5), eliminates O2(A3 Sigma(sub u)(sup +)) + N2 as a significant channel for the generation of stratospheric N2O. In further measurements, we established that N2O is stable under our photolysis conditions, showing that the small amounts of ozone generated from the reaction of O2(A) and O2 do not indirectly lead to destruction of N2O.

  13. Optical characteristics of a RF DBD plasma jet in various {Ar}/ {O}_{2}Ar/O2 mixtures

    NASA Astrophysics Data System (ADS)

    Falahat, A.; Ganjovi, A.; Taraz, M.; Ravari, M. N. Rostami; Shahedi, A.

    2018-02-01

    In this paper, using the optical emission spectroscopy (OES) technique, the optical characteristics of a radiofrequency (RF) plasma jet are examined. The Ar/O2 mixture is taken as the operational gas and, the Ar percentage in the Ar/O2 mixture is varied from 70% to 95%. Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H_{β }. It is mostly seen that, the radiation intensity of Ar 4p→ 4s transitions at higher argon contributions in Ar/O2 mixture is higher. It is found that, at higher Ar percentages, the emission intensities from atomic oxygen (O) are higher and, the line intensities from the argon atoms and ions including O atoms linearly increase. It is observed that the quenching of Ar^{*} with O2 results in higher O species with respect to O2 molecules. In addition, at higher percentages of Ar in the Ar/O2 mixture, while the excitation temperature is decreased, the electron density is increased.

  14. Water plasma functionalized CNTs/MnO2 composites for supercapacitors.

    PubMed

    Hussain, Shahzad; Amade, Roger; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg(-1), for untreated CNTs, up to 750 Fg(-1), for water plasma-treated CNTs.

  15. Surface-wave-sustained plasma torch for water treatment

    NASA Astrophysics Data System (ADS)

    Marinova, P.; Benova, E.; Todorova, Y.; Topalova, Y.; Yotinov, I.; Atanasova, M.; Krcma, F.

    2018-02-01

    In this study the effects of water treatment by surface-wave-sustained plasma torch at 2.45 GHz are studied. Changes in two directions are obtained: (i) changes of the plasma characteristics during the interaction with the water; (ii) water physical and chemical characteristics modification as a result of the plasma treatment. In addition, deactivation of Gram positive and Gram negative bacteria in suspension are registered. A number of charged and excited particles from the plasma interact with the water. As a result the water chemical and physical characteristics such as the water conductivity, pH, H2O2 concentration are modified. It is observed that the effect depends on the treatment time, wave power, and volume of the treated liquid. At specific discharge conditions determined by the wave power, gas flow, discharge tube radius, thickness and permittivity, the surface-wave-sustained discharge (SWD) operating at atmospheric pressure in argon is strongly non-equilibrium with electron temperature T e much higher than the temperature of the heavy particles (gas temperature T g). It has been observed that SWD argon plasma with T g close to the room temperature is able to produce H2O2 in the water with high efficiency at short exposure times (less than 60 sec). The H2O2 decomposition is strongly dependant on the temperature thus the low operating gas temperature is crucial for the H2O2 production efficiency. After scaling up the device, the observed effects can be applied for the waste water treatment in different facilities. The innovation will be useful especially for the treatment of waters and materials for medical application.

  16. Isotopomer analysis of production and consumption mechanisms of N2O and CH4 in an advanced wastewater treatment system.

    PubMed

    Toyoda, Sakae; Suzuki, Yuuri; Hattori, Shohei; Yamada, Keita; Fujii, Ayako; Yoshida, Naohiro; Kouno, Rina; Murayama, Kouki; Shiomi, Hiroshi

    2011-02-01

    Wastewater treatment processes are believed to be anthropogenic sources of nitrous oxide (N(2)O) and methane (CH(4)). However, few studies have examined the mechanisms and controlling factors in production of these greenhouse gases in complex bacterial systems. To elucidate production and consumption mechanisms of N(2)O and CH(4) in microbial consortia during wastewater treatment and to characterize human waste sources, we measured their concentrations and isotopomer ratios (elemental isotope ratios and site-specific N isotope ratios in asymmetric molecules of NNO) in water and gas samples collected by an advanced treatment system in Tokyo. Although the estimated emissions of N(2)O and CH(4) from the system were found to be lower than those from the typical treatment systems reported before, water in biological reaction tanks was supersaturated with both gases. The concentration of N(2)O, produced mainly by nitrifier-denitrification as indicated by isotopomer ratios, was highest in the oxic tank (ca. 4000% saturation). The dissolved CH(4) concentration was highest in in-flow water (ca. 3000% saturation). It decreased gradually during treatment. Its carbon isotope ratio indicated that the decrease resulted from bacterial CH(4) oxidation and that microbial CH(4) production can occur in anaerobic and settling tanks.

  17. [Effects of diurnal warming on soil N2O emission in soybean field].

    PubMed

    Hu, Zheng-Hua; Zhou, Ying-Ping; Cui, Hai-Ling; Chen, Shu-Tao; Xiao, Qi-Tao; Liu, Yan

    2013-08-01

    To investigate the impact of experimental warming on N2O emission from soil of soybean field, outdoor experiments with simulating diurnal warming were conducted, and static dark chamber-gas chromatograph method was used to measure N2O emission fluxes. Results indicated that: the diurnal warming did not change the seasonal pattern of N2O emissions from soil. In the whole growing season, comparing to the control treatment (CK), the warming treatment (T) significantly enhanced the N2O flux and the cumulative amount of N2O by 17.31% (P = 0.019), and 20.27% (P = 0.005), respectively. The significant correlations were found between soil N2O emission and soil temperature, moisture. The temperature sensitivity values of soil N2O emission under CK and T treatments were 3.75 and 4.10, respectively. In whole growing stage, T treatment significantly increased the crop aboveground and total biomass, the nitrate reductase activity, and total nitrogen in leaves, while significantly decreased NO3(-) -N content in leaves. T treatment significantly increased soil NO3(-) -N content, but had no significant effect on soil organic carbon and total nitrogen contents. The results of this study suggested that diurnal warming enhanced N2O emission from soil in soybean field.

  18. Sterilization/disinfection of medical devices using plasma: the flowing afterglow of the reduced-pressure N2-O2 discharge as the inactivating medium

    NASA Astrophysics Data System (ADS)

    Moisan, Michel; Boudam, Karim; Carignan, Denis; Kéroack, Danielle; Levif, Pierre; Barbeau, Jean; Séguin, Jacynthe; Kutasi, Kinga; Elmoualij, Benaïssa; Thellin, Olivier; Zorzi, Willy

    2013-07-01

    Potential sterilization/disinfection of medical devices (MDs) is investigated using a specific plasma process developed at the Université de Montréal over the last decade. The inactivating medium of the microorganisms is the flowing afterglow of a reduced-pressure N2-O2 discharge, which provides, as the main biocidal agent, photons over a broad ultraviolet (UV) wavelength range. The flowing afterglow is considered less damaging to MDs than the discharge itself. Working at gas pressures in the 400—700 Pa range (a few torr) ensures, through species diffusion, the uniform filling of large volume chambers with the species outflowing from the discharge, possibly allowing batch processing within them. As a rule, bacterial endospores are used as bio-indicators (BI) to validate sterilization processes. Under the present operating conditions, Bacillus atrophaeus is found to be the most resistant one and is therefore utilized as BI. The current paper reviews the main experimental results concerning the operation and characterization of this sterilizer/disinfector, updating and completing some of our previously published papers. It uses modeling results as guidelines, which are particularly useful when the corresponding experimental data are not (yet) available, hopefully leading to more insight into this plasma afterglow system. The species flowing out of the N2-O2 discharge can be divided into two groups, depending on the time elapsed after they left the discharge zone as they move toward the chamber, namely the early afterglow and the late afterglow. The early flowing afterglow from a pure N2 discharge (also called pink afterglow) is known to be comprised of N2+ and N4+ ions. In the present N2-O2 mixture discharge, NO+ ions are additionally generated, with a lifetime that extends over a longer period than that of the nitrogen molecular ions. We shall suppose that the disappearance of the NO+ ions marks the end of the early afterglow regime, thereby stressing our intent

  19. Infrared spectra of N2O-(ortho-D2)N and N2O-(HD)N clusters trapped in bulk solid parahydrogen.

    PubMed

    Lorenz, Britney D; Anderson, David T

    2007-05-14

    High-resolution infrared spectra of the clusters N2O-(ortho-D2)N and N2O-(HD)N, N=1-4, isolated in bulk solid parahydrogen at liquid helium temperatures are studied in the 2225 cm-1 region of the nu3 antisymmetric stretch of N2O. The clusters form during vapor deposition of separate gas streams of a precooled hydrogen mixture (ortho-D2para-H2 or HDpara-H2) and N2O onto a BaF2 optical substrate held at approximately 2.5 K in a sample-in-vacuum liquid helium cryostat. The cluster spectra reveal the N2O nu3 vibrational frequency shifts to higher energy as a function of N, and the shifts are larger for ortho-D2 compared to HD. These vibrational shifts result from the reduced translational zero-point energy for N2O solvated by the heavier hydrogen isotopomers. These spectra allow the N=0 peak at 2221.634 cm-1, corresponding to the nu3 vibrational frequency of N2O isolated in pure solid parahydrogen, to be assigned. The intensity of the N=0 absorption feature displays a strong temperature dependence, suggesting that significant structural changes occur in the parahydrogen solvation environment of N2O in the 1.8-4.9 K temperature range studied.

  20. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  1. Water Plasma Functionalized CNTs/MnO2 Composites for Supercapacitors

    PubMed Central

    Hussain, Shahzad; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg−1, for untreated CNTs, up to 750 Fg−1, for water plasma-treated CNTs. PMID:24348189

  2. Vibrational spectroscopy of NO + (H2O)n: Evidence for the intracluster reaction NO + (H2O)n --> H3O + (H2O)n - 2 (HONO) at n => 4

    NASA Astrophysics Data System (ADS)

    Choi, Jong-Ho; Kuwata, Keith T.; Haas, Bernd-Michael; Cao, Yibin; Johnson, Matthew S.; Okumura, Mitchio

    1994-05-01

    Infrared spectra of mass-selected clusters NO+(H2O)n for n=1 to 5 were recorded from 2700 to 3800 cm-1 by vibrational predissociation spectroscopy. Vibrational frequencies and intensities were also calculated for n=1 and 2 at the second-order Møller-Plesset (MP2) level, to aid in the interpretation of the spectra, and at the singles and doubles coupled cluster (CCSD) level energies of n=1 isomers were computed at the MP2 geometries. The smaller clusters (n=1 to 3) were complexes of H2O ligands bound to a nitrosonium ion NO+ core. They possessed perturbed H2O stretch bands and dissociated by loss of H2O. The H2O antisymmetric stretch was absent in n=1 and gradually increased in intensity with n. In the n=4 clusters, we found evidence for the beginning of a second solvation shell as well as the onset of an intracluster reaction that formed HONO. These clusters exhibited additional weak, broad bands between 3200 and 3400 cm-1 and two new minor photodissociation channels, loss of HONO and loss of two H2O molecules. The reaction appeared to go to completion within the n=5 clusters. The primary dissociation channel was loss of HONO, and seven vibrational bands were observed. From an analysis of the spectrum, we concluded that the n=5 cluster rearranged to form H3O+(H2O)3(HONO), i.e., an adduct of the reaction products.

  3. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    NASA Astrophysics Data System (ADS)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-07-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications.

  4. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    PubMed Central

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  5. Mass spectrometric studies of SiO2 deposition in an indirect plasma enhanced LPCVD system

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.; Mcconica, C. M.

    1993-01-01

    Reaction pathways for the low temperature deposition of SiO2 from silane and indirect plasma-excited oxygen-nitrogen mixtures are proposed based on experimental evidence gained from mass spectrometry in an indirect plasma enhanced chemical vapor deposition chamber. It was observed that about 80-85 percent of the silane was oxidized to byproduct hydrogen and only about 15-20 percent to water. Such conversion levels have led us to interpret that silanol (SiH3OH) could be the precursor for SiO2 film deposition, rather than siloxane /(SiH3)2O/ which has generally been cited in the literature. From mass spectrometry, we have also shown the effects of the plasma, and of mixing small amounts of N2 with the oxygen flow, in increasing the deposition rate of SiO2. Free radical reaction of nitric oxide, synthesized from the reaction of oxygen and nitrogen in the plasma chamber, and an *ncrease in atomic oxygen concentration, are believed to be the reasons for these SiO2 deposition rate increases. Through mass spectrometry we have, in addition, been able to identify products, presumably originating from terminating reactions, among a sequence of chemical reactions proposed for the deposition of SiO2.

  6. Quantification of vosaroxin and its metabolites N-desmethylvosaroxin and O-desmethylvosaroxin in human plasma and urine using high-performance liquid chromatography-tandem mass spectrometry.

    PubMed

    Nijenhuis, C M; Lucas, L; Rosing, H; Jamieson, G; Fox, J A; Schellens, J H M; Beijnen, J H

    2016-08-01

    Vosaroxin is a first-in-class anticancer quinolone derivative topoisomerase II inhibitor that is currently in development in combination with cytarabine for the treatment of acute myeloid leukemia (AML). To investigate vosaroxin pharmacokinetics (PK) in patients, liquid chromatography tandem mass spectrometry (LC-MS/MS) assays to quantify vosaroxin and the two metabolites N-desmethylvosaroxin and O-desmethylvosaroxin in human plasma and urine were developed and validated. Immediately after collection the samples were stored at -80°C. Prior to analysis, the plasma samples were subjected to protein precipitation and the urine samples were diluted. For both assays the reconstituted extracts were injected on a Symmetry Shield RP8 column and gradient elution was applied using 0.1% formic acid in water and acetonitrile-methanol (50:50, v/v). Analyses were performed with a triple quadruple mass spectrometer in positive-ion mode. A deuterated isotope of vosaroxin was used as internal standard for the quantification. The validated assays quantify vosaroxin and N-desmethylvosaroxin in the concentration range of 2-500ng/mL in plasma and urine. For O-desmethylvosaroxin the concentration range of 4-500ng/mL in plasma and urine was validated. Dilution integrity experiments show that samples can be diluted 25 fold in control matrix prior to analysis. The expanded concentration range for plasma and urine for vosaroxin and N-desmethylvosaroxin is therefore from 2 to 15,000ng/mL and in plasma for O-desmethylvosaroxin from 4 to 15,000ng/mL. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. Studies of CW lasing action in CO2-CO, N2O-CO, CO2-H2O, and N2O-H2O mixtures pumped by blackbody radiation

    NASA Technical Reports Server (NTRS)

    Abel, Robert W.; Christiansen, Walter H.; Li, Jian-Guo

    1988-01-01

    A proof of principle experiment to evaluate the efficacy of CO and H2O in increasing the power output for N2O and CO2 lasing mixtures has been conducted and theoretically analyzed for a blackbody radiation-pumped laser. The results for N2O-CO, CO2-CO, N2O-H2O and CO2-H2O mixtures are presented. Additions of CO to the N2O lasant increased power up to 28 percent for N2O laser mixtures, whereas additions of CO to the CO2 lasant, and the addition of H2O to both the CO2 and N2O lasants, resulted in decreased output power.

  8. Time-dependent one-dimensional simulation of atmospheric dielectric barrier discharge in N2/O2/H2O using COMSOL Multiphysics

    NASA Astrophysics Data System (ADS)

    Sohbatzadeh, F.; Soltani, H.

    2018-04-01

    The results of time-dependent one-dimensional modelling of a dielectric barrier discharge (DBD) in a nitrogen-oxygen-water vapor mixture at atmospheric pressure are presented. The voltage-current characteristics curves and the production of active species are studied. The discharge is driven by a sinusoidal alternating high voltage-power supply at 30 kV with frequency of 27 kHz. The electrodes and the dielectric are assumed to be copper and quartz, respectively. The current discharge consists of an electrical breakdown that occurs in each half-period. A detailed description of the electron attachment and detachment processes, surface charge accumulation, charged species recombination, conversion of negative and positive ions, ion production and losses, excitations and dissociations of molecules are taken into account. Time-dependent one-dimensional electron density, electric field, electric potential, electron temperature, densities of reactive oxygen species (ROS) and reactive nitrogen species (RNS) such as: O, O-, O+, {O}2^{ - } , {O}2^{ + } , O3, {N}, {N}2^{ + } , N2s and {N}2^{ - } are simulated versus time across the gas gap. The results of this work could be used in plasma-based pollutant degradation devices.

  9. A comparative study on the activity of TiO2 in pulsed plasma under different discharge conditions

    NASA Astrophysics Data System (ADS)

    Lijuan, DUAN; Nan, JIANG; Na, LU; Kefeng, SHANG; Jie, LI; Yan, WU

    2018-05-01

    In the present study, a combination of pulsed discharge plasma and TiO2 (plasma/TiO2) has been developed in order to study the activity of TiO2 by varying the discharge conditions of pulsed voltage, discharge mode, air flow rate and solution conductivity. Phenol was used as the chemical probe to characterize the activity of TiO2 in a pulsed discharge system. The experimental results showed that the phenol removal efficiency could be improved by about 10% by increasing the applied voltage. The phenol removal efficiency for three discharge modes in the plasma-discharge-alone system was found to be highest in the spark mode, followed by the spark–streamer mode and finally the streamer mode. In the plasma/TiO2 system, the highest catalytic effect of TiO2 was observed in the spark–streamer discharge mode, which may be attributed to the favorable chemical and physical effects from the spark–streamer discharge mode, such as ultraviolet light, O3, H2O2, pyrolysis, shockwaves and high-energy electrons. Meanwhile, the optimal flow rate and conductivity were 0.05 m3 l‑1 and 10 μS cm‑1, respectively. The main phenolic intermediates were hydroquinone, catechol, and p-benzoquinone during the discharge treatment process. A different phenol degradation pathway was observed in the plasma/TiO2 system as compared to plasma alone. Analysis of the reaction intermediates demonstrated that p-benzoquinone reduction was selectively catalyzed on the TiO2 surface. The effective decomposition of phenol constant (D e) increased from 74.11% to 79.16% when TiO2 was added, indicating that higher phenol mineralization was achieved in the plasma/TiO2 system.

  10. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  11. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  12. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Minami, Masaki; Tomiya, Shigetaka; Ishikawa, Kenji; Matsumoto, Ryosuke; Chen, Shang; Fukasawa, Masanaga; Uesawa, Fumikatsu; Sekine, Makoto; Hori, Masaru; Tatsumi, Tetsuya

    2011-08-01

    GaN-based optical devices are fabricated using a GaN/InGaN/GaN sandwiched structure. The effect of radicals, ions, and UV light on the GaN optical properties during Cl2/SiCl4/Ar plasma etching was evaluated using photoluminescence (PL) analysis. The samples were exposed to plasma (radicals, ions, and UV light) using an inductively coupled plasma (ICP) etching system and a plasma ion beam apparatus that can separate the effects of UV and ions both with and without covering the SiO2 window on the surface. Etching damage in an InGaN single quantum well (SQW) was formed by exposing the sample to plasma. The damage, which decreases PL emission intensity, was generated not only by ion beam irradiation but also by UV light irradiation. PL intensity decreased when the thickness of the upper GaN layer was etched to less than 60 nm. In addition, simultaneous irradiation of UV light and ions slightly increased the degree of damage. There seems to be a synergistic effect between the UV light and the ions. For high-quality GaN-based optoelectronics and power devices, UV light must be controlled during etching processes in addition to the etching profile, selectivity, and ion bombardment damage.

  13. The vibration-rotation-tunneling levels of N2-H2O and N2-D2O.

    PubMed

    Wang, Xiao-Gang; Carrington, Tucker

    2015-07-14

    In this paper, we report vibration-rotation-tunneling levels of the van der Waals clusters N2-H2O and N2-D2O computed from an ab initio potential energy surface. The only dynamical approximation is that the monomers are rigid. We use a symmetry adapted Lanczos algorithm and an uncoupled product basis set. The pattern of the cluster's levels is complicated by splittings caused by H-H exchange tunneling (larger splitting) and N-N exchange tunneling (smaller splitting). An interesting result that emerges from our calculation is that whereas in N2-H2O, the symmetric H-H tunnelling state is below the anti-symmetric H-H tunnelling state for both K = 0 and K = 1, the order is reversed in N2-D2O for K = 1. The only experimental splitting measurements are the D-D exchange tunneling splittings reported by Zhu et al. [J. Chem. Phys. 139, 214309 (2013)] for N2-D2O in the v2 = 1 region of D2O. Due to the inverted order of the split levels, they measure the sum of the K = 0 and K = 1 tunneling splittings, which is in excellent agreement with our calculated result. Other splittings we predict, in particular those of N2-H2O, may guide future experiments.

  14. The vibration-rotation-tunneling levels of N2-H2O and N2-D2O

    NASA Astrophysics Data System (ADS)

    Wang, Xiao-Gang; Carrington, Tucker

    2015-07-01

    In this paper, we report vibration-rotation-tunneling levels of the van der Waals clusters N2-H2O and N2-D2O computed from an ab initio potential energy surface. The only dynamical approximation is that the monomers are rigid. We use a symmetry adapted Lanczos algorithm and an uncoupled product basis set. The pattern of the cluster's levels is complicated by splittings caused by H-H exchange tunneling (larger splitting) and N-N exchange tunneling (smaller splitting). An interesting result that emerges from our calculation is that whereas in N2-H2O, the symmetric H-H tunnelling state is below the anti-symmetric H-H tunnelling state for both K = 0 and K = 1, the order is reversed in N2-D2O for K = 1. The only experimental splitting measurements are the D-D exchange tunneling splittings reported by Zhu et al. [J. Chem. Phys. 139, 214309 (2013)] for N2-D2O in the v2 = 1 region of D2O. Due to the inverted order of the split levels, they measure the sum of the K = 0 and K = 1 tunneling splittings, which is in excellent agreement with our calculated result. Other splittings we predict, in particular those of N2-H2O, may guide future experiments.

  15. Atmospheric plasma sprayed (APS) coatings of Al2O3-TiO2 system for photocatalytic application.

    PubMed

    Stengl, V; Ageorges, H; Ctibor, P; Murafa, N

    2009-05-01

    The goal of this study is to examine the photocatalytic ability of coatings produced by atmospheric plasma spraying (APS). The plasma gun used is a common gas-stabilized plasma gun (GSP) working with a d.c. current and a mixture of argon and hydrogen as plasma-forming gas. The TiO(2) powders are particles of about 100 nm which were agglomerated to a mean size of about 55 mum, suitable for spraying. Composition of the commercial powder is 13 wt% of TiO(2) in Al(2)O(3), whereas also in-house prepared powder with the same nominal composition but with agglomerated TiO(2) and conventional fused and crushed Al(2)O(3) was sprayed. The feedstock materials used for this purpose are alpha-alumina and anatase titanium dioxide. The coatings are analyzed by scanning electron microscopy (SEM), energy dispersion probe (EDS) and X-ray diffraction. Photocatalytic degradation of acetone is quantified for various coatings. All plasma sprayed coatings show a lamellar structure on cross section, as typical for this process. Anatase titania from feedstock powder is converted into rutile titania and alpha-alumina partly to gamma-alumina. Coatings are proven to catalyse the acetone decomposition when irradiated by UV rays.

  16. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  17. Time-Resolved Optical Emission Spectroscopy Diagnosis of CO2 Laser-Produced SnO2 Plasma

    NASA Astrophysics Data System (ADS)

    Lan, Hui; Wang, Xinbing; Zuo, Duluo

    2016-09-01

    The spectral emission and plasma parameters of SnO2 plasmas have been investigated. A planar ceramic SnO2 target was irradiated by a CO2 laser with a full width at half maximum of 80 ns. The temporal behavior of the specific emission lines from the SnO2 plasma was characterized. The intensities of Sn I and Sn II lines first increased, and then decreased with the delay time. The results also showed a faster decay of Sn I atoms than that of Sn II ionic species. The temporal evolutions of the SnO2 plasma parameters (electron temperature and density) were deduced. The measured temperature and density of SnO2 plasma are 4.38 eV to 0.5 eV and 11.38×1017 cm-3 to 1.1×1017 cm-3, for delay times between 0.1 μs and 2.2 μs. We also investigated the effect of the laser pulse energy on SnO2 plasma. supported by National Natural Science Foundation of China (No. 11304235) and the Director Fund of WNLO

  18. Quantifying N2O reduction to N2 based on N2O isotopocules - validation with independent methods (helium incubation and 15N gas flux method)

    NASA Astrophysics Data System (ADS)

    Lewicka-Szczebak, Dominika; Augustin, Jürgen; Giesemann, Anette; Well, Reinhard

    2017-02-01

    Stable isotopic analyses of soil-emitted N2O (δ15Nbulk, δ18O and δ15Nsp = 15N site preference within the linear N2O molecule) may help to quantify N2O reduction to N2, an important but rarely quantified process in the soil nitrogen cycle. The N2O residual fraction (remaining unreduced N2O, rN2O) can be theoretically calculated from the measured isotopic enrichment of the residual N2O. However, various N2O-producing pathways may also influence the N2O isotopic signatures, and hence complicate the application of this isotopic fractionation approach. Here this approach was tested based on laboratory soil incubations with two different soil types, applying two reference methods for quantification of rN2O: helium incubation with direct measurement of N2 flux and the 15N gas flux method. This allowed a comparison of the measured rN2O values with the ones calculated based on isotopic enrichment of residual N2O. The results indicate that the performance of the N2O isotopic fractionation approach is related to the accompanying N2O and N2 source processes and the most critical is the determination of the initial isotopic signature of N2O before reduction (δ0). We show that δ0 can be well determined experimentally if stable in time and then successfully applied for determination of rN2O based on δ15Nsp values. Much more problematic to deal with are temporal changes of δ0 values leading to failure of the approach based on δ15Nsp values only. For this case, we propose here a dual N2O isotopocule mapping approach, where calculations are based on the relation between δ18O and δ15Nsp values. This allows for the simultaneous estimation of the N2O-producing pathways' contribution and the rN2O value.

  19. Study on plasma pre-functionalized PVC film grafted with TiO2/PVP to improve blood compatible and antibacterial properties

    NASA Astrophysics Data System (ADS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Serra Rodríguez, Carmen

    2017-04-01

    Research into the design of new biopolymers/polymer functionalized with nanoparticles is of tremendous interest to the medical sector, particularly with regard to blood-contacting devices. In this present study, a steady blood compatible and active antibacterial coating was fabricated by the grafting of titanium dioxide (TiO2)/polyvinylpyyrolidone (PVP) onto a polyvinyl chloride (PVC) film surface via the direct-current glow discharge plasma method. To enhance the chemical interaction between TiO2/PVP and PVC, the surfaces of the PVC films were functionalized by different plasmas (air, argon, and oxygen) before coating. In this study, the plasma parameters were varied, such as treatment time of about 5-20 min for a constant power of 100 W, potential 300 V, and a constant gas pressure of 2 Pa for air, argon, and oxygen gas environment. Then, the different plasma treatments on the PVC films, TiO2/PVP were grafted using a simple dip-coating method. In addition, the TiO2/PVP-grafted PVC films were characterized by contact angle, attenuated total reflectance Fourier transform infrared spectroscopy, field-emission scanning electron microscope, and x-ray photo electron spectroscopy. Importantly, TiO2/PVP is grafted onto the PVC surface due to the plasma-based retained functionality and demonstrates adhesive efficiency, which was observed by XPS. The bio-stability of the TiO2/PVP-modified PVC film was evaluated by in vitro platelet activation analysis and protein adsorption analysis. Then, the antibacterial properties were evaluated by the agar diffusion method against Escherichia coli. The result reveals that the grafting of TiO2/PVP was slightly higher for the 15 min oxygen plasma-functionalized PVC, which significantly decreases the platelet adhesion and protein adsorption. Moreover, the antibacterial properties of the 15 min oxygen plasma-functionalized PVC with TiO2/PVP-grafted film is also greatly improved compared with an air- and argon-functionalized surface

  20. Effect of substrate surface treatment on electrochemically assisted photocatalytic activity of N-S co-doped TiO2 films

    NASA Astrophysics Data System (ADS)

    Parada-Gamboa, N. J.; Pedraza-Avella, J. A.; Meléndez, A. M.

    2017-01-01

    To investigate whether different metal surface treatments, performed on meshes of stainless steel 304 and titanium, affect the photocatalytic activity (PCA) of supported modified anodic TiO2 films, metallic substrates were coated with titanium isopropoxide sol-gel precursor modified with thiourea. Substrates were pretreated by some of the following techniques: a) sandblasting, b) pickling, c) hydroxylation and d) passivation. The as-prepared electrode materials were characterized by X-ray photoelectron spectroscopy (XPS), field emission scanning electron microscopy (FESEM), and voltammetry in the dark and under light UVA irradiation. PCA of modified N-S-TiO2 electrodes was evaluated by electrochemically assisted photocatalytic degradation of methyl orange. The results of XPS revealed that N and S were incorporated into the lattice of TiO2. FESEM showed that surface roughness and thickness of films varies depending on surface treatment. Voltammetric and XPS characterization of N-S co-doped TiO2 films supported on stainless steel revealed that their surface contains alpha-Fe2O3/FeOOH. Accordingly, iron contamination of the films coming from stainless steel was detrimental to the degradation of methyl orange. Prior to sol-gel coating process, sandblasting followed by nitric acid passivation for stainless steel or hydrofluoric acid pickling process in the case of titanium improved the PCA of N-S co-doped TiO2 films.

  1. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  2. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE PAGES

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu; ...

    2017-07-28

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  3. A comparison of the C III, O V, F VI, and Ne VII Delta n = 0 (2-2) line emissions from a laboratory plasma with theoretical predictions and astrophysical observations

    NASA Technical Reports Server (NTRS)

    Finkenthal, M.; Yu, . L.; Lippmann, S.; Huang, L. K.; Moos, H. W.; Stratton, B. C.; Bhatia, A. K.

    1987-01-01

    Spectra of the Delta n = 0 (2-2) transitions of Be I-like ions, C III, O V, F VI, and Ne VII emitted from the TEXT tokamak, were measured with photometrically calibrated instrumentation and compared to the predictions of several models which differ in their treatment of electron impact excitation, using either the distorted wave or R-matrix approach. It was found that the ions from C III to Ne VII were located near the edge of the plasma, at densities between 10 to the 12th and 13th/cu cm. The experimental line ratios were compared with several sets of computations. Agreement is obtained between the experimental data and computations by using the R-matrix technique. This leads to the conclusion that the effect resonances must be included in collision strength calculations, particularly at low nuclear charge. The results show that the line ratios studied may be used with confidence as electron density diagnostics for laboratory or astrophysical plasmas.

  4. Ti n O2n-1-Coated Li4Ti5O12 Composite Anode Material for Lithium-Ion Batteries

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaoyan; Xu, Wen; Liu, Wanying; Li, Xing; Zhong, Xiaoxi; Lin, Yuanhua

    2018-01-01

    In an effort to enhance the rate capability of Li4Ti5O12, the Ti n O2n-1-coated Li4Ti5O12 (Li4Ti5O12-Ti n O2n-1, 3 < n < 10) composite has been synthesized through a sol-gel process followed by heat treatment in H2 atmosphere. Compared with pure Li4Ti5O12, Li4Ti5O12-Ti n O2n-1 composite shows higher specific capacity, better rate capability and cycle stability. The initial discharge capacity of the Li4Ti5O12-Ti n O2n-1 composite electrode is 171.2 mAh g-1 at 0.2°C, and 103.8 mAh g-1 at 20°C. Moreover, the discharge capacity remains 79.5 mAh g-1 after 100 cycles at 20°C with a capacity loss of 23.4%. The improved rate capacity and cycling stability clarify the positive effects of Ti n O2n-1 coating layer in Li4Ti5O12-Ti n O2n-1 composite as an anode material for lithium ion batteries.

  5. Preparation of YBa2Cu3O7 High Tc Superconducting Coatings by Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Danroc, J.; Lacombe, J.

    The following sections are included: * INTRODUCTION * THE COMPOUND YBa2Cu3O7-δ * Structure * Critical temperature * Critical current density * Phase equilibria in the YBaCuO system * PREPARATION OF YBa2Cu3O7 COATINGS * General organisation of the preparation process * The powder * Hot plasma spraying of YBa2Cu3O7 * The post-spraying thermal treatment * CHARACTERISTICS OF THE YBa2Cu3O7-δ COATINGS * Chemical composition * Crystalline structure * Morphology of the coatings * Electrical and magnetic characteristics * Conclusion * REFERENCES

  6. Enhanced Dispersion of TiO2 Nanoparticles in a TiO2/PEDOT:PSS Hybrid Nanocomposite via Plasma-Liquid Interactions

    NASA Astrophysics Data System (ADS)

    Liu, Yazi; Sun, Dan; Askari, Sadegh; Patel, Jenish; Macias-Montero, Manuel; Mitra, Somak; Zhang, Richao; Lin, Wen-Feng; Mariotti, Davide; Maguire, Paul

    2015-10-01

    A facile method to synthesize a TiO2/PEDOT:PSS hybrid nanocomposite material in aqueous solution through direct current (DC) plasma processing at atmospheric pressure and room temperature has been demonstrated. The dispersion of the TiO2 nanoparticles is enhanced and TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased electrical conductivity was observed for the plasma treated TiO2/PEDOT:PSS nanocomposite. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma treated TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are proposed to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer binding.

  7. Effect of Cl2 plasma treatment and annealing on vanadium based metal contacts to Si-doped Al0.75Ga0.25N

    NASA Astrophysics Data System (ADS)

    Lapeyrade, Mickael; Alamé, Sabine; Glaab, Johannes; Mogilatenko, Anna; Unger, Ralph-Stephan; Kuhn, Christian; Wernicke, Tim; Vogt, Patrick; Knauer, Arne; Zeimer, Ute; Einfeldt, Sven; Weyers, Markus; Kneissl, Michael

    2017-09-01

    In order to understand the electrical properties of V/Al/Ni/Au metal contacts to Si-doped Al0.75Ga0.25N layers, X-ray photoelectron spectroscopy analysis was performed on differently treated AlGaN:Si surfaces before metal deposition, and transmission electron microscopy was used to study the semiconductor-metal interface after contact annealing at 900 °C. Cl2 plasma etching of AlGaN increases the aluminum/nitrogen ratio at the surface, and Al oxide or oxynitride is always formed by any surface treatment applied after etching. After contact annealing, a complex interface structure including amorphous AlOx and different metal phases such as Al-Au-Ni, V-Al, and V2N were found. The electrical properties of the contacts were determined by thermionic emission and/or thermionic field emission in the low voltage regime. Nearly ohmic contacts on AlGaN surfaces exposed to a Cl2 plasma were only obtained by annealing the sample at a temperature of 815 °C under N2/NH3 prior to metallization. By this treatment, the oxygen contamination on the surface could be minimized, resulting in a larger semiconductor area to be in direct contact with metal phases such as Al-rich Al-Au-Ni or V-Al and leading to a contact resistivity of 2.5 × 10-2 Ω cm2. This treatment can be used to significantly reduce the operating voltage of current deep ultraviolet light emitting diodes which will increase their wall plug efficiency and lower the thermal stress during their operation.

  8. Mitigation of nitrous oxide (N2 O) emission from swine wastewater treatment in an aerobic bioreactor packed with carbon fibers.

    PubMed

    Yamashita, Takahiro; Yamamoto-Ikemoto, Ryoko; Yokoyama, Hiroshi; Kawahara, Hirofumi; Ogino, Akifumi; Osada, Takashi

    2015-03-01

    Mitigation of nitrous oxide (N2 O) emission from swine wastewater treatment was demonstrated in an aerobic bioreactor packed with carbon fibers (CF reactor). The CF reactor had a demonstrated advantage in mitigating N2 O emission and avoiding NOx (NO3  + NO2 ) accumulation. The N2 O emission factor was 0.0003 g N2 O-N/gTN-load in the CF bioreactor compared to 0.03 gN2 O-N/gTN-load in an activated sludge reactor (AS reactor). N2 O and CH4 emissions from the CF reactor were 42 g-CO2 eq/m(3) /day, while those from the AS reactor were 725 g-CO2 eq/m(3) /day. The dissolved inorganic nitrogen (DIN) in the CF reactor removed an average of 156 mg/L of the NH4 -N, and accumulated an average of 14 mg/L of the NO3 -N. In contrast, the DIN in the AS reactor removed an average 144 mg/L of the NH4 -N and accumulated an average 183 mg/L of the NO3 -N. NO2 -N was almost undetectable in both reactors. © 2014 Japanese Society of Animal Science.

  9. A comparative study of three-terminal Hanle signals in CoFe/SiO{sub 2}/n{sup +}-Si and Cu/SiO{sub 2}/n{sup +}-Si tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jeong-Hyeon; Cho, B. K., E-mail: chobk@gist.ac.kr; Grünberg Center for Magnetic Nanomaterials, Gwangju Institute of Science and Technology

    We performed three-terminal (3T) Hanle measurement for two types of sample series, CoFe/SiO{sub 2}/n{sup +}-Si and Cu/SiO{sub 2}/n{sup +}-Si, with various tunnel resistances. Clear Hanle signal and anomalous scaling between spin resistance-area product and tunnel resistance-area product were observed in CoFe/SiO{sub 2}/n{sup +}-Si devices. In order to explore the origin of the Hanle signal and the impurity-assisted tunneling effect on the Hanle signal in our devices, Hanle measurement in Cu/SiO{sub 2}/n{sup +}-Si devices was performed as well. However, no detectable Hanle signal was observed in Cu/SiO{sub 2}/n{sup +}-Si, even though a lot of samples with various tunnel resistances were studiedmore » in wide temperature and bias voltage ranges. Through a comparative study, it is found that the impurity-assisted tunneling magnetoresistance mechanism would not play a dominant role in the 3T Hanle signal in CoFe/SiO{sub 2}/n{sup +}-Si tunnel junctions, where the SiO{sub 2} was formed by plasma oxidation to minimize impurities.« less

  10. Y2O3-MgO Nano-Composite Synthesized by Plasma Spraying and Thermal Decomposition of Solution Precursors

    NASA Astrophysics Data System (ADS)

    Muoto, Chigozie Kenechukwu

    This research aims to identify the key feedstock characteristics and processing conditions to produce Y2O3-MgO composite coatings with high density and hardness using solution precursor plasma spray (SPPS) and suspension plasma spray (SPS) processes, and also, to explore the phenomena involved in the production of homogenized nano-composite powders of this material system by thermal decomposition of solution precursor mixtures. The material system would find potential application in the fabrication of components for optical applications such as transparent windows. It was shown that a lack of major endothermic events during precursor decomposition and the resultant formation of highly dense particles upon pyrolysis are critical precursor characteristics for the deposition of dense and hard Y2O3-MgO coatings by SPPS. Using these principles, a new Y2O3-MgO precursor solution was developed, which yielded a coating with Vickers hardness of 560 Hv. This was a considerable improvement over the hardness of the coatings obtained using conventional solution precursors, which was as low as 110 Hv. In the thermal decomposition synthesis process, binary solution precursor mixtures of: yttrium nitrate (Y[n]) or yttrium acetate (Y[a]), with magnesium nitrate (Mg[n]) or magnesium acetate (Mg[a]) were used in order to study the effects of precursor chemistry on the structural characteristics of the resultant Y2O3-MgO powders. The phase domains were coarse and distributed rather inhomogeneously in the materials obtained from the Y[n]Mg[n] and Y[a]Mg[a] mixtures; finer and more homogeneously-distributed phase domains were obtained for ceramics produced from the Y[a]Mg[n] and Y[n]Mg[a] mixtures. It was established that these phenomena were related to the thermal characteristics for the decomposition of the precursors and their effect on phase separation during oxide crystallization. Addition of ammonium acetate to the Y[n[Mg[n] mixture changed the endothermic process to exothermic

  11. Investigation of N2O Production from 266 and 532 nm Laser Flash Photolysis of O3/N2/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Estupinan, E. G.; Nicovich, J. M.; Li, J.; Cunnold, D. M.; Wine, P. H.

    2002-01-01

    Tunable diode laser absorption spectroscopy has been employed to measure the amount of N2O produced from laser flash photolysis of O3/N2/O2 mixtures at 266 and 532 nm. In the 532 nm photolysis experiments very little N2O is observed, thus allowing an upper limit yield of 7 x 10(exp -8) to be established for the process O3 + N2 yield N2O + O2, where O3 is nascent O3 that is newly formed via O(3P(sub J)) + O2 recombination (with vibrational excitation near the dissociation energy of O3). The measured upper limit yield is a factor of approx. 600 smaller than a previous literature value and is approximately a factor of 10 below the threshold for atmospheric importance. In the 266 nm photolysis experiments, significant N2O production is observed and the N2O quantum yield is found to increase linearly with pressure over the range 100 - 900 Torr in air bath gas. The source of N2O in the 266 nm photolysis experiments is believed to be the addition reaction O(1D(sub 2)) + N2 + M yields (k(sub sigma)) N2O + M, although reaction of (very short-lived) electronically excited O3 with N2 cannot be ruled out by the available data. Assuming that all observed N2O comes from the O(1D(sub 2)) + N2 + M reaction, the following expression describes the temperature dependence of k(sub sigma) (in its third-order low-pressure limit) that is consistent with the N2O yield data: k(sub sigma) = (2.8 +/- 0.1) x 10(exp -36)(T/300)(sup -(0-88+0.36)) cm(sup 6) molecule(sup -2)/s, where the uncertainties are 2(sigma) and represent precision only. The accuracy of the reported rate coefficients at the 95% confidence level is estimated to be 30 - 40% depending on the temperature. Model calculations suggest that gas phase processes initiated by ozone absorption of a UV photon represent about 1.4% of the currently estimated global source strength of atmospheric N2O. However, these processes could account for a significant fraction of the oxygen mass-independent enrichment observed in atmospheric N2O, and

  12. Long and short term effects of plasma treatment on meristematic plant cells

    NASA Astrophysics Data System (ADS)

    Puač, N.; Živković, S.; Selaković, N.; Milutinović, M.; Boljević, J.; Malović, G.; Petrović, Z. Lj.

    2014-05-01

    In this paper, we will present results of plasma treatments of meristematic cells of Daucus carota. Plasma needle was used as an atmospheric pressure/gas composition source of non-equilibrium plasma in all treatments. Activity of antioxidant enzymes superoxide dismutase and catalase was measured immediately after plasma treatment and after two weeks following the treatment. Superoxide dismutase activity was increased in samples immediately after the plasma treatment. On the other hand, catalase activity was much higher in treated samples when measured two weeks after plasma treatment. These results show that there is a direct proof of the triggering of signal transduction in the cells by two reactive oxygen species H2O2 and O2-, causing enzyme activity and short and long term effects even during the growth of calli, where the information is passed to newborn cells over the period of two weeks.

  13. A novel fuzzy-logic control strategy minimizing N2O emissions.

    PubMed

    Boiocchi, Riccardo; Gernaey, Krist V; Sin, Gürkan

    2017-10-15

    A novel control strategy for achieving low N 2 O emissions and low effluent NH 4 + concentration is here proposed. The control strategy uses the measurements of ammonium and nitrate concentrations in inlet and outlet of the aerobic zone of a wastewater treatment plant to calculate a ratio indicating the balance among the microbial groups. More specifically, the ratio will indicate if there is a complete nitrification. In case nitrification is not complete, the controller will adjust the aeration level of the plant in order to inhibit the production of N 2 O from AOB and HB denitrification. The controller was implemented using the fuzzy logic approach. It was comprehensively tested for different model structures and different sets of model parameters with regards to its ability of mitigating N 2 O emissions for future applications in real wastewater treatment plants. It is concluded that the control strategy is useful for those plants having AOB denitrification as the main N 2 O producing process. However, in treatment plants having incomplete NH 2 OH oxidation as the main N 2 O producing pathway, a cascade controller configuration adapting the oxygen supply to respect only the effluent ammonium concentration limits was found to be more effective to ensure low N 2 O emissions. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Numerical and experimental study on the dynamics of a μs helium plasma gun with various amounts of O2 admixture

    NASA Astrophysics Data System (ADS)

    Viegas, Pedro; Damany, Xavier; Iseni, Sylvain; Pouvesle, Jean-Michel; Robert, Eric; Bourdon, Anne

    2016-09-01

    The use of admixtures (mostly O2 and N2) to a helium buffer has been studied recently to tailor the generation of reactive species in plasma jets for biomedical applications. So far, most experiments have been dedicated to the study of the plasma plume. For endoscopic treatments, it is also important to better understand and optimize the propagation of discharges in long dielectric tubes as catheters. In this work, we present an experimental and numerical study on the dynamics of a μs helium plasma discharge with O2 admixture in a long dielectric tube. In simulations, a 2D fluid model is used. For comparison purposes, the geometries of the set-ups used for simulations and experiments are as close as possible. We compare experiments and simulations for different amounts of O2 admixture added to the buffer gas and present results on the velocity of the discharge front for the various amounts of O2 and different applied voltages. In order to study the influence of different amounts of O2 admixture on the helium discharge dynamics, detailed kinetic schemes have been used. The influence of Penning and charge exchange reactions on the discharge structure and dynamics are studied, as well as the role of negative ions. P.V. is supported by an EDOM fellowship, and X.D. by an INEL/Region Centre-Val de Loire fellowship.

  15. Nanosecond Plasma Enhanced H2/O2/N2 Premixed Flat Flames

    DTIC Science & Technology

    2014-01-01

    Simulations are conducted with a one-dimensional, multi-scale, pulsed -discharge model with detailed plasma-combustion kinetics to develop additional insight... model framework. The reduced electric field, E/N, during each pulse varies inversely with number density. A significant portion of the input energy is...dimensional numerical model [4, 12] capable of resolving electric field transients over nanosecond timescales (during each discharge pulse ) and radical

  16. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The impacts of noble gas species (Ar and He) on the formation of a SiO2/GaN structure formed by a remote oxygen plasma-enhanced chemical vapor deposition (ROPE-CVD) method were systematically investigated. Atomic force microscopy revealed that ROPE-CVD with He leads to a smooth SiO2 surface compared with the case of Ar. We found that no obvious oxidations of the GaN surfaces after the SiO2 depositions with the both Ar and He cases were observed. The capacitance–voltage (C–V) curves of the GaN MOS capacitors formed by ROPE-CVD with the Ar and He dilutions show good interface properties with no hysteresis and good agreement with the ideal C–V curves even after post deposition annealing at 800 °C. Besides, we found that the current density–oxide electric field characteristics shows a gate leakage current for the Ar case lower than the He case.

  17. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yong Seob; Kim, Eungkwon; Hong, Byungyou

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{submore » 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.« less

  18. Mechanical Properties of Plasma-Sprayed ZrO2-8 wt% Y2O3 Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Choi, Sung R.; Zhu, Dongming; Miller, Robert A.

    2004-01-01

    Mechanical behavior of free standing, plasma-sprayed ZrO2-8 wt% Y2O3 thermal barrier coatings, including strength, fracture toughness, fatigue, constitutive relation, elastic modulus, and directionality, has been determined under various loading-specimen configurations. This report presents and describes a summary of mechanical properties of the plasma-sprayed coating material to provide them as a design database.

  19. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment.

    PubMed

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-15

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C; this method uses CH 4 /H 2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO 2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm 2 V -1 s -1 and 460 cm 2 V -1 s -1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  20. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment

    NASA Astrophysics Data System (ADS)

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-01

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C this method uses CH4/H2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm2 V‑1 s‑1 and 460 cm2 V‑1 s‑1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  1. Impacts of Nitrogen Removal and Re-Application on N2O fluxes from Narragansett Bay: Contrasting Turfgrasses, Salt Marshes, and Wastewater Treatment Systems

    NASA Astrophysics Data System (ADS)

    Brannon, E.; Moseman-Valtierra, S.; Quinn, R. K.; Amador, J.; Brown, R.; Lancellotti, B.; Glennon, K.; Celeste, G.; Craver, V.

    2016-12-01

    Narragansett Bay in Rhode Island is characterized by a substantial, historic bay-wide nitrogen (N) gradient. Centralized wastewater treatment plants (WWTPs) are a major anthropogenic N source. Onsite wastewater treatments systems (OWTS), which serve 1/3 of all households in the state, are another anthropogenic N source. Recent state regulation has prompted upgrades to both WWTPs and OWTS to increase N removal capacities. Although this should lower N loads to Narragansett Bay, it has the potential to increase the flux of nitrous oxide (N2O), a potent greenhouse gas. We measured summer-time (2016) N2O fluxes of a major WWTP (biological N removal system at Field's Point in Providence) and three of the most common advanced OWTS in the Narragansett Bay watershed (Orenco Advantex AX20, BioMicrobics FAST, SeptiTech D Series). We also tested impacts of application of recovered N (biosolids from wastewater sludge) on N2O fluxes from a turfgrass (Schedonerus arundinaceus) and dominant native coastal cordgrass (Spartina alterniflora) in mesocosm experiments. Preliminary results indicate that the largest N2O fluxes (245 ± 72 µmol N2O m-2 h-1) were from the Field's Point WWTP. Significant, but smaller N2O fluxes (6 ± 3 µmol N2O m-2 h-1 were also measured from the OWTS. In contrast, N2O fluxes from the N-enriched native coastal cordgrass and turfgrass mesocosms were often non-detectable. However, fluxes from a few mesocosms (max. of 25 µmol N2O m-2 h-1) were on the same order of magnitude as fluxes from the OWTS. A state-wide budget of N2O emissions from turfgrasses, intertidal marshes, and OWTS will be estimated to determine their significance as sources relative to the Field's Point WWTP. This data will be used to identify areas where N2O fluxes can be minimized in the state of RI.

  2. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  3. Rapid destruction of the rhodamine B using TiO2 photocatalyst in the liquid phase plasma

    PubMed Central

    2013-01-01

    Background Rhodamine B (RhB) is widely used as a colorant in textiles and food stuffs, and is also a well-known water tracer fluorescent. It is harmful to human beings and animals, and causes irritation of the skin, eyes and respiratory tract. The carcinogenicity, reproductive and developmental toxicity, neurotoxicity and chronic toxicity toward humans and animals have been experimentally proven. RhB cannot be effectively removed by biological treatment due to the slow kinetics. Therefore, RhB is chosen as a model pollutant for liquid phase plasma (LPP) treatment in the present investigation. Results This paper presents experimental results for the bleaching of RhB from aqueous solutions in the presence of TiO2 photocatalyst with LPP system. Properties of generated plasma were investigated by optical emission spectroscopy methods. The results of electrical-discharge degradation of RhB showed that the decomposition rate increased with the applied voltage, pulse width, and frequency. The oxygen gas addition to reactant solution increases the degradation rate by active oxygen species. The RhB decomposition rate was shown to increase with the TiO2 particle dosage. Conclusion This work presents the conclusions on the photocatalytic oxidation of RhB, as a function of plasma conditions, oxygen gas bubbling as well as TiO2 particle dosage. We knew that using the liquid phase plasma system with TiO2 photocatalyst at high speed we could remove the organic matter in the water. PMID:24041151

  4. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  5. An investigation onto the molecular structure of 5-chloro-3-(2-(4-ethylpiperazine-1-il)-2-oxoethyl)benzo[d]thiazole-2(3H)-on drug molecule before and after atmospheric pressure plasma treatment

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol; Şahin, Neslihan; Dikmen, Gökhan

    2018-05-01

    The spectra of molecular structure for the 5-chloro-3-(2-(4-ethylpiperazine-1-il)-2-oxoethyl)benzo[d]thiazole-2(3H)-on drug molecule (abbreviated as 5KEB) before and after the atmospheric pressure plasma treatments (APPTs) of neon (Ne) and argon (Ar) were investigated. The Fourier transform infrared (FT-IR), ultraviolet visible (UV-Vis) spectra and NMR measurements of the 5KEB drug molecule dissolved in toluene and ethanol solvents were recorded and examined for liquid phases. Then FT-IR, UV-Vis spectra and NMR measurements were analysed. It is seen that some bonds of 5KEB molecule were decomposed. There were also unobserved vibrational modes. After the Ne plasma at the atmospheric pressure applied to 5KEB drug molecule dissolved in toluene, the bonds as 9Ssbnd 8C; 9Ssbnd 8C = 10 O, 8Csbnd 7N, 7Nsbnd 8C = 10O were vanished, and then the new bonds of the 7N = 8C, 7N = 8C = 10 O, 9Ssbnd 5Csbnd 4Csbnd 7N = 8C = 10O were observed. New photoproducts may be defined as the stretching peaks, stretching vibrational modes for 5KEB drug molecule in liquid phase prepared with ethanol and toluene solvents after APPT. Also, after Ar plasma at atmospheric pressure applied here, the 9Ssbnd 8C bond of the 5KEB drug molecule dissolved in toluene was broken. The isomerization process in UV-Vis was defined by π-π* and n-π* electronic transitions. According to NMR results, protons of pyridine ring, protons of CH2 group bonded to carbonyl group and protons of CH3 group more affected than other protons from Ar and Ne APPTs and these protons were eliminated by Ar and Ne APPTs.

  6. On the production of N2O from the reaction of O/1D/with N2.

    NASA Technical Reports Server (NTRS)

    Simonaitis, R.; Lissi, E.; Heicklen, J.

    1972-01-01

    Ozone was photolyzed at 2537 A and at 25 C in the presence of 42-115 torr of O2 and about 880 torr of N2 to test the relative importance of the two reactions O(1D) + N2 + M leading to N2O + M and O(1D) + N2 leading to O(3P) + N2. In this study N2O was not found as a product. Thus from our detectability limit for N2O an upper limit to the efficiency of the first reaction relative to the second of 2.5 times 10 to the -6 power at 1000-torr total pressure was computed.

  7. Patterned Well-Aligned ZnO Nanorods Assisted with Polystyrene Monolayer by Oxygen Plasma Treatment.

    PubMed

    Choi, Hyun Ji; Lee, Yong-Min; Yu, Jung-Hoon; Hwang, Ki-Hwan; Boo, Jin-Hyo

    2016-08-05

    Zinc oxide is known as a promising material for sensing devices due to its piezoelectric properties. In particular, the alignment of ZnO nanostructures into ordered nanoarrays is expected to improve the device sensitivity due to the large surface area which can be utilized to capture significant quantities of gas particles. However, ZnO nanorods are difficult to grow on the quartz substrate with well-ordered shape. So, we investigated nanostructures by adjusting the interval distance of the arranged ZnO nanorods using polystyrene (PS) spheres of various sizes (800 nm, 1300 nm and 1600 nm). In addition, oxygen plasma treatment was used to specify the nucleation site of round, patterned ZnO nanorod growth. Therefore, ZnO nanorods were grown on a quartz substrate with a patterned polystyrene monolayer by the hydrothermal method after oxygen plasma treatment. The obtained ZnO nanostructures were characterized by X-ray diffraction (XRD) and field-emission scanning electron microscope (FE-SEM).

  8. N-MOSFETs Formed on Solid Phase Epitaxially Grown GeSn Film with Passivation by Oxygen Plasma Featuring High Mobility.

    PubMed

    Fang, Yung-Chin; Chen, Kuen-Yi; Hsieh, Ching-Heng; Su, Chang-Chia; Wu, Yung-Hsien

    2015-12-09

    Solid phase epitaxially grown GeSn was employed as the platform to assess the eligibility of direct O2 plasma treatment on GeSn surface for passivation of GeSn N-MOSFETs. It has been confirmed that O2 plasma treatment forms a GeSnO(x) film on the surface and the GeSnO(x) topped by in situ Al2O3 constitutes the gate stack of GeSn MOS devices. The capability of the surface passivation was evidenced by the low interface trap density (D(it)) of 1.62 × 10(11) cm(-2) eV(-1), which is primarily due to the formation of Ge-O and Sn-O bonds at the surface by high density/reactivity oxygen radicals that effectively suppress dangling bonds and decrease gap states. The good D(it) not only makes tiny frequency dispersion in the characterization of GeSn MOS capacitors, but results in GeSn N-MOSFETs with outstanding peak electron mobility as high as 518 cm(2)/(V s) which outperforms other devices reported in the literature due to reduced undesirable carrier scattering. In addition, the GeSn N-MOSFETs also exhibit promising characteristics in terms of acceptable subthreshold swing of 156 mV/dec and relatively large I(ON)/I(OFF) ratio more than 4 orders. Moreover, the robust reliability in terms small V(t) variation against high field stress attests the feasibility of using the O2 plasma-treated passivation to advanced GeSn technology.

  9. On the production of N2O from the reaction of O(1 D) with N2

    NASA Technical Reports Server (NTRS)

    Simonaitis, R.; Lissi, E.; Heicklen, J.

    1972-01-01

    Ozone was photolyzed at 2537 A and 25 C in the presence of 42-115 torr of O2 and about 880 torr of N2 to test the relative importance of the two reactions: (1) O(1D) + N2 + M yields N2O + M, and (2) O(1D) + N2 yields O(3P) + N2. N2O was not found as a product. Thus from our detectability limit for N2O (0.3 micron), an upper limit to the efficiency of the first reaction relative to the second of 0.0000025 at 1000 torr total pressure was computed. This corresponds to k1/k2 smaller than 0.8 x 10 to the minus 25 power cu cm/particle.

  10. Partial nitrogen loss in SrTaO2N and LaTiO2N oxynitride perovskites

    NASA Astrophysics Data System (ADS)

    Chen, Daixi; Habu, Daiki; Masubuchi, Yuji; Torii, Shuki; Kamiyama, Takashi; Kikkawa, Shinichi

    2016-04-01

    SrTaO2N heated in a helium atmosphere began to release nitrogen of approximately 30 at% at 950 °C while maintaining the perovskite structure and its color changed from orange to dark green. Then it decomposed above 1200 °C to a black mixture of Sr1.4Ta0.6O2.73, Ta2N, and Sr5Ta4O15. The second decomposition was not clearly observed when SrTaO2N was heated in a nitrogen atmosphere below 1550 °C. After heating at 1500 °C for 3 h under a 0.2 MPa nitrogen atmosphere, the perovskite product became dark green and conductive. Structure refinement results suggested that the product was a mixture of tetragonal and cubic perovskites with a decreased ordering of N3-/O2-. The sintered body was changed to an n-type semiconductor after a partial loss of nitrogen to be reduced from the originally insulating SrTaO2N perovskite lattice. LaTiO2N was confirmed to have a similar cis-configuration of the TiO4N2 octahedron as that of TaO4N2 in SrTaO2N. It also released some of its nitrogen at 800 °C changing its color from brown to black and then decomposed to a mixture of LaTiO3, La2O3, and TiN at 1100 °C. These temperatures are lower than those in SrTaO2N.

  11. Surface Functionalization of Polyethylene Granules by Treatment with Low-Pressure Air Plasma.

    PubMed

    Šourková, Hana; Primc, Gregor; Špatenka, Petr

    2018-05-25

    Polyethylene granules of diameter 2 mm were treated with a low-pressure weakly ionized air plasma created in a metallic chamber by a pulsed microwave discharge of pulse duration 180 μs and duty cycle 70%. Optical emission spectroscopy showed rich bands of neutral nitrogen molecules and weak O-atom transitions, but the emission from N atoms was below the detection limit. The density of O atoms in the plasma above the samples was measured with a cobalt catalytic probe and exhibited a broad peak at the pressure of 80 Pa, where it was about 2.3 × 10 21 m -3 . The samples were characterized by X-ray photoelectron spectroscopy. Survey spectra showed oxygen on the surface, while the nitrogen concentration remained below the detection limit for all conditions. The high-resolution C1s peaks revealed formation of various functional groups rather independently from treatment parameters. The results were explained by extensive dissociation of oxygen molecules in the gaseous plasma and negligible flux of N atoms on the polymer surface.

  12. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  13. Quenching of I(2P1/2) by NO2, N2O4, and N2O.

    PubMed

    Kabir, Md Humayun; Azyazov, Valeriy N; Heaven, Michael C

    2007-10-11

    Quenching of excited iodine atoms (I(5p5, 2P1/2)) by nitrogen oxides are processes of relevance to discharge-driven oxygen iodine lasers. Rate constants at ambient and elevated temperatures (293-380 K) for quenching of I(2P1/2) atoms by NO2, N2O4, and N2O have been measured using time-resolved I(2P1/2) --> I(2P3/2) 1315 nm emission. The excited atoms were generated by pulsed laser photodissociation of CF3I at 248 nm. The rate constants for I(2P1/2) quenching by NO2 and N2O were found to be independent of temperature over the range examined with average values of (2.9 +/- 0.3) x 10(-15) and (1.4 +/- 0.1) x 10(-15) cm3 s(-1), respectively. The rate constant for quenching of I(2P1/2) by N2O4 was found to be (3.5 +/- 0.5) x 10(-13) cm3 s(-1) at ambient temperature.

  14. Conversion of CH4/CO2 to syngas over Ni-Co/Al2O3-ZrO2 nanocatalyst synthesized via plasma assisted co-impregnation method: Surface properties and catalytic performance

    NASA Astrophysics Data System (ADS)

    Rahemi, Nader; Haghighi, Mohammad; Akbar Babaluo, Ali; Fallah Jafari, Mahdi; Khorram, Sirous

    2013-09-01

    Ni/Al2O3 catalyst promoted by Co and ZrO2 was prepared by co-impregnation method and treated with glow discharge plasma. The catalytic activity of the synthesized nanocatalysts has been tested toward conversion of CH4/CO2 to syngas. The physicochemical characterizations like XRD, EDX, FESEM, TEM, BET, FTIR, and XPS show that plasma treatment results in smaller particle size, more surface concentration, and uniform morphology. The dispersion of nickel in plasma-treated nanocatalyst was also significantly improved, which was helpful for controlling the ensemble size of active phase atoms on the support surface. Improved physicochemical properties caused 20%-30% enhancement in activity of plasma-treated nanocatalyst that means to achieve the same H2 or CO yield, the plasma-treated nanocatalyst needed about 100 °C lower reaction temperature. The H2/CO ratio got closer to 1 at higher temperatures and finally at 850 °C H2/CO = 1 is attained for plasma-treated nanocatalyst. Plasma-treated nanocatalyst due to smaller Ni particles and strong interaction between active phase and support has lower tendency to keep carbon species on its structure and hence excellent stability can be observed for this catalyst.

  15. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  16. Soil invertebrate fauna affect N2 O emissions from soil.

    PubMed

    Kuiper, Imke; de Deyn, Gerlinde B; Thakur, Madhav P; van Groenigen, Jan Willem

    2013-09-01

    Nitrous oxide (N2 O) emissions from soils contribute significantly to global warming. Mitigation of N2 O emissions is severely hampered by a lack of understanding of its main controls. Fluxes can only partly be predicted from soil abiotic factors and microbial analyses - a possible role for soil fauna has until now largely been overlooked. We studied the effect of six groups of soil invertebrate fauna and tested the hypothesis that all of them increase N2 O emissions, although to different extents. We conducted three microcosm experiments with sandy soil and hay residue. Faunal groups included in our experiments were as follows: fungal-feeding nematodes, mites, springtails, potworms, earthworms and isopods. In experiment I, involving all six faunal groups, N2 O emissions declined with earthworms and potworms from 78.4 (control) to 37.0 (earthworms) or 53.5 (potworms) mg N2 O-N m(-2) . In experiment II, with a higher soil-to-hay ratio and mites, springtails and potworms as faunal treatments, N2 O emissions increased with potworms from 51.9 (control) to 123.5 mg N2 O-N m(-2) . Experiment III studied the effect of potworm density; we found that higher densities of potworms accelerated the peak of the N2 O emissions by 5 days (P < 0.001), but the cumulative N2 O emissions remained unaffected. We propose that increased soil aeration by the soil fauna reduced N2 O emissions in experiment I, whereas in experiment II N2 O emissions were driven by increased nitrogen and carbon availability. In experiment III, higher densities of potworms accelerated nitrogen and carbon availability and N2 O emissions, but did not increase them. Overall, our data show that soil fauna can suppress, increase, delay or accelerate N2 O emissions from soil and should therefore be an integral part of future N2 O studies. © 2013 John Wiley & Sons Ltd.

  17. Surface tailoring of newly developed amorphous Znsbnd Sisbnd O thin films as electron injection/transport layer by plasma treatment: Application to inverted OLEDs and hybrid solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Hongsheng; Kim, Junghwan; Yamamoto, Koji; Xing, Xing; Hosono, Hideo

    2018-03-01

    We report a unique amorphous oxide semiconductor Znsbnd Sisbnd O (a-ZSO) which has a small work function of 3.4 eV for as-deposited films. The surface modification of a-ZSO thin films by plasma treatments is examined to apply it to the electron injection/transport layer of organic devices. It turns out that the energy alignment and exciton dissociation efficiency at a-ZSO/organic semiconductor interface significantly changes by choosing different gas (oxygen or argon) for plasma treatments (after a-ZSO was exposed to atmospheric environment for 5 days). In situ ultraviolet photoelectron spectroscopy (UPS) measurement reveals that the work function of a-ZSO is increased to 4.0 eV after an O2-plasma treatment, while the work function of 3.5 eV is recovered after an Ar-plasma treatment which indicates this treatment is effective for surface cleaning. To study the effects of surface treatments to device performance, OLEDs and hybrid polymer solar cells with O2-plasma or Ar-plasma treated a-ZSO are compared. Effects of these surface treatments on performance of inverted OLEDs and hybrid polymer solar cells are examined. Ar-plasma treated a-ZSO works well as the electron injection layer in inverted OLEDs (Alq3/a-ZSO) because the injection barrier is small (∼ 0.1 eV). On the other hands, O2-plasma treated a-ZSO is more suitable for application to hybrid solar cells which is benefiting from higher exciton dissociation efficiency at polymer (P3HT)/ZSO interface.

  18. Bacteria Adherence Properties of Nitrogen-Doped TiO2 Coatings by Plasma Surface Alloying Technique

    NASA Astrophysics Data System (ADS)

    Wang, Hefeng; Tang, Bin; Li, Xiuyan; Fan, Ailan

    Titanium nitride coatings on 316L stainless steel (S. S) were obtained by plasma surface alloying technique. Nitrogen-doped titanium dioxide (TiO2-xNx) was synthesized by oxidative annealing the resulted TiNx coatings in air. The reference TiO2 samples were also prepared by oxidation of sputtered Ti coatings. The as-prepared coatings were characterized by X-ray diffraction, glow discharge optical emission spectrometer (GDOES), scanning electron microscopy, X-ray hotoelectron spectroscopy and UV-Vis spectrophotometry, respectively. The bacteria adherence property of the TiO2-xNx coatings on stainless steel on the oral bacteria Streptococcus Mutans was investigated and compared with that of stainless steel by fluorescence microscopy. The mechanism of the bacteria adherence was discussed. The results show that the TiO2-xNx coatings are composed of anatase crystalline structure. SEM measurement indicates a rough surface morphology with three-dimensional homogenous protuberances after annealing treatment. Optical properties reveal an extended tailing of the absorption edge toward the visible region due to nitrogen presence. The band gap of the N-doped sample is reduced from 2.29 eV to 1.90 eV compared with the pure TiO2 one. Because of the different roughness and microstructure, the TiO2-xNx coatings inhibit the bacteria adherence.

  19. Influence of Feedstock Powder Modification by Heat Treatments on the Properties of APS-Sprayed Al2O3-40% TiO2 Coatings

    NASA Astrophysics Data System (ADS)

    Berger, Lutz-Michael; Sempf, Kerstin; Sohn, Yoo Jung; Vaßen, Robert

    2018-04-01

    The formation and decomposition of aluminum titanate (Al2TiO5, tialite) in feedstock powders and coatings of the binary Al2O3-TiO2 system are so far poorly understood. A commercial fused and crushed Al2O3-40%TiO2 powder was selected as the feedstock for the experimental series presented in this paper, as the composition is close to that of Al2TiO5. Part of that powder was heat-treated in air at 1150 and 1500 °C in order to modify the phase composition, while not influencing the particle size distribution and processability. The powders were analyzed by thermal analysis, XRD and FESEM including EDS of metallographically prepared cross sections. Only a maximum content of about 45 wt.% Al2TiO5 was possible to obtain with the heat treatment at 1500 °C due to inhomogeneous distribution of Al and Ti in the original powder. Coatings were prepared by plasma spraying using a TriplexPro-210 (Oerlikon Metco) with Ar-H2 and Ar-He plasma gas mixtures at plasma power levels of 41 and 48 kW. Coatings were studied by XRD, SEM including EDS linescans of metallographically prepared cross sections, and microhardness HV1. With the exception of the powder heat-treated at 1500 °C an Al2TiO5-Ti3O5 (tialite-anosovite) solid solution Al2- x Ti1+ x O5 instead of Al2TiO5 existed in the initial powder and the coatings.

  20. Charge separation and transport of the n=2 instability in C-2 FRC plasmas

    NASA Astrophysics Data System (ADS)

    Deng, Bihe; Sun, Xuan; Tuszewski, Michel

    2012-10-01

    Charge separation is critical in the positive feedback loop for gravitational type instabilities to grow [1], such as in the case of the n=2 mode in the C-2 field reversed configuration (FRC) experiment [2]. A fast time response Langmuir probe with minimum perturbation to the plasma is inserted into the edge of the C-2 plasma to measure the plasma floating potential. With the combined plasma wobble motion and spin motion, 2-D scans of the plasma floating potential are obtained, and evidence of charge separation associated with the n=2 instability is observed. The transport due to charge separation is estimated. Charge neutralization can provide an alternative method to stabilize the n=2 mode. An experiment is proposed to test this method with two probes inserted into the plasma from two azimuthally separated ports and an external shorting circuit, to constantly neutralize the charge separation, thus suppress the growth of the n=2 mode. [4pt] [1] R.J. Goldston and P.H. Rutherford, Introduction to Plasma Physics (Institute of Physics Publishing, Bristol, 2000).[0pt] [2]. M.W. Binderbauer et al, Phys. Rev. Lett. 105, 045003 (2010).

  1. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  2. Quantification of nitrous oxide (N2O) emissions and soluble microbial product (SMP) production by a modified AOB-NOB-N2O-SMP model.

    PubMed

    Kim, MinJeong; Wu, Guangxue; Yoo, ChangKyoo

    2017-03-01

    A modified AOB-NOB-N 2 O-SMP model able to quantify nitrous oxide (N 2 O) emissions and soluble microbial product (SMP) production during wastewater treatment is proposed. The modified AOB-NOB-N 2 O-SMP model takes into account: (1) two-step nitrification by ammonia-oxidizing bacteria (AOB) and nitrite-oxidizing bacteria (NOB), (2) N 2 O production by AOB denitrification under oxygen-limited conditions and (3) SMP production by microbial growth and endogenous respiration. Validity of the modified model is demonstrated by comparing the simulation results with experimental data from lab-scale sequencing batch reactors (SBRs). To reliably implement the modified model, a model calibration that adjusts model parameters to fit the model outputs to the experimental data is conducted. The results of this study showed that the modeling accuracy of the modified AOB-NOB-N 2 O-SMP model increases by 19.7% (NH 4 ), 51.0% (NO 2 ), 57.8% (N 2 O) and 16.7% (SMP) compared to the conventional model which does not consider the two-step nitrification and SMP production by microbial endogenous respiration. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Crystal structure of aqua-1κO-{μ-2-[(2-hydroxy-ethyl)methylamino]ethanolato-2:1κ(4) O (1),N,O (2):O (1)}[μ-2,2'-(methylimino)diethanolato-1:2κ(4) O,N,O':O]dithiocyanato-1κN,2κN-chromium(III)copper(II).

    PubMed

    Rusanova, Julia A; Semenaka, Valentina V; Dyakonenko, Viktoriya V; Shishkin, Oleg V

    2015-09-01

    The title compound, [CrCu(C5H11NO2)(C5H12NO2)(NCS)2(H2O)] or [Cr(μ-mdea)Cu(μ-Hmdea)(NCS)2H2O], (where mdeaH2 is N-methylethanolamine, C5H13NO2) is formed as a neutral heterometal Cu(II)/Cr(III) complex. The mol-ecular structure of the complex is based on a binuclear {CuCr(μ-O)2} core. The coordination environment of each metal atom involves the N,O,O atoms of the tridentate ligand, one bridging O atom of the ligand and the N atom of the thio-cyanato ligands. The Cu(II) ion adopts a distorted square-pyramidal coordination while the Cr(III) ion has a distorted octa-hedral coordination geometry completed by the aqua ligand. In the crystal, the binuclear complexes are linked via two pairs of O-H⋯O hydrogen bonds to form inversion dimers, which are arranged in columns parallel to the a axis. In the μ-mdea ligand two -CH2 groups and the methyl group were refined as disordered over two sets of sites with equal occupancies. The structure was refined as a two-component twin with a twin scale factor of 0.242 (1).

  4. {μ-2-[(3-Amino-2,2-dimethyl-prop-yl)imino-meth-yl]-6-meth-oxy-phenolato-1:2κ(5)O(1),O(6):N,N',O(1)}{2-[(3-amino-2,2-dimethyl-prop-yl)imino-meth-yl]-6-meth-oxy-phenolato-1κ(3)N,N',O(1)}-μ-azido-1:2κ(2)N:N-azido-2κN-methanol-2κO-dinickel(II).

    PubMed

    Ghaemi, Akbar; Rayati, Saeed; Fayyazi, Kazem; Ng, Seik Weng; Tiekink, Edward R T

    2012-08-01

    Two distinct coordination geometries are found in the binuclear title complex, [Ni(2)(C(13)H(19)N(2)O(2))(2)(N(3))(2)(CH(3)OH)], as one Schiff base ligand is penta-dentate, coordinating via the anti-cipated oxide O, imine N and amine N atoms (as for the second, tridentate, ligand) but the oxide O is bridging and coordination also occurs through the meth-oxy O atom. The Ni(II) atoms are linked by a μ(2)-oxide atom and one end of a μ(2)-azide ligand, forming an Ni(2)ON core. The coordination geometry for the Ni(II) atom coordinated by the tridentate ligand is completed by the meth-oxy O atom derived from the penta-dentate ligand, with the resulting N(3)O(3) donor set defining a fac octa-hedron. The second Ni(II) atom has its cis-octa-hedral N(4)O(2) coordination geometry completed by the imine N and amine N atoms of the penta-dentate Schiff base ligand, a terminally coordinated azide N and a methanol O atom. The arrangement is stabilized by an intra-molecular hydrogen bond between the methanol H and the oxide O atom. Linear supra-molecular chains along the a axis are formed in the crystal packing whereby two amine H atoms from different amine atoms hydrogen bond to the terminal N atom of the monodentate azide ligand.

  5. A pulsed plasma jet with the various Ar/N2 mixtures

    NASA Astrophysics Data System (ADS)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.; Rostami Ravari, M. N.

    2017-12-01

    In this paper, using the Optical Emission Spectroscopy technique, the physical properties of a fabricated pulsed DBD plasma jet are studied. Ar/N2 gaseous mixture is taken as operational gas, and Ar contribution in Ar/N2 mixture is varied from 75 to 95%. Through the optical emission spectra analysis of the pulsed DBD plasma jet, the rotational, vibrational and excitation temperatures and density of electrons in plasma medium of the pulsed plasma jet are obtained. It is seen that, at the wavelength of 750.38 nm, the radiation intensity from the Ar 4p → 4 s transition increases at the higher Ar contributions in Ar/N2 mixture. It is found that, for 95% of Ar presence in the mixture, the emission intensities from argon and molecular nitrogen are higher, and the emission line intensities will increase nonlinearly. In addition, it is observed that the quenching of Ar* by N2 results in the higher intensities of N2 excited molecules. Moreover, at the higher percentages of Ar in Ar/N2 mixture, while all the plasma temperatures are increased, the plasma electron density is reduced.

  6. Measurement of OH, NO, O and N atoms in helium plasma jet for ROS/RNS controlled biomedical processes

    NASA Astrophysics Data System (ADS)

    Yonemori, Seiya; Kamakura, Taku; Ono, Ryo

    2014-10-01

    Atmospheric-pressure plasmas are of emerging interest for new plasma applications such as cancer treatment, cell activation and sterilization. In those biomedical processes, reactive oxygen/nitrogen species (ROS/RNS) are said that they play significant role. It is though that active species give oxidative stress and induce biomedical reactions. In this study, we measured OH, NO, O and N atoms using laser induced fluorescence (LIF) measurement and found that voltage polarity affect particular ROS. When negative high voltage was applied to the plasma jet, O atom density was tripled compared to the case of positive applied voltage. In that case, O atom density was around 3 × 1015 [cm-3] at maximum. In contrast, OH and NO density did not change their density depending on the polarity of applied voltage, measured as in order of 1013 and 1014 [cm-3] at maximum, respectively. From ICCD imaging measurement, it could be seen that negative high voltage enhanced secondary emission in plasma bullet propagation and it can affect the effective production of particular ROS. Since ROS/RNS dose can be a quantitative criterion to control plasma biomedical application, those measurement results is able to be applied for in vivo and in vitro plasma biomedical experiments. This study is supported by the Grant-in-Aid for Science Research by the Ministry of Education, Culture, Sport, Science and Technology.

  7. [Effects of organic and inorganic fertilizers on emission and sources of N2O in vegetable soils.

    PubMed

    Lin, Wei; Ding, Jun Jun; Li, Yu Zhong; Xu, Chun Ying; Li, Qiao Zhen; Zheng, Qian; Zhuang, Shan

    2018-05-01

    To clarify the microbial pathway of the N 2 O production and consumption under different fertilizers and provide theoretical basis for the reduction of N 2 O emission and rational management of fertilization in vegetable soils, we examined dynamics of N 2 O flux and isotope signatures under different fertilizer treatments in the vegetable soils of Beijing, by setting up four treatments (organic-acetylene, organic-nonacetylene, inorganic-acetylene, inorganic-nonacetylene) and using the stable isotope technique of natural N 2 O abundance. The results showed that the cumulative N 2 O emission from organic-acetylene group, organic-nonacetylene group, inorganic-acetylene group and inorganic-nonacetylene group was (374±37), (283±34), (458±36), (355±41) g·m -2 in cabbage growing season, respectively. N 2 O fluxes were significantly lower in treatments with organic fertilizer than those with inorganic fertilizer and significantly higher in acetylene group than nonacetylene group. The degree of N 2 O reduction were similar in both fertilizer treatments, and higher nitrification was found in inorganic fertilizer than organic fertilizer treatments. Acetylene only inhibited partial nitrification and partial N 2 O reduction at the peak of N 2 O emission. When the emission was reduced, N 2 O reduction could be completely suppressed. Therefore, the inorganic fertilizer might trigger nitrification and promote higher N 2 O emission. The high concentration of N 2 O could withstand that acetylene to inhibite N 2 O reduction. Hence, using organic fertilizers instead of some inorganic ones could effectively reduce N 2 O emission in vegetable soils of Beijing. The N 2 O concentration threshold should be considered when we identify N 2 O source by acetylene inhibition method.

  8. Decomposition of 2-((2-methoxyphenyl)diazenyl)benzene-1,3,5-triol molecule by an argon plasma jet

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2018-05-01

    In this study, we have presented the effects of the argon plasma on a 2-((2-methoxyphenyl)diazenyl)benzene-1,3,5-triol molecule—AZO compound (abbreviated as 2MDB)—under atmospheric pressure. In order to do this, the validated molecule has been considered and plasma has been used to modify it. The atmospheric pressure plasma jet system was specially designed for performing decomposing processes of the 2MDB molecule. The characterizations before and after the application of plasma—which takes only 3 minutes under atmospheric pressure conditions, to dissolve the 2MDB molecule in ethanol and methanol solutions—were examined using the Fourier transform infrared and Ultraviolet-Visible (UV-Vis) spectroscopies. After the plasma treatment, the molecule was broken at -C-N=N-C-C bond. Accurate and important changes are seen clearly from the results. In addition, according to UV-Vis spectra, π-π* electronic transitions related to -N=N- AZO bridge for the 2MDB molecule in polar-aprotic solvents such as ethanol and methanol were recorded as strong transitions. The new photoproducts such as -C-N-N=C and C=O were obtained from the 2MDB molecule.

  9. Atomic scale simulation of H2O2 permeation through aquaporin: toward the understanding of plasma cancer treatment

    NASA Astrophysics Data System (ADS)

    Yusupov, Maksudbek; Yan, Dayun; Cordeiro, Rodrigo M.; Bogaerts, Annemie

    2018-03-01

    Experiments have demonstrated the potential selective anticancer capacity of cold atmospheric plasmas (CAPs), but the underlying mechanisms remain unclear. Using computer simulations, we try to shed light on the mechanism of selectivity, based on aquaporins (AQPs), i.e. transmembrane protein channels transferring external H2O2 and other reactive oxygen species, created e.g. by CAPs, to the cell interior. Specifically, we perform molecular dynamics simulations for the permeation of H2O2 through AQP1 (one of the members of the AQP family) and the palmitoyl-oleoyl-phosphatidylcholine (POPC) phospholipid bilayer (PLB). The free energy barrier of H2O2 across AQP1 is lower than for the POPC PLB, while the permeability coefficient, calculated using the free energy and diffusion rate profiles, is two orders of magnitude higher. This indicates that the delivery of H2O2 into the cell interior should be through AQP. Our study gives a better insight into the role of AQPs in the selectivity of CAPs for treating cancer cells.

  10. Formation of low resistance ohmic contacts in GaN-based high electron mobility transistors with BCl3 surface plasma treatment

    NASA Astrophysics Data System (ADS)

    Fujishima, Tatsuya; Joglekar, Sameer; Piedra, Daniel; Lee, Hyung-Seok; Zhang, Yuhao; Uedono, Akira; Palacios, Tomás

    2013-08-01

    A BCl3 surface plasma treatment technique to reduce the resistance and to increase the uniformity of ohmic contacts in AlGaN/GaN high electron mobility transistors with a GaN cap layer has been established. This BCl3 plasma treatment was performed by an inductively coupled plasma reactive ion etching system under conditions that prevented any recess etching. The average contact resistances without plasma treatment, with SiCl4, and with BCl3 plasma treatment were 0.34, 0.41, and 0.17 Ω mm, respectively. Also, the standard deviation of the ohmic contact resistance with BCl3 plasma treatment was decreased. This decrease in the standard deviation of contact resistance can be explained by analyzing the surface condition of GaN with x-ray photoelectron spectroscopy and positron annihilation spectroscopy. We found that the proposed BCl3 plasma treatment technique can not only remove surface oxide but also introduce surface donor states that contribute to lower the ohmic contact resistance.

  11. The synergistic effect of TiO2 nanoporous modification and platelet-rich plasma treatment on titanium-implant stability in ovariectomized rats.

    PubMed

    Jiang, Nan; Du, Pinggong; Qu, Weidong; Li, Lin; Liu, Zhonghao; Zhu, Songsong

    For several decades, titanium and its alloys have been commonly utilized for endosseous implantable materials, because of their good mechanical properties, chemical resistance, and biocompatibility. But associated low bone mass, wear and loss characteristics, and high coefficients of friction have limited their long-term stable performance, especially in certain abnormal bone-metabolism conditions, such as postmenopausal osteoporosis. In this study, we investigated the effects of platelet-rich plasma (PRP) treatment and TiO 2 nanoporous modification on the stability of titanium implants in osteoporotic bone. After surface morphology, topographical structure, and chemical changes of implant surface had been detected by scanning electron microscopy (SEM), atomic force microscopy, contact-angle measurement, and X-ray diffraction, we firstly assessed in vivo the effect of PRP treatment on osseointegration of TiO 2 -modified implants in ovariectomized rats by microcomputed tomography examinations, histology, biomechanical testing, and SEM observation. Meanwhile, the potential molecular mechanism involved in peri-implant osseous enhancement was also determined by quantitative real-time polymerase chain reaction. The results showed that this TiO 2 -modified surface was able to lead to improve bone implant contact, while PRP treatment was able to increase the implant surrounding bone mass. The synergistic effect of both was able to enhance the terminal force of implants drastically in biomechanical testing. Compared with surface modification, PRP treatment promoted earlier osteogenesis with increased expression of the RUNX2 and COL1 genes and suppressed osteoclastogenesis with increased expression of OPG and decreased levels of RANKL. These promising results show that PRP treatment combined with a TiO 2 -nanomodified surface can improve titanium-implant biomechanical stability in ovariectomized rats, suggesting a beneficial effect to support the success of implants in

  12. The synergistic effect of TiO2 nanoporous modification and platelet-rich plasma treatment on titanium-implant stability in ovariectomized rats

    PubMed Central

    Jiang, Nan; Du, Pinggong; Qu, Weidong; Li, Lin; Liu, Zhonghao; Zhu, Songsong

    2016-01-01

    For several decades, titanium and its alloys have been commonly utilized for endosseous implantable materials, because of their good mechanical properties, chemical resistance, and biocompatibility. But associated low bone mass, wear and loss characteristics, and high coefficients of friction have limited their long-term stable performance, especially in certain abnormal bone-metabolism conditions, such as postmenopausal osteoporosis. In this study, we investigated the effects of platelet-rich plasma (PRP) treatment and TiO2 nanoporous modification on the stability of titanium implants in osteoporotic bone. After surface morphology, topographical structure, and chemical changes of implant surface had been detected by scanning electron microscopy (SEM), atomic force microscopy, contact-angle measurement, and X-ray diffraction, we firstly assessed in vivo the effect of PRP treatment on osseointegration of TiO2-modified implants in ovariectomized rats by microcomputed tomography examinations, histology, biomechanical testing, and SEM observation. Meanwhile, the potential molecular mechanism involved in peri-implant osseous enhancement was also determined by quantitative real-time polymerase chain reaction. The results showed that this TiO2-modified surface was able to lead to improve bone implant contact, while PRP treatment was able to increase the implant surrounding bone mass. The synergistic effect of both was able to enhance the terminal force of implants drastically in biomechanical testing. Compared with surface modification, PRP treatment promoted earlier osteogenesis with increased expression of the RUNX2 and COL1 genes and suppressed osteoclastogenesis with increased expression of OPG and decreased levels of RANKL. These promising results show that PRP treatment combined with a TiO2-nanomodified surface can improve titanium-implant biomechanical stability in ovariectomized rats, suggesting a beneficial effect to support the success of implants in

  13. Soil Nitrification and N2O Production: the connection with N concentration and Soil Water Content

    NASA Astrophysics Data System (ADS)

    Zhu-Barker, X.; Horwath, W. R.

    2016-12-01

    The development of mitigation strategies to reduce nitrous oxide (N2O) emission from soils is dependent on explicating the biophysical factors affecting different N2O production pathways. Ammonia oxidation and heterotrophic denitrification are the main pathways of N2O production, depending on soil conditions such as soil moisture content, oxygen (O2) content and N substrate. Many researchers have reported that N2O production increased as substrate concentration and soil moisture content increased. However, less understood is how N fertilizer concentration and moisture content interact to affect N2O production pathways. To investigate interaction and its effect on O2 consumption, we incubated three agricultural soils (clay, sandy loam, and peat) with different concentrations of (NH4)2SO4 (0-1000 µg N g-1) under 50 %, 75%, and 100% of water holding capacity. All treatments received 15N -KNO3 to bring the concentrations of NO3-_N in soils to 50 mg kg-1 soil and the NO3- pool to an enrichment of 10 atom% 15N. In all soils, the total amount of O2 consumption and N2O production increased as soil ammonical N concentration increased. The increased soil moisture significantly promoted N2O production in sandy loam and clay loam soils, compared to the peat soil. These results indicate that N2O production increased as substrate concentration increased likely due to the onset of O2 limitation caused by ammonia oxidation.

  14. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  15. O2-O2 and O2-N2 collision-induced absorption mechanisms unravelled

    NASA Astrophysics Data System (ADS)

    Karman, Tijs; Koenis, Mark A. J.; Banerjee, Agniva; Parker, David H.; Gordon, Iouli E.; van der Avoird, Ad; van der Zande, Wim J.; Groenenboom, Gerrit C.

    2018-05-01

    Collision-induced absorption is the phenomenon in which interactions between colliding molecules lead to absorption of light, even for transitions that are forbidden for the isolated molecules. Collision-induced absorption contributes to the atmospheric heat balance and is important for the electronic excitations of O2 that are used for remote sensing. Here, we present a theoretical study of five vibronic transitions in O2-O2 and O2-N2, using analytical models and numerical quantum scattering calculations. We unambiguously identify the underlying absorption mechanism, which is shown to depend explicitly on the collision partner—contrary to textbook knowledge. This explains experimentally observed qualitative differences between O2-O2 and O2-N2 collisions in the overall intensity, line shape and vibrational dependence of the absorption spectrum. It is shown that these results can be used to discriminate between conflicting experimental data and even to identify unphysical results, thus impacting future experimental studies and atmospheric applications.

  16. The denitrification paradox: The role of O2 in sediment N2O production

    NASA Astrophysics Data System (ADS)

    Barnes, Jonathan; Upstill-Goddard, Robert C.

    2018-01-01

    We designed a novel laboratory sediment flux chamber in which we maintained the headspace O2 partial pressure at preselected values, allowing us to experimentally regulate "in-situ" O2 to evaluate its role in net N2O production by an intertidal estuarine sediment (Tyne, UK). In short-term (30 h) incubations with 10 L of overlying estuarine water (∼3 cm depth) and headspace O2 regulation (headspace: sediment/water ratio ∼9:1), net N2O production was highest at 1.2% O2 (sub-oxic; 32.3 nmol N2O m-2 d-1), an order of magnitude higher than at either 0.0% (anoxic; 2.5 N2O nmol m-2 d-1) or 20.85% (ambient; 2.3 nmol N2O m-2 d-1) O2. In a longer-term sealed incubation (∼490 h) without O2 control, time-dependent behaviour of N2O in the tank headspace was highly non-linear with time, showing distinct phases: (i) an initial period of no or little change in O2 or N2O up to ∼ 100 h; (ii) a quasi-linear, inverse correlation between O2 and N2O to ∼360 h, in which O2 declined to ∼2.1% and N2O rose to ∼7800 natm; (iii) over the following 50 h a slower O2 decline, to ∼1.1%, and a more rapid N2O increase, to ∼12000 natm; (iv) over the next 24 h a slowed O2 decline towards undetectable levels and a sharp fall in N2O to ∼4600 natm; (iv) a continued N2O decrease at zero O2, to ∼3000 natm by ∼ 490 h. These results show clearly that rapid N2O consumption (∼115 nmol m-2 d-1), presumably via heterotrophic denitrification (HD), occurs under fully anoxic conditions and therefore that N2O production, which was optimal for sub-oxic O2, results from other nitrogen transformation processes. In experiments in which we amended sediment overlying water to either 1 mM NH4+ or 1 mM NO3-, N2O production rates were 2-134 nmol N2O m-2 d-1 (NH4+ addition) and 0.4-2.2 nmol N2O m-2 d-1 (NO3- addition). We conclude that processes involving NH4+ oxidation (nitrifier nitrification; nitrifier denitrification; nitrification-coupled denitrification) are principally responsible for N2O

  17. Kinetics of nitrous oxide (N2O) formation and reduction by Paracoccus pantotrophus.

    PubMed

    Read-Daily, B L; Sabba, F; Pavissich, J P; Nerenberg, R

    2016-12-01

    Nitrous oxide (N 2 O) is a powerful greenhouse gas emitted from wastewater treatment, as well as natural systems, as a result of biological nitrification and denitrification. While denitrifying bacteria can be a significant source of N 2 O, they can also reduce N 2 O to N 2 . More information on the kinetics of N 2 O formation and reduction by denitrifying bacteria is needed to predict and quantify their impact on N 2 O emissions. In this study, kinetic parameters were determined for Paracoccus pantotrophus, a common denitrifying bacterium. Parameters included the maximum specific reduction rates, [Formula: see text], growth rates, [Formula: see text], and yields, Y, for reduction of NO 3 - (nitrate) to nitrite (NO 2 - ), NO 2 - to N 2 O, and N 2 O to N 2 , with acetate as the electron donor. The [Formula: see text] values were 2.9 gN gCOD -1 d -1 for NO 3 - to NO 2 - , 1.4 gN gCOD -1  d -1 for NO 2 - to N 2 O, and 5.3 gN gCOD -1  d -1 for N 2 O to N 2 . The [Formula: see text] values were 2.7, 0.93, and 1.5 d -1 , respectively. When N 2 O and NO 3 - were added concurrently, the apparent (extant) kinetics, [Formula: see text], assuming reduction to N 2 , were 6.3 gCOD gCOD -1  d -1 , compared to 5.4 gCOD gCOD -1  d -1 for NO 3 - as the sole added acceptor. The [Formula: see text] was 1.6 d -1 , compared to 2.5 d -1 for NO 3 - alone. These results suggest that NO 3 - and N 2 O were reduced concurrently. Based on this research, denitrifying bacteria like P. pantotrophus may serve as a significant sink for N 2 O. With careful design and operation, treatment plants can use denitrifying bacteria to minimize N 2 O emissions.

  18. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    PubMed

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  19. N2O and CO2 emissions following repeated application of organic and mineral N fertiliser from a vegetable crop rotation.

    PubMed

    De Rosa, Daniele; Rowlings, David W; Biala, Johannes; Scheer, Clemens; Basso, Bruno; Grace, Peter R

    2018-05-11

    Accounting for nitrogen (N) release from organic amendments (OA) can reduce the use of synthetic N-fertiliser, sustain crop production, and potentially reduce soil borne greenhouse gases (GHG) emissions. However, it is difficult to assess the GHG mitigation potential for OA as a substitute of N-fertiliser over the long term due to only part of the organic N added to soil is being released in the first year after application. High-resolution nitrous oxide (N 2 O) and carbon dioxide (CO 2 ) emissions monitored from a horticultural crop rotation over 2.5 years from conventional urea application rates were compared to treatments receiving an annual application of raw and composted chicken manure combined with conventional and reduced N-fertiliser rates. The repeated application of composted manure did not increase annual N 2 O emissions while the application of raw manure resulted in N 2 O emissions up to 35.2 times higher than the zero N fertiliser treatment and up to 4.7 times higher than conventional N-fertiliser rate due to an increase in C and N availability following the repeated application of raw OA. The main factor driving N 2 O emissions was the incorporation of organic material accompanied by high soil moisture while the application of synthetic N-fertiliser induced only short-term N 2 O emission pulse. The average annual N 2 O emission factor calculated accounting for the total N applied including OA was equal to 0.27 ± 0.17%, 3.7 times lower than the IPCC default value. Accounting for the estimated N release from OA only enabled a more realistic N 2 O emission factor to be defined for organically amended field that was equal to 0.48 ± 0.3%. This study demonstrated that accounting for the N released from repeated application of composted rather than raw manure can be a viable pathway to reduce N 2 O emissions and maintain soil fertility. Copyright © 2017. Published by Elsevier B.V.

  20. Characterization of the N2O isotopic composition (15N, 18O and N2O isotopomers) emitted from incubated Amazon forest soils. Implications for the global N2O isotope budget

    NASA Astrophysics Data System (ADS)

    Pérez, T.; García, D.; Trumbore, S.; Tyler, S.; de Camargo, P.; Moreira, M.; Piccolo, M.; Park, S.; Boering, K.; Cerri, C.

    2003-04-01

    Tropical rain forest soils are the largest natural source of N2O to the atmosphere. Uncertainty in the signature of this source limits the utility of isotopes in constraining the global N2O budget. Differentiating the relative contribution of nitrification and denitrification to the emitted N2O using stable isotopes has been difficult due to the lack of enrichment factors values for each process measured in situ. We have devised a method for measuring enrichment factors using soil incubation experiments. We selected three Amazon rain forest soils: (1) Clay and (2) Sandy from Santarem, Pará State, and (3) Sandy from Nova Vida Farm, Rondonia State, Brazil. The enrichment factor values for nitrification and denitrification are: -97.8±4.2 and -9.9±3.8 per mil for clay Santarem soil, -86.8±4.3 and -45.2±4.5 per mil for sandy Santarem soil and-112.6±3.8 and -10.4±3.5 per mil for Nova Vida Farm soils, respectively. Our results show that enrichment factors for both processes differ with soil texture and location. The enrichment factors for nitrification are significantly smaller than the range reported in the literature (-66 to -42 per mil). Also, the enrichment factors for the Santarem soils (clay and sandy) differ significantly implying that soil texture (which will affect the soil air filled pore space at a given water content) is influencing the bacteria isotopic discrimination. However, the enrichment factors for the Santarem clay sand Nova Vida sandy soils do not differ by much. This suggests that the enrichment factors not only can be affected by texture but also by the microbial fauna present in these soils. We also determined the measurement of the N2O positional dependence. N2O is a linear molecule with two nitrogen atoms. The 15N isotope can be located in either the central nitrogen (alpha position) or in the terminal nitrogen (beta position). The isotopomer site preference (15N alpha - 15N beta) can be used to differentiate processes of production and

  1. Investigation on interfacial and electrical properties of Ge MOS capacitor with different NH3-plasma treatment procedure

    NASA Astrophysics Data System (ADS)

    Liu, Xiaoyu; Xu, Jingping; Liu, Lu; Cheng, Zhixiang; Huang, Yong; Gong, Jingkang

    2017-08-01

    The effects of different NH3-plasma treatment procedures on interfacial and electrical properties of Ge MOS capacitors with stacked gate dielectric of HfTiON/TaON were investigated. The NH3-plasma treatment was performed at different steps during fabrication of the stacked gate dielectric, i.e. before or after interlayer (TaON) deposition, or after deposition of high-k dielectric (HfTiON). It was found that the excellent interface quality with an interface-state density of 4.79 × 1011 eV-1 cm-2 and low gate leakage current (3.43 × 10-5 A/cm2 at {V}{{g}}=1 {{V}}) could be achieved for the sample with NH3-plasma treatment directly on the Ge surface before TaON deposition. The involved mechanisms are attributed to the fact that the NH3-plasma can directly react with the Ge surface to form more Ge-N bonds, i.e. more GeO x Ny, which effectively blocks the inter-diffusion of elements and suppresses the formation of unstable GeO x interfacial layer, and also passivates oxygen vacancies and dangling bonds near/at the interface due to more N incorporation and decomposed H atoms from the NH3-plasma. Project supported by the National Natural Science Foundation of China (Nos. 61176100, 61274112).

  2. N2O molecular tagging velocimetry

    NASA Astrophysics Data System (ADS)

    ElBaz, A. M.; Pitz, R. W.

    2012-03-01

    A new seeded velocity measurement technique, N2O molecular tagging velocimetry (MTV), is developed to measure velocity in wind tunnels by photochemically creating an NO tag line. Nitrous oxide "laughing gas" is seeded into the air flow. A 193 nm ArF excimer laser dissociates the N2O to O(1D) that subsequently reacts with N2O to form NO. O2 fluorescence induced by the ArF laser "writes" the original position of the NO line. After a time delay, the shifted NO line is "read" by a 226-nm laser sheet and the velocity is determined by time-of-flight. At standard atmospheric conditions with 4% N2O in air, ˜1000 ppm of NO is photochemically created in an air jet based on experiment and simulation. Chemical kinetic simulations predict 800-1200 ppm of NO for 190-750 K at 1 atm and 850-1000 ppm of NO for 0.25-1 atm at 190 K. Decreasing the gas pressure (or increasing the temperature) increases the NO ppm level. The presence of humid air has no significant effect on NO formation. The very short NO formation time (<10 ns) makes the N2O MTV method amenable to low- and high-speed air flow measurements. The N2O MTV technique is demonstrated in air jet to measure its velocity profile. The N2O MTV method should work in other gas flows as well (e.g., helium) since the NO tag line is created by chemical reaction of N2O with O(1D) from N2O photodissociation and thus does not depend on the bulk gas composition.

  3. Potassium (2,2'-bipyridine-κN,N')bis-(carbonato-κO,O')cobaltate(III) dihydrate.

    PubMed

    Wang, Jian-Fei; Lin, Jian-Li

    2010-09-30

    In the title compound, K[Co(CO(3))(2)(C(10)H(8)N(2))]·2H(2)O, the Co(III) atom is coordinated by two bipyridine N atoms and four O atoms from two bidentate chelating carbonate anions, and thus adopts a distorted octa-hedral N(2)O(4) environment. The [Co(bipy)(CO(3))(2)](-) (bipy is 2,2'-bipyridine) -units are stacked along [100] via π-π stacking inter-actions, with inter-planar distances between the bipyridine rings of 3.36 (4) and 3.44 (6) Å, forming chains. Classical O-H⋯O hydrogen-bonding inter-actions link the chains, forming channels along (100) in which the K(+) ions reside and leading to a three-dimensional supra-molecular architecture.

  4. Strategies to mitigate N2O emissions from biological nitrogen removal systems.

    PubMed

    Desloover, Joachim; Vlaeminck, Siegfried E; Clauwaert, Peter; Verstraete, Willy; Boon, Nico

    2012-06-01

    N2O emissions from the biological treatment of sewage, manure, landfill leachates and industrial effluents have gained considerable interest among policy makers and environmental scientists. Estimated global emission rates from these sources can contribute up to 10% of the anthropogenic N2O emissions. Particularly at the level of a treatment plant, the N2O impact can be very significant and reach up to 80% of the operational CO2 footprint. Imperfect nitritation by an imbalance in the two-step nitritation metabolism of ammonia-oxidizing bacteria is considered as the main contributor to N2O production with hydroxylamine and particularly nitrite as key precursors. Monitoring of these compounds is warranted to understand and abate N2O emissions. Mitigation strategies should also comprise optimizations of the process parameters as well as bio-augmentative approaches empowered to restore the functional capacity and to deal with unwanted accumulation of intermediates. These strategies require validation for their effectiveness and costs at full-scale. Copyright © 2012 Elsevier Ltd. All rights reserved.

  5. Conversion of nitrogen oxides in N2:O2:CO2 and N2:O2:CO2:NO2 mixtures subjected to a dc corona discharge

    NASA Astrophysics Data System (ADS)

    Dors, Mirosław; Mizeraczyk, Jerzy

    1996-10-01

    This paper concerns the influence of a direct current (dc) corona discharge on production and reduction of NO, NO2 and N2O in N2:O2:CO2 and N2:O2:CO2:NO2 mixtures. The corona discharge was generated in a needle-to-plate reactor. The positively polarized electrode consisted of 7 needles. The grounded electrode was a stainless steel plate. The gas flow rate through the reactor was varied from 28 to 110 cm3/s. The time-averaged discharge current ranged from 0 to 6 mA. It was found that in the N2:O2:CO2 mixture the corona discharge produced NO, NO2 and N2O. In the N2:O2:CO2:NO2 mixture the reduction of NO2 was between 6-56%, depending on the concentration of O2, gas flow rate and corona discharge current. The NO2 reduction was accompanied by production of NO and N2O. The results show that efficient reduction of nitrogen oxides by a corona discharge cannot be expected in the mixtures containing N2 and O2 if reducing additives are not employed.

  6. Infrared spectra of seeded hydrogen clusters: (para-H2)N-N2O and (ortho-H2)N-N2O, N = 2-13.

    PubMed

    Tang, Jian; McKellar, A R W

    2005-09-15

    High-resolution infrared spectra of clusters containing para-H2 and/or ortho-H2 and a single nitrous oxide molecule are studied in the 2225-cm(-1) region of the upsilon1 fundamental band of N2O. The clusters are formed in pulsed supersonic jet expansions from a cooled nozzle and probed using a tunable infrared diode laser spectrometer. The simple symmetric rotor-type spectra generally show no resolved K structure, with prominent Q-branch features for ortho-H2 but not para-H2 clusters. The observed vibrational shifts and rotational constants are reported. There is no obvious indication of superfluid effects for para-H2 clusters up to N=13. Sharp transitions due to even larger clusters are observed, but no definite assignments are possible. Mixed (para-H2)N-(ortho-H2)M-N2O cluster line positions can be well predicted by linear interpolation between the corresponding transitions of the pure clusters.

  7. Estimating N2O processes during grassland renewal and grassland conversion to maize cropping using N2O isotopocules

    NASA Astrophysics Data System (ADS)

    Buchen, Caroline; Well, Reinhard; Flessa, Heinz; Fuß, Roland; Helfrich, Mirjam; Lewicka-Szczebak, Dominika

    2017-04-01

    Grassland break-up due to grassland renewal and grassland conversion to cropland can lead to a flush of mineral nitrogen from decomposition of the old grass sward and the decomposition of soil organic matter. Moreover, increased carbon and nitrogen mineralisation can result in enhanced nitrous oxide (N2O) emissions. As N2O is known to be an important greenhouse gas and a major precursor for ozone depletion, its emissions need to be mitigated by adjusting agricultural management practices. Therefore, it is necessary to understand the N2O processes involved, as well as the contribution of N2O reduction to N2. Apart from the widely used 15N gas flux method, natural abundance isotopic analysis of the four most abundant isotopocules of N2O species is a promising alternative to assess N2O production pathways. We used stable isotope analyses of soil-emitted N2O (δ18ON2O, δ15NN2Obulk and δ15NN2OSP= intramolecular distribution of 15N within the linear N2O molecule) with an isotopocule mapping approach to simultaneously estimate the magnitude of N2O reduction to N2 and the fraction of N2O originating from the bacterial denitrification pathway or fungal denitrification and/or nitrification. This approach is based on endmember areas of isotopic values for the N2O produced from different sources reported in the literature. For this purpose, we calculated two main scenarios with different assumptions for N2O produced: N2O is reduced to N2 before residual N2O is mixed with N2O of various sources (Scenario a) and vice versa (Scenario b). Based on this, we applied seven different scenario variations, where we evaluated the range of possible values for the potential N2O production pathways (heterotrophic bacterial denitrification and/or nitrifier denitrification and fungal denitrification and/or nitrification). This was done by using a range of isotopic endmember values and assuming different fractionation factors of N2O reduction in order to find the most reliable scenario

  8. Life on N2O: deciphering the ecophysiology of N2O respiring bacterial communities in a continuous culture.

    PubMed

    Conthe, Monica; Wittorf, Lea; Kuenen, J Gijs; Kleerebezem, Robbert; van Loosdrecht, Mark C M; Hallin, Sara

    2018-04-01

    Reduction of the greenhouse gas N 2 O to N 2 is a trait among denitrifying and non-denitrifying microorganisms having an N 2 O reductase, encoded by nosZ. The nosZ phylogeny has two major clades, I and II, and physiological differences among organisms within the clades may affect N 2 O emissions from ecosystems. To increase our understanding of the ecophysiology of N 2 O reducers, we determined the thermodynamic growth efficiency of N 2 O reduction and the selection of N 2 O reducers under N 2 O- or acetate-limiting conditions in a continuous culture enriched from a natural community with N 2 O as electron acceptor and acetate as electron donor. The biomass yields were higher during N 2 O limitation, irrespective of dilution rate and community composition. The former was corroborated in a continuous culture of Pseudomonas stutzeri and was potentially due to cytotoxic effects of surplus N 2 O. Denitrifiers were favored over non-denitrifying N 2 O reducers under all conditions and Proteobacteria harboring clade I nosZ dominated. The abundance of nosZ clade II increased when allowing for lower growth rates, but bacteria with nosZ clade I had a higher affinity for N 2 O, as defined by μ max /K s . Thus, the specific growth rate is likely a key factor determining the composition of communities living on N 2 O respiration under growth-limited conditions.

  9. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    PubMed

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  10. Photocatalytic decomposition of N2O over TiO2/g-C3N4 photocatalysts heterojunction

    NASA Astrophysics Data System (ADS)

    Kočí, K.; Reli, M.; Troppová, I.; Šihor, M.; Kupková, J.; Kustrowski, P.; Praus, P.

    2017-02-01

    TiO2/g-C3N4 photocatalysts with the various TiO2/g-C3N4 weight ratios from 1:2 to 1:6 were fabricated by mechanical mixing in water suspension followed by calcination. Pure TiO2 was prepared by thermal hydrolysis and pure g-C3N4 was prepared from commercial melamine by thermal annealing at 620 °C. All the nanocomposites were characterized by X-ray powder diffraction, UV-vis diffuse reflectance spectroscopy, Raman spectroscopy, infrared spectroscopy, scanning electron microscopy, transmission electron microscopy, photoelectrochemical measurements and nitrogen physisorption. The prepared mixtures along with pure TiO2 and g-C3N4 were tested for the photocatalytic decomposition of nitrous oxide under UVC (λ = 254 nm), UVA (λ = 365 nm) and Vis (λ > 400 nm) irradiation. The TiO2/g-C3N4 nanocomposites showed moderate improvement compared to pure g-C3N4 but pure TiO2 proved to be a better photocatalyst under UVC irradiation. However, under UVA irradiation conditions, the photocatalytic activity of TiO2/g-C3N4 (1:2) nanocomposite exhibited an increase compared to pure TiO2. Nevertheless, further increase of g-C3N4 amount leads/led to a decrease in reactivity. These results are suggesting the nanocomposite with the optimal weight ratio of TiO2 and g-C3N4 have shifted absorption edge energy towards longer wavelengths and decreased the recombination rate of charge carriers compared to pure g-C3N4. This is probably due to the generation of heterojunction on the TiO2/g-C3N4 interface.

  11. Octa-akis(4-amino-pyridine)-1κN,2κN-aqua-2κO-μ-carbonato-1:2κO,O':O''-dinickel(II) dichloride penta-hydrate.

    PubMed

    Fun, Hoong-Kun; Sinthiya, A; Jebas, Samuel Robinson; Ravindran Durai Nayagam, B; Alfred Cecil Raj, S

    2008-10-18

    In the title compound, [Ni(2)(CO(3))(C(5)H(6)N(2))(8)(H(2)O)]Cl(2)·5H(2)O, one of the the Ni(II) ions is six-coordinated in a distorted octa-hedral geometry, with the equatorial plane defined by four pyridine N atoms from four amino-pyridine ligands, the axial positions being occupied by one water O and a carbonate O atom. The other Ni(II) ion is also six-coordinated, by four other pyridine N atoms from four other amino-pyridine ligands and two carbonate O atoms to complete a distorted octa-hedral geometry. In the crystal structure, mol-ecules are linked into an infinite three-dimensional network by O-H⋯O, N-H⋯Cl, N-H⋯O, O-H⋯N, C-H⋯O, C-H⋯N and C/N-H⋯π inter-actions involving the pyridine rings.

  12. Magnesium Oxide (MgO) pH-sensitive Sensing Membrane in Electrolyte-Insulator-Semiconductor Structures with CF4 Plasma Treatment.

    PubMed

    Kao, Chyuan-Haur; Chang, Chia Lung; Su, Wei Ming; Chen, Yu Tzu; Lu, Chien Cheng; Lee, Yu Shan; Hong, Chen Hao; Lin, Chan-Yu; Chen, Hsiang

    2017-08-03

    Magnesium oxide (MgO) sensing membranes in pH-sensitive electrolyte-insulator-semiconductor structures were fabricated on silicon substrate. To optimize the sensing capability of the membrane, CF 4 plasma was incorporated to improve the material quality of MgO films. Multiple material analyses including FESEM, XRD, AFM, and SIMS indicate that plasma treatment might enhance the crystallization and increase the grain size. Therefore, the sensing behaviors in terms of sensitivity, linearity, hysteresis effects, and drift rates might be improved. MgO-based EIS membranes with CF 4 plasma treatment show promise for future industrial biosensing applications.

  13. Determination of N-methylsuccinimide and 2-hydroxy-N-methylsuccinimide in human urine and plasma.

    PubMed

    Jönsson, B A; Akesson, B

    1997-12-19

    A method for determination of N-methylsuccinimide (MSI) and 2-hydroxy-N-methylsuccinimide (2-HMSI) in human urine and of MSI in human plasma was developed. MSI and 2-HMSI are metabolites of the widely used organic solvent N-methyl-2-pyrrolidone (NMP). MSI and 2-HMSI were purified from urine and plasma by C8 solid-phase extraction and analysed by gas chromatography-mass spectrometry in the negative-ion chemical ionisation mode. The intra-day precisions in urine were 2-6% for MSI (50 and 400 ng/ml) and 3-5% for 2-HMSI (1000 and 8000 ng/ml). For MSI in plasma it was 2% (60 and 1200 ng/ml). The between-day precisions in urine were 3-4% for MSI (100 and 1000 ng/ml) and 2-4% for 2-HMSI (10,000 and 18,000 ng/ml) and 3-4% for MSI in plasma (100 and 900 ng/ml). The recoveries from urine were 109-117% for MSI (50 and 400 ng/ml) and 81-89% for 2-HMSI (1000 and 8000 ng/ml). The recovery of MSI from plasma was 91-101% (50 and 500 ng/ml). The detection limits for MSI were 3 ng/ml in urine and 1 ng/ml in plasma and that of 2-HMSI in urine was 200 ng/ml. The method is applicable for analysis of urine and plasma samples from workers exposed to NMP.

  14. Decreased N2O reduction by low soil pH causes high N2O emissions in a riparian ecosystem.

    PubMed

    Van den Heuvel, R N; Bakker, S E; Jetten, M S M; Hefting, M M

    2011-05-01

    Quantification of harmful nitrous oxide (N(2)O) emissions from soils is essential for mitigation measures. An important N(2)O producing and reducing process in soils is denitrification, which shows deceased rates at low pH. No clear relationship between N(2)O emissions and soil pH has yet been established because also the relative contribution of N(2)O as the denitrification end product decreases with pH. Our aim was to show the net effect of soil pH on N(2)O production and emission. Therefore, experiments were designed to investigate the effects of pH on NO(3)(-) reduction, N(2)O production and reduction and N(2) production in incubations with pH values set between 4 and 7. Furthermore, field measurements of soil pH and N(2)O emissions were carried out. In incubations, NO(3)(-) reduction and N(2) production rates increased with pH and net N(2)O production rate was highest at pH 5. N(2)O reduction to N(2) was halted until NO(3)(-) was depleted at low pH values, resulting in a built up of N(2)O. As a consequence, N(2)O:N(2) production ratio decreased exponentially with pH. N(2)O reduction appeared therefore more important than N(2)O production in explaining net N(2)O production rates. In the field, a negative exponential relationship for soil pH against N(2)O emissions was observed. Soil pH could therefore be used as a predictive tool for average N(2)O emissions in the studied ecosystem. The occurrence of low pH spots may explain N(2)O emission hotspot occurrence. Future studies should focus on the mechanism behind small scale soil pH variability and the effect of manipulating the pH of soils. © 2011 Blackwell Publishing Ltd.

  15. Photoelectron spectroscopic study of the hydrated nucleoside anions: Uridine(-)(H(2)O)(n=0-2), cytidine(-)(H(2)O)(n=0-2), and thymidine(-)(H(2)O)(n=0,1).

    PubMed

    Li, Xiang; Wang, Haopeng; Bowen, Kit H

    2010-10-14

    The hydrated nucleoside anions, uridine(-)(H(2)O)(n=0-2), cytidine(-)(H(2)O)(n=0-2), and thymidine(-)(H(2)O)(n=0,1), have been prepared in beams and studied by anion photoelectron spectroscopy in order to investigate the effects of a microhydrated environment on parent nucleoside anions. Vertical detachment energies (VDEs) were measured for all eight anions, and from these, estimates were made for five sequential anion hydration energies. Excellent agreement was found between our measured VDE value for thymidine(-)(H(2)O)(1) and its calculated value in the companion article by S. Kim and H. F. Schaefer III.

  16. Photoelectron spectroscopic study of the hydrated nucleoside anions: Uridine-(H2O)n=0-2, cytidine-(H2O)n=0-2, and thymidine-(H2O)n=0,1

    NASA Astrophysics Data System (ADS)

    Li, Xiang; Wang, Haopeng; Bowen, Kit H.

    2010-10-01

    The hydrated nucleoside anions, uridine-(H2O)n=0-2, cytidine-(H2O)n=0-2, and thymidine-(H2O)n=0,1, have been prepared in beams and studied by anion photoelectron spectroscopy in order to investigate the effects of a microhydrated environment on parent nucleoside anions. Vertical detachment energies (VDEs) were measured for all eight anions, and from these, estimates were made for five sequential anion hydration energies. Excellent agreement was found between our measured VDE value for thymidine-(H2O)1 and its calculated value in the companion article by S. Kim and H. F. Schaefer III.

  17. Influence of immersion cycles during n-β-Bi2O3 sensitization on the photoelectrochemical behaviour of N-F-codoped TiO2 nanotubes

    NASA Astrophysics Data System (ADS)

    Hoyos, Lina J.; Rivera, Diego F.; Gualdrón-Reyes, Andrés F.; Ospina, Rogelio; Rodríguez-Pereira, Jhonatan; Ropero-Vega, Jose L.; Niño-Gómez, Martha E.

    2017-11-01

    Sensitization of TiO2 nanotube (TNT)-based photoanodes with narrow-band gap semiconductors is an important alternative to improving the photoelectrochemical properties of the material. However, the interaction between the sensitizer and TNT is not understood deeply enough to relate charge carrier transport into the composite photoanode with its photoactivity. In this contribution, we studied the photoelectrochemical behaviour of N-F-self codoped TiO2 nanotubes (N-F-TNTs) that were grown by anodization of titanium plates and sensitized with β-Bi2O3 by immersing the TNTs into a Bi2O3 sol solution by dip-coating. The number of immersion cycles was varied. The as-fabricated photoanodes were characterized by FESEM, GIXRD, DRS and XPS, while their photoelectrochemical and semiconducting properties were investigated by photovoltammetry, electrochemical impedance spectroscopy and Mott-Schottky analysis in 0.1 M HClO4. The photoelectrocatalytic activity of the composite photoanodes was evaluated for glycerol oxidation under acidic and alkaline conditions. The N-F-TNTs exhibit a well-oriented structure after β-Bi2O3 deposition. The presence of substitutions of both N and F, identified by XPS, indicates the self-doping of the TNTs during anodization. The visible-light harvesting of the N-F-TNT photoanode was enhanced after three -immersion cycles during β-Bi2O3 sensitization, establishing an adequate n-n heterojunction at the N-F-TNT/Bi2O3 interface. In addition, bismuth migration from the sensitizer to the TNT lattice was promoted during thermal treatment, forming Bi-N-F-tridoping of TNT (Bi-N-F-TNT). The suitable band alignment between TNT and β-Bi2O3 and incorporation of the Bi3+ energy levels into TiO2 facilitate charge carrier separation and electron transport throughout the cell. Nevertheless, increasing the number of immersion cycles over three creates an excess of Bi3+ species at the N-F-TNT/β-Bi2O3 interface, producing an energetic barrier that hinders electron

  18. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    PubMed

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  19. Effects of copper vapour on thermophysical properties of CO2-N2 plasma

    NASA Astrophysics Data System (ADS)

    Zhong, Linlin; Wang, Xiaohua; Rong, Mingzhe; Cressault, Yann

    2016-10-01

    CO2-N2 mixtures are often used as arc quenching medium (to replace SF6) in circuit breakers and shielding gas in arc welding. In such applications, copper vapour resulting from electrode surfaces can modify characteristics of plasmas. This paper therefore presents an investigation of the effects of copper on thermophysical properties of CO2-N2 plasma. The equilibrium compositions, thermodynamic properties (including mass density, specific enthalpy, and specific heat), transport coefficients (including electrical conductivity, viscosity, and thermal conductivity), and four kinds of combined diffusion coefficients due to composition gradients, applied electric fields, temperature gradients, and pressure gradients respectively, were calculated and discussed for CO2-N2 (mixing ratio 7:3) plasma contaminated by different proportions of copper vapour. The significant influences of copper were observed on all the properties of CO2-N2-Cu mixtures. The better ionization ability and larger molar mass of copper and larger collision integrals related to copper, should be responsible for such influences.

  20. The structure, stability, and infrared spectrum of B 2N, B 2N +, B 2N -, BO, B 2O and B 2N 2.

    NASA Astrophysics Data System (ADS)

    Martin, J. M. L.; François, J. P.; Gijbels, R.

    1992-05-01

    The structure, infrared spectrum, and heat of formation of B 2N, B 2N -, BO, and B 2O have been studied ab initio. B 2N is very stable; B 2O even more so. B 2N, B 2N -, B 2O, and probably B 2N + have symmetric linear ground-state structures; for B 2O, an asymmetric linear structure lies about 12 kcal/mol above the ground state. B 2N +, B 2N - and B 2O have intense asymmetric stretching frequencies, predicted near 870, 1590 and 1400 cm -1, respectively. Our predicted harmonic frequencies and isotopic shifts for B 2O confirm the recent experimental identification by Andrews and Burkholder. Absorptions at 1889.5 and 1998.5 cm -1 in noble-gas trapped boron nitride vapor belong the BNB and BNBN ( 3Π), respectively; a tentative assignment of 882.5 cm -1 to BNB + is proposed. Total atomization energies Σ De (Σ D0) are computed (accuracy ±2 kcal/mol) as: BO 193.1 (190.4), B 2O 292.5 (288.7), B 2N 225.0 (250.3) kcal/mol. The ionization potential and electron affinity of B 2N are predicted to be 8.62±0.1 and 3.34±0.1 eV. The MP4-level additivity approximations involved in G1 theory results in errors on the order of 1 kcal/mol in the Σ De values.

  1. Chemical Stability and Biological Properties of Plasma-Sprayed CaO-SiO2-ZrO2 Coatings

    NASA Astrophysics Data System (ADS)

    Liang, Ying; Xie, Youtao; Ji, Heng; Huang, Liping; Zheng, Xuebin

    2010-12-01

    In this work, calcia-stabilized zirconia powders were coated by silica derived from tetraethoxysilane (TEOS) hydrolysis. After calcining at 1400 °C, decalcification of calcia-stabilized zirconia by silica occurred and powders composed of Ca2SiO4, ZrO2, and CaZrO3 were prepared. We produced three kinds of powders with different Ca2SiO4 contents [20 wt.% (denoted as CZS2), 40 wt.% (denoted as CZS4), and 60 wt.% (denoted as CZS6)]. The obtained powders were sprayed onto Ti-6Al-4V substrates using atmospheric plasma spraying. The microstructure of the powders and coatings were analyzed. The dissolution rates of the coatings were assessed by monitoring the ions release and mass losses after immersion in Tris-HCl buffer solution. Results showed that the chemical stability of the coatings were significantly improved compared with pure calcium silicate coatings, and increased with the increase of Zr contents. The CZS4 coating showed not only good apatite-formation ability in simulated body fluid, but also well attachment and proliferation capability for the canine bone marrow stem cells. Results presented here indicate that plasma-sprayed CZS4 coating has medium dissolution rate and good biological properties, suggesting its potential use as bone implants.

  2. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  3. Remote N2 plasma treatment to deposit ultrathin high-k dielectric as tunneling contact layer for single-layer MoS2 MOSFET

    NASA Astrophysics Data System (ADS)

    Qian, Qingkai; Zhang, Zhaofu; Hua, Mengyuan; Wei, Jin; Lei, Jiacheng; Chen, Kevin J.

    2017-12-01

    Remote N2 plasma treatment is explored as a surface functionalization technique to deposit ultrathin high-k dielectric on single-layer MoS2. The ultrathin dielectric is used as a tunneling contact layer, which also serves as an interfacial layer below the gate region for fabricating top-gate MoS2 metal-oxide-semiconductor field-effect transistors (MOSFETs). The fabricated devices exhibited small hysteresis and mobility as high as 14 cm2·V-1·s-1. The contact resistance was significantly reduced, which resulted in the increase of drain current from 20 to 56 µA/µm. The contact resistance reduction can be attributed to the alleviated metal-MoS2 interface reaction and the preserved conductivity of MoS2 below the source/drain metal contact.

  4. Arbuscular mycorrhizal fungi reduce nitrous oxide emissions from N2 O hotspots.

    PubMed

    Storer, Kate; Coggan, Aisha; Ineson, Phil; Hodge, Angela

    2017-12-05

    Nitrous oxide (N 2 O) is a potent, globally important, greenhouse gas, predominantly released from agricultural soils during nitrogen (N) cycling. Arbuscular mycorrhizal fungi (AMF) form a mutualistic symbiosis with two-thirds of land plants, providing phosphorus and/or N in exchange for carbon. As AMF acquire N, it was hypothesized that AMF hyphae may reduce N 2 O production. AMF hyphae were either allowed (AMF) or prevented (nonAMF) access to a compartment containing an organic matter and soil patch in two independent microcosm experiments. Compartment and patch N 2 O production was measured both before and after addition of ammonium and nitrate. In both experiments, N 2 O production decreased when AMF hyphae were present before inorganic N addition. In the presence of AMF hyphae, N 2 O production remained low following ammonium application, but increased in the nonAMF controls. By contrast, negligible N 2 O was produced following nitrate application to either AMF treatment. Thus, the main N 2 O source in this system appeared to be via nitrification, and the production of N 2 O was reduced in the presence of AMF hyphae. It is hypothesized that AMF hyphae may be outcompeting slow-growing nitrifiers for ammonium. This has significant global implications for our understanding of soil N cycling pathways and N 2 O production. © 2017 The Authors. New Phytologist © 2017 New Phytologist Trust.

  5. Frozen Cropland Soil in Northeast China as Source of N2O and CO2 Emissions

    PubMed Central

    Qiao, Yunfa; Han, Xiaozeng; Brancher Franco, Roberta

    2014-01-01

    Agricultural soils are important sources of atmospheric N2O and CO2. However, in boreal agro-ecosystems the contribution of the winter season to annual emissions of these gases has rarely been determined. In this study, soil N2O and CO2 fluxes were measured for 6 years in a corn-soybean-wheat rotation in northeast China to quantify the contribution of wintertime N2O and CO2 fluxes to annual emissions. The treatments were chemical fertilizer (NPK), chemical fertilizer plus composted pig manure (NPKOM), and control (Cont.). Mean soil N2O fluxes among all three treatments in the winter (November–March), when soil temperatures are below −7°C for extended periods, were 0.89–3.01 µg N m−2 h−1, and in between the growing season and winter (October and April), when freeze-thaw events occur, 1.73–5.48 µg N m−2 h−1. The cumulative N2O emissions were on average 0.27–1.39, 0.03–0.08 and 0.03–0.11 kg N2O–N ha−1 during the growing season, October and April, and winter, respectively. The average contributions of winter N2O efflux to annual emissions were 6.3–12.1%. In all three seasons, the highest N2O emissions occurred in NPKOM, while NPK and Cont. emissions were similar. Cumulative CO2 emissions were 2.73–4.94, 0.13–0.20 and 0.07–0.11 Mg CO2-C ha−1 during growing season, October and April, and winter, respectively. The contribution of winter CO2 to total annual emissions was 2.0–2.4%. Our results indicate that in boreal agricultural systems in northeast China, CO2 and N2O emissions continue throughout the winter. PMID:25536036

  6. Spectral and ion emission features of laser-produced Sn and SnO2 plasmas

    NASA Astrophysics Data System (ADS)

    Hui, Lan; Xin-Bing, Wang; Du-Luo, Zuo

    2016-03-01

    We have made a detailed comparison of the atomic and ionic debris, as well as the emission features of Sn and SnO2 plasmas under identical experimental conditions. Planar slabs of pure metal Sn and ceramic SnO2 are irradiated with 1.06 μm, 8 ns Nd:YAG laser pulses. Fast photography employing an intensified charge coupled device (ICCD), optical emission spectroscopy (OES), and optical time of flight emission spectroscopy are used as diagnostic tools. Our results show that the Sn plasma provides a higher extreme ultraviolet (EUV) conversion efficiency (CE) than the SnO2 plasma. However, the kinetic energies of Sn ions are relatively low compared with those of SnO2. OES studies show that the Sn plasma parameters (electron temperature and density) are lower compared to those of the SnO2 plasma. Furthermore, we also give the effects of the vacuum degree and the laser pulse energy on the plasma parameters. Project supported by the National Natural Science Foundation of China (Grant No. 11304235) and the Director Fund of WNLO, China.

  7. Studies on corrosion resistance and bio-activity of plasma spray deposited hydroxylapatite (HA) based TiO2 and ZrO2 dispersed composite coatings on titanium alloy (Ti-6Al-4V) and the same after post spray heat treatment

    NASA Astrophysics Data System (ADS)

    Kumari, Renu; Majumdar, Jyotsna Dutta

    2017-10-01

    In the present study, the effect of plasma spray deposited hydroxylapatite (HA) based TiO2 dispersed (HA + 50 wt.% TiO2), coating and post spray heat treatment to be referred as HA-TiO2 (heat treated at 650 °C for 2 h) and ZrO2 dispersed (HA + 10 wt.% ZrO2), to be referred as HA-ZrO2 coating (heat treated at 750 °C for 2 h) on corrosion resistance and bioactivity of Ti-6Al-4V substrate has been undertaken. There is partial decomposition of HA to tri-calcium-phosphate (Ca3(PO4)2) and formation of CaTiO3 phase in HA-TiO2 coating and CaZrO3 phase in the HA-ZrO2 coating. Corrosion study in Hank's solution shows that there is shifting of corrosion potential (Ecorr) towards active potential (-1.1 V(SCE) for as-sprayed and post spray heat treated HA-TiO2 coating, -1.1 V(SCE) for as-sprayed HA-ZrO2 coating and -1 V(SCE) for HA-ZO2 coating after post spray heat treatment), and deterioration in pitting corrosion (Epit) resistance in as-sprayed coatings and the same after heat treatment (-0.7 V(SCE) for both HA-TiO2 and HA-ZrO2 coating as compared to as received substrate (-0.3 V(SCE)). The corrosion rate was increased for both the coatings with a maximum increase in HA-ZrO2 coating. Bioactivity test shows a higher degree of apatite deposition in as-sprayed coating and the same after heat treatment as compared to as received Ti-6Al-4V though the as-sprayed one showed a superior behavior.

  8. Thermally Oxidized C, N Co-Doped ANATASE-TiO2 Coatings on Stainless Steel for Tribological Properties

    NASA Astrophysics Data System (ADS)

    Wang, Hefeng; Shu, Xuefeng; Li, Xiuyan; Tang, Bin; Lin, Naiming

    2013-07-01

    Ti(C, N) coatings were prepared on stainless steel (SS) substrates by plasma surface alloying technique. Carbon-nitrogen co-doped titanium dioxide (C-N-TiO2) coatings were fabricated by oxidative of the Ti(C, N) coatings in air. The prepared C-N-TiO2 coatings were characterized by SEM, XPS and XRD. Results reveal that the SS substrates were entirely shielded by the C-N-TiO2 coatings. The C-N-TiO2 coatings are anatase in structure as characterized by X-ray diffraction. The tribological behavior of the coatings was tested with ball-on-disc sliding wear and compared with substrate. Such a C-N-TiO2 coatings showed good adhesion with the substrate and tribological properties of the SS in terms of much reduced friction coefficient and increased wear resistance.

  9. UV-vis spectroscopy study of plasma-activated water: Dependence of the chemical composition on plasma exposure time and treatment distance

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Szili, Endre J.; Ogawa, Kotaro; Short, Robert D.; Ito, Masafumi; Furuta, Hiroshi; Hatta, Akimitsu

    2018-01-01

    Plasma-activated water (PAW) is receiving much attention in biomedical applications because of its reported potent bactericidal properties. Reactive oxygen and nitrogen species (RONS) that are generated in water upon plasma exposure are thought to be the key components in PAW that destroy bacterial and cancer cells. In addition to developing applications for PAW, it is also necessary to better understand the RONS chemistry in PAW in order to tailor PAW to achieve a specific biological response. With this in mind, we previously developed a UV-vis spectroscopy method using an automated curve fitting routine to quantify the changes in H2O2, NO2 -, NO3 - (the major long-lived RONS in PAW), and O2 concentrations. A major advantage of UV-vis is that it can take multiple measurements during plasma activation. We used the UV-vis procedure to accurately quantify the changes in the concentrations of these RONS and O2 in PAW. However, we have not yet provided an in-depth commentary of how we perform the curve fitting procedure or its implications. Therefore, in this study, we provide greater detail of how we use the curve fitting routine to derive the RONS and O2 concentrations in PAW. PAW was generated by treatment with a helium plasma jet. In addition, we employ UV-vis to study how the plasma jet exposure time and treatment distance affect the RONS chemistry and amount of O2 dissolved in PAW. We show that the plasma jet exposure time principally affects the total RONS concentration, but not the relative ratios of RONS, whereas the treatment distance affects both the total RONS concentration and the relative RONS concentrations.

  10. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  11. Parametric computational study of sheaths in multicomponent Ar/O2 plasma

    NASA Astrophysics Data System (ADS)

    Hromadka, J.; Ibehej, T.; Hrach, R.

    2018-02-01

    Our study is devoted to sheath structures emerging in Ar/O2 plasma. By means of two dimensional PIC/MCC computer model two configurations were investigated - sheath structure in the vicinity of a cylindrical Langmuir probe for two different biases and changes of the sheath structure when a cylindrical probe passes into a semi-planar probe. It was shown that O+ ions play important role in shielding out negative bias of a solid immersed in Ar/O2 plasma and edge effects of a semi-planar probe on its sheath structure were evaluated.

  12. [Effects of warming and precipitation exclusion on soil N2O fluxes in subtropical forests.

    PubMed

    Tang, Cai di; Zhang, Zheng; Cai, Xiao Zhen; Guo, Jian Fen; Yang, Yu Sheng

    2017-10-01

    In order to explore how soil warming and precipitation exclusion influence soil N2O fluxes, we used related functional genes as markers, and four treatments were set up, i.e. , control (CT), soil warming (W, 5 ℃ above the ambient temperature of the control), 50% precipitation reduction (P), soil warming plus 50% precipitation reduction (WP). The results showed that precipitation exclusion reduced soil ammonium nitrogen concentration significantly. Soil warming decreased soil N2O flux and soil denitrification potential significantly. Soil microbial biomass nitrogen (MBN) in warming treatment (W) and precipitation exclusion treatment (P) was significantly lower than that in the control. The amoA gene abundance of AOA was negatively correlated with MBN and ammonium nitrogen contents, but neither soil nitrification potential nor soil N2O flux was correlated with the amoA gene abundance of AOA. Path analysis showed that the denitrification potential affected soil N2O flux directly, while microbial biomass phosphorus (MBP) and warming affected soil N2O flux indirectly through their direct effects on denitrification potential. Temperature might be the main driver of N2O flux in subtropical forest soils. Global warming would reduce N2O emissions from subtropical forest soils.

  13. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  14. Enhancing Cold Atmospheric Plasma Treatment Efficiency for Cancer Therapy

    NASA Astrophysics Data System (ADS)

    Cheng, Xiaoqian

    To improve efficiency and safety of anti-cancer therapies the researchers and clinicians alike are prompted to develop targeted combined therapies that especially minimize damage to healthy tissues while eradicating the body of cancerous tissues. Previous research in cold atmospheric plasma (CAP) and cancer cell interaction has repeatedly proven that cold plasma induced cell death. In this study, we seek to integrate the medical application of CAP. We proposed and implemented 3 novel ideas to enhance efficacy and selectivity of cancer therapy. It is postulated that the reactive oxygen species (ROS) and reactive nitrogen species (RNS) play a major role in the CAP cancer therapy. We determined a mechanism of CAP therapy on glioblastoma cells (U87) through an understanding of the composition of CAP, including output voltage, treatment time, and gas flow-rate. We varied the characteristics of the cold plasma in order to obtain different major species (such as O, OH, N2+, and N2 lines). "plasma dosage" D ~ Q * V * t. is defined, where D is the entire "plasma dosage"; Q is the flow rate of feeding gas; V is output voltage; t is treatment time. The proper CAP dosage caused 3-fold cell death in the U87 cells compared to the normal human astrocytes E6/E7 cells. We demonstrated there is a synergy between AuNPS and CAP in cancer therapy. Specifically, the concentration of AuNPs plays an important role on plasma therapy. At an optimal concentration, gold nanoparticles can significantly induce U87 cell death up to a 30% overall increase compared to the control group with the same plasma dosage but no AuNPs applied. The ROS intensity of the corresponding conditions has a reversed trend compared to cell viability. This matches with the theory that intracellular ROS accumulation results in oxidative stress, which further changes the intracellular pathways, causing damage to the proteins, lipids and DNA. Our results show that this synergy has great potential in improving the

  15. Effect of growth parameters on crystallinity and properties of ZnO films grown by plasma assisted MOCVD

    NASA Astrophysics Data System (ADS)

    Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.

    2007-07-01

    Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.

  16. Detection of interstellar N2O: A new molecule containing an N-O bond

    NASA Technical Reports Server (NTRS)

    Ziurys, L. M.; Apponi, A. J.; Hollis, J. M.; Snyder, L. E.

    1994-01-01

    A new interstellar molecule, N2O, known as nitrous oxide or 'laughing gas,' has been detected using the NRAO 12 m telescope. The J = 3 - 2, 4 - 3, 5 - 4, and 6 - 5 rotational transitions of this species at 75, 100, 125, and 150 GHz, respectively, were observed toward Sgr B2(M). The column density derived for N2O in this source is N(sub tot) approx. 10(exp 15)/sq. cm, which corresponds to a fractional abundance of approx. 10(exp -9), relative to H2. This value implies abundance ratios of N2O/NO approx. 0.1 and N2O/HNO approx. 3 in the Galactic center. Such ratios are in excellent agreement with predictions of ion-molecule models of interstellar chemistry using early-time calculations and primarily neutral-neutral reactions. N2O is the third interstellar molecule detected thus far containing an N-O bond. Such bonds cannot be so rare as previously thought.

  17. Al2O3-ZrO2 Finely Structured Multilayer Architectures from Suspension Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Tingaud, Olivier; Montavon, Ghislain; Denoirjean, Alain; Coudert, Jean-François; Rat, Vincent; Fauchais, Pierre

    2010-01-01

    Suspension plasma spraying (SPS) is an alternative to conventional atmospheric plasma spraying (APS) aiming at manufacturing thinner layers (i.e., 10-100 μm) due to the specific size of the feedstock particles, from a few tens of nanometers to a few micrometers. The staking of lamellae and particles, which present a diameter ranging from 0.1 to 2.0 μm and an average thickness from 20 to 300 nm, permits to manufacture finely structured layers. Moreover, it appears as a versatile process able to manufacture different coating architectures according to the operating parameters (suspension properties, injection configuration, plasma properties, spray distance, torch scan velocity, scanning step, etc.). However, the different parameters controlling the properties of the coating, and their interdependences, are not yet fully identified. Thus, the aim of this paper is, on the one hand, to better understand the influence of operating parameters on the coating manufacturing mechanisms (in particular, the plasma gas mixture effect) and, on the other hand, to produce Al2O3-ZrO2 finely structured layers with large varieties of architectures. For this purpose, a simple theoretical model was used to describe the plasma torch operating conditions at the nozzle exit, based on experimental data (mass enthalpy, arc current intensity, thermophysical properties of plasma forming gases, etc.) and the influences of the spray parameters were determined by mean of the study of sizes and shapes of spray beads. The results enabled then to reach a better understanding of involved phenomena and their interactions on the final coating architectures permitting to manufacture several types of microstructures.

  18. Study of ND3-enhanced MAR processes in D2-N2 plasmas to induce plasma detachment

    NASA Astrophysics Data System (ADS)

    Abe, Shota; Chakraborty Thakur, Saikat; Doerner, Russ; Tynan, George

    2017-10-01

    The Molecular Assisted Recombination (MAR) process is thought to be a main channel of volumetric recombination to induce the plasma detachment operation. Authors have focused on a new plasma recombination process supported by ammonia molecules, which will be formed by impurity seeding of N2 for controlling divertor plasma temperature and heat loads in ITER. This ammonia-enhanced MAR process would occur throughout two steps. In this study, the first step of the new MAR process is investigated in low density plasmas (Ne 1016 m-3, Te 4 eV) fueled by D2 and N2. Ion and neutral densities are measured by a calibrated Electrostatic Quadrupole Plasma (EQP) analyzer, combination of an ion energy analyzer and mass spectrometer. The EQP shows formation of ND3 during discharges. Ion densities calculated by a rate equation model are compared with experimental results. We find that the model can reproduce the observed ion densities in the plasma. The model calculation shows that the dominant neutralization channel of Dx+(x =1-3) ions in the volume is the formation of NDy+(y =3 or 4) throughout charge/D+ exchange reactions with ND3. Furthermore, high density plasmas (Ne 1016 m-3) have been achieved to investigate electron-impact dissociative recombination processes of formed NDy+,which is the second step of this MAR process.

  19. Langmuir Probe Measurements of Inductively Coupled Plasma in CF4/AR/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i)), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad lip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  20. Langmuir Probe Measurements of Inductively Coupled Plasmas in CF4/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF (radio frequency) power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad dip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  1. Understanding the mechanisms of interfacial reactions during TiO{sub 2} layer growth on RuO{sub 2} by atomic layer deposition with O{sub 2} plasma or H{sub 2}O as oxygen source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaker, A.; Szkutnik, P. D.; Pointet, J.

    2016-08-28

    In this paper, TiO{sub 2} layers grown on RuO{sub 2} by atomic layer deposition (ALD) using tetrakis (dimethyla-mino) titanium (TDMAT) and either oxygen plasma or H{sub 2}O as oxygen source were analyzed using X-ray diffraction (XRD), Raman spectroscopy, and depth-resolved X-ray Photoelectron spectroscopy (XPS). The main objective is to investigate the surface chemical reactions mechanisms and their influence on the TiO{sub 2} film properties. The experimental results using XRD show that ALD deposition using H{sub 2}O leads to anatase TiO{sub 2} whereas a rutile TiO{sub 2} is obtained when oxygen-plasma is used as oxygen source. Depth-resolved XPS analysis allows tomore » determine the reaction mechanisms at the RuO{sub 2} substrate surface after growth of thin TiO{sub 2} layers. Indeed, the XPS analysis shows that when H{sub 2}O assisted ALD process is used, intermediate Ti{sub 2}O{sub 3} layer is obtained and RuO{sub 2} is reduced into Ru as evidenced by high resolution transmission electron microscopy. In this case, there is no possibility to re-oxidize the Ru surface into RuO{sub 2} due to the weak oxidation character of H{sub 2}O and an anatase TiO{sub 2} layer is therefore grown on Ti{sub 2}O{sub 3}. In contrast, when oxygen plasma is used in the ALD process, its strong oxidation character leads to the re-oxidation of the partially reduced RuO{sub 2} following the first Ti deposition step. Consequently, the RuO{sub 2} surface is regenerated, allowing the growth of rutile TiO{sub 2}. A surface chemical reaction scheme is proposed that well accounts for the observed experimental results.« less

  2. Treatment of enterococcus faecalis bacteria by a helium atmospheric cold plasma brush with oxygen addition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen Wei; Huang Jun; Wang Xingquan

    2012-07-01

    An atmospheric cold plasma brush suitable for large area and low-temperature plasma-based sterilization is designed. Results demonstrate that the He/O{sub 2} plasma more effectively kills Enterococcus faecalis than the pure He plasma. In addition, the sterilization efficiency values of the He/O{sub 2} plasma depend on the oxygen fraction in Helium gas. The atmospheric cold plasma brush using a proper ratio of He/O{sub 2} (2.5%) reaches the optimum sterilization efficiency. After plasma treatment, the cell structure and morphology changes can be observed by the scanning electron microscopy. Optical emission measurements indicate that reactive species such as O and OH play amore » significant role in the sterilization process.« less

  3. The N2O activation by Rh5 clusters. A quantum chemistry study.

    PubMed

    Olvera-Neria, Oscar; Avilés, Roberto; Francisco-Rodríguez, Héctor; Bertin, Virineya; García-Cruz, Raúl; González-Torres, Julio César; Poulain, Enrique

    2015-04-01

    Nitrous oxide (N2O) is a by-product of exhaust pipe gases treatment produced by motor vehicles. Therefore, the N2O reduction to N2 is necessary to meet the actual environmental legislation. The N2O adsorption and dissociation assisted by the square-based pyramidal Rh5 cluster was investigated using the density functional theory and the zero-order regular approximation (ZORA). The Rh5 sextet ground state is the most active in N2O dissociation, though the quartet and octet states are also active because they are degenerate. The Rh5 cluster spontaneously activates the N2O cleavage, and the reaction is highly exothermic ca. -75 kcal mol(-1). The N2O breaking is obtained for the geometrical arrangement that maximizes the overlap and electron transfers between the N2O and Rh5 frontier orbitals. The Rh5 high activity is due to the Rh 3d orbitals are located between the N2O HOMO and LUMO orbitals, which makes possible the interactions between them. In particular, the O 2p states strongly interact with Rh 3d orbitals, which finally weaken the N2O bond. The electron transfer is from the Rh5 HOMO orbital to the N2O antibonding orbital.

  4. Reactions of CH3SH and CH3SSCH3 with gas-phase hydrated radical anions (H2O)n(•-), CO2(•-)(H2O)n, and O2(•-)(H2O)n.

    PubMed

    Höckendorf, Robert F; Hao, Qiang; Sun, Zheng; Fox-Beyer, Brigitte S; Cao, Yali; Balaj, O Petru; Bondybey, Vladimir E; Siu, Chi-Kit; Beyer, Martin K

    2012-04-19

    The chemistry of (H(2)O)(n)(•-), CO(2)(•-)(H(2)O)(n), and O(2)(•-)(H(2)O)(n) with small sulfur-containing molecules was studied in the gas phase by Fourier transform ion cyclotron resonance mass spectrometry. With hydrated electrons and hydrated carbon dioxide radical anions, two reactions with relevance for biological radiation damage were observed, cleavage of the disulfide bond of CH(3)SSCH(3) and activation of the thiol group of CH(3)SH. No reactions were observed with CH(3)SCH(3). The hydrated superoxide radical anion, usually viewed as major source of oxidative stress, did not react with any of the compounds. Nanocalorimetry and quantum chemical calculations give a consistent picture of the reaction mechanism. The results indicate that the conversion of e(-) and CO(2)(•-) to O(2)(•-) deactivates highly reactive species and may actually reduce oxidative stress. For reactions of (H(2)O)(n)(•-) with CH(3)SH as well as CO(2)(•-)(H(2)O)(n) with CH(3)SSCH(3), the reaction products in the gas phase are different from those reported in the literature from pulse radiolysis studies. This observation is rationalized with the reduced cage effect in reactions of gas-phase clusters. © 2012 American Chemical Society

  5. The impact of nitrification inhibitor DMPP on N2O, NO and N2 emissions at different soil moisture conditions in grassland soil

    NASA Astrophysics Data System (ADS)

    Wu, D.; Cardenas, L. M.; Sanz, S. C.; Brueggemann, N.; Loick, N.; Liu, S.; Bol, R.

    2016-12-01

    Emissions of gaseous forms of nitrogen from soil, such as nitrous oxide (N2O) and nitric oxide (NO), have shown great impact on global warming and atmospheric chemistry. Although in soil both nitrification and denitrification could cause N2O and NO emissions, most recent studies demonstrated that denitrification is the dominant process responsible for the increase of atmospheric N2O, while nitrification produces most of NO. The use of nitrification inhibitors (NI) has repeatedly been shown to lower both N2O and NO emissions from agricultural soils; nevertheless, the efficiency of the mitigation effect varies greatly. It is generally assumed that nitrification inhibitors have no direct effect on denitrification. However, the indirect impact, due to the reduced substrate delivery (NO3-) to microsites where denitrification occurs, may have significant effects on denitrification product stoichiometry that may significantly lower soil born N2O emissions. In the present study, soil incubation experiments were carried out in a fully automated continuous-flow incubation system under a He/O2 atmosphere. Ammonium sulfate was applied with and without NI (DMPP) to a UK grassland soil under three different soil moisture conditions (50% WFPS, 65% WFPS, 80% WFPS). With every treatment glucose was applied to supply enough carbon for denitrification. We examined the effect of DMPP on NO, N2O and N2 emissions at different soil moisture conditions which favor nitrification, a mixture of both nitrification and denitrification, or denitrification, respectively. Generally cumulative NO emissions were about 17% of cumulative N2O emissions, while N2 emissions were only detected at high soil moisture condition (80% WFPS). Higher soil moisture increased both N2O and NO emissions. DMPP application increased N2 emissions at soil moisture condition favoring denitrification. Although the application of DMPP significantly mitigated both N2O and NO emissions in all DMPP treatments, the efficiency

  6. Effect of chitosan, O-carboxymethyl chitosan, and N-[(2-hydroxy-3-N,N-dimethylhexadecyl ammonium)propyl] chitosan chloride on overweight and insulin resistance in a murine diet-induced obesity.

    PubMed

    Liu, Xiaofei; Zhi, Xiaona; Liu, Yunfei; Wu, Bo; Sun, Zhong; Shen, Jun

    2012-04-04

    Two water-soluble chitosan derivatives, O-carboxymethyl chitosan (O-CM-chitosan) and N-[(2-hydroxy-3-N,N-dimethylhexadecyl ammonium)propyl] chitosan chloride (N-CQ-chitosan), were prepared, and the therapeutic effects of chitosan, O-CM-chitosan, and N-CQ-chitosan on insulin resistance were simultaneously evaluated by rats fed on a high-fat diet. The parameters of high-fat diet-induced rats indicated that chitosan and its two derivatives not only have low cytotoxicity but can control overnutrition by fat and achieve insulin resistance therapy. However, the results in experiment in vivo showed that the therapeutic degree varied by the molecular weight and surface charge of chitosan, O-CM-chitosan, and N-CQ-chitosan. N-CQ-chitosan with a MW of 5 × 10(4) decreased body weight, the ratio of fat to body weight, triglyceride, fasting plasma glucose, fasting plasma insulin, free fatty acid, and leptin by 11, 17, 44, 46, 44, 87, and 64% and increased fecal lipid by 95%, respectively.

  7. Site-specific 15N isotopic signatures of abiotically produced N2O

    NASA Astrophysics Data System (ADS)

    Heil, Jannis; Wolf, Benjamin; Brüggemann, Nicolas; Emmenegger, Lukas; Tuzson, Béla; Vereecken, Harry; Mohn, Joachim

    2014-08-01

    Efficient nitrous oxide (N2O) mitigation strategies require the identification of the main source and sink processes and their contribution to total soil N2O production. Several abiotic reactions of nitrification intermediates leading to N2O production are known, but their contribution to total N2O production in soils is uncertain. As the site preference (SP) of 15N in N2O is a promising tool to give more insight into N2O production processes, we investigated the SP of N2O produced by different abiotic reactions in a laboratory study. All reactions involved the nitrification intermediate hydroxylamine (NH2OH) in combination with nitrite (NO2-), Fe3+, Fe2+ and Cu2+, reactants commonly or potentially found in soils, at different concentrations and pH values. N2O production and its four main isotopic species (14N14N16O, 15N14N16O, 14N15N16O, and 14N14N18O) were quantified simultaneously and online at high temporal resolution using quantum cascade laser absorption spectroscopy. Thereby, our study presents the first continuous analysis of δ18O in N2O. The experiments revealed the possibility of purely abiotic reactions over a wide range of acidity (pH 3-8) by different mechanisms. All studied abiotic pathways produced N2O with a characteristic SP in the range of 34-35‰, unaffected by process conditions and remaining constant over the course of the experiments. These findings reflect the benefit of continuous N2O isotopic analysis by laser spectroscopy, contribute new information to the challenging source partitioning of N2O emissions from soils, and emphasize the potentially significant role of coupled biotic-abiotic reactions in soils.

  8. Microwave dielectric properties of BaO-2CeO{sub 2}-nTiO{sub 2} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sreemoolanadhan, H.; Sebastian, M.T.; Ratheesh, R.

    2004-11-01

    The BaO-2CeO{sub 2}-nTiO{sub 2} ceramics with n=3, 4 and 5 have been prepared with CeO{sub 2} as starting material. The ceramics have been characterized using scanning electron microscopy, X-ray diffraction, Raman and X-ray photoelectron spectroscopy techniques. The microwave dielectric properties have been measured using standard dielectric resonator techniques. BaO-2CeO{sub 2}-3TiO{sub 2} (123), BaO-2CeO{sub 2}-4TiO{sub 2} (124) and BaO-2CeO{sub 2}-5TiO{sub 2} (125) ceramics showed dielectric constants of 38, 27 and 32, respectively. All the ceramics showed fairly good unloaded Q-factors. 124 and 125 compounds exhibited low {tau}f values, while 123 showed a high {tau}f value.

  9. Microhabitat Effects on N2O Emissions from Floodplain Soils under Controlled Conditions

    NASA Astrophysics Data System (ADS)

    Ley, Martin; Lehmann, Moritz F.; Niklaus, Pascal A.; Kuhn, Thomas; Luster, Jörg

    2016-04-01

    Semi-terrestrial soils such as floodplain soils are considered to be potential hotspots of nitrous oxide (N2O) emissions. The quantitative assessment of N2O release from these hotspots under field conditions, and of the microbial pathways that underlie net N2O production (ammonium oxidation, nitrifier-denitrification, and denitrification) is challenging because of their high spatial and temporal variability. The production and consumption of N2O appears to be linked to the presence or absence of micro-niches, providing specific conditions that may be favorable to either of the relevant microbial pathways. Flood events have been shown to trigger moments of enhanced N2O emission through a close coupling of niches with high and low oxygen availabilities. This coupling might be modulated by microhabitat effects related to soil aggregate formation, root soil interactions and the degradation of organic matter accumulations. In order to assess how these factors can modulate N2O production and consumption under simulated flooding/drying conditions, we have set up a mesocosm experiment with N-rich floodplain soils comprising different combinations of soil aggregate size classes and inert matrix material. These model soils were either planted with basket willow (Salix viminalis L.), mixed with leaf litter, or left untreated. Throughout a simulated flood event, we repeatedly measured the net N2O production rate. In addition, soil water content, redox potential, as well as C and N substrate availability were monitored. In order to gain insight into the sources of, and biogeochemical controls on N2O production, we also measured the bulk δ15N signature of the produced N2O, as well as its intramolecular 15N site preference (SP). In this presentation we focus on a period of enhanced N2O emission during the drying phase after 48 hrs of flooding. We will discuss the observed emission patterns in the context of possible treatment effects. Soils with large aggregates showed a

  10. Detection of interstellar N2O: A new molecule containing an N-O bond

    NASA Astrophysics Data System (ADS)

    Ziurys, L. M.; Apponi, A. J.; Hollis, J. M.; Snyder, L. E.

    1994-12-01

    A new interstellar molecule, N2O, known as nitrous oxide or 'laughing gas,' has been detected using the NRAO 12 m telescope. The J = 3 - 2, 4 - 3, 5 - 4, and 6 - 5 rotational transitions of this species at 75, 100, 125, and 150 GHz, respectively, were observed toward Sgr B2(M). The column density derived for N2O in this source is Ntot approx. 1015/sq. cm, which corresponds to a fractional abundance of approx. 10-9, relative to H2. This value implies abundance ratios of N2O/NO approx. 0.1 and N2O/HNO approx. 3 in the Galactic center. Such ratios are in excellent agreement with predictions of ion-molecule models of interstellar chemistry using early-time calculations and primarily neutral-neutral reactions. N2O is the third interstellar molecule detected thus far containing an N-O bond. Such bonds cannot be so rare as previously thought.

  11. Crystal structure of aqua-1κO-{μ-2-[(2-hydroxy­ethyl)methylamino]ethanolato-2:1κ4 O 1,N,O 2:O 1}[μ-2,2′-(methylimino)diethanolato-1:2κ4 O,N,O′:O]dithiocyanato-1κN,2κN-chromium(III)copper(II)

    PubMed Central

    Rusanova, Julia A.; Semenaka, Valentina V.; Dyakonenko, Viktoriya V.; Shishkin, Oleg V.

    2015-01-01

    The title compound, [CrCu(C5H11NO2)(C5H12NO2)(NCS)2(H2O)] or [Cr(μ-mdea)Cu(μ-Hmdea)(NCS)2H2O], (where mdeaH2 is N-methylethanolamine, C5H13NO2) is formed as a neutral heterometal CuII/CrIII complex. The mol­ecular structure of the complex is based on a binuclear {CuCr(μ-O)2} core. The coordination environment of each metal atom involves the N,O,O atoms of the tridentate ligand, one bridging O atom of the ligand and the N atom of the thio­cyanato ligands. The CuII ion adopts a distorted square-pyramidal coordination while the CrIII ion has a distorted octa­hedral coordination geometry completed by the aqua ligand. In the crystal, the binuclear complexes are linked via two pairs of O—H⋯O hydrogen bonds to form inversion dimers, which are arranged in columns parallel to the a axis. In the μ-mdea ligand two –CH2 groups and the methyl group were refined as disordered over two sets of sites with equal occupancies. The structure was refined as a two-component twin with a twin scale factor of 0.242 (1). PMID:26396853

  12. Characterization of Water Coordination to Ferrous Nitrosyl Complexes with fac-N2O, cis-N2O2, and N2O3 Donor Ligands.

    PubMed

    McCracken, John; Cappillino, Patrick J; McNally, Joshua S; Krzyaniak, Matthew D; Howart, Michael; Tarves, Paul C; Caradonna, John P

    2015-07-06

    Electron paramagnetic resonance (EPR) experiments were done on a series of S = (3)/2 ferrous nitrosyl model complexes prepared with chelating ligands that mimic the 2-His-1-carboxylate facial triad iron binding motif of the mononuclear nonheme iron oxidases. These complexes formed a comparative family, {FeNO}(7)(N2Ox)(H2O)3-x with x = 1-3, where the labile coordination sites for the binding of NO and solvent water were fac for x = 1 and cis for x = 2. The continuous-wave EPR spectra of these three complexes were typical of high-spin S = (3)/2 transition-metal ions with resonances near g = 4 and 2. Orientation-selective hyperfine sublevel correlation (HYSCORE) spectra revealed cross peaks arising from the protons of coordinated water in a clean spectral window from g = 3.0 to 2.3. These cross peaks were absent for the {FeNO}(7)(N2O3) complex. HYSCORE spectra were analyzed using a straightforward model for defining the spin Hamiltonian parameters of bound water and showed that, for the {FeNO}(7)(N2O2)(H2O) complex, a single water conformer with an isotropic hyperfine coupling, Aiso = 0.0 ± 0.3 MHz, and a dipolar coupling of T = 4.8 ± 0.2 MHz could account for the data. For the {FeNO}(7)(N2O)(H2O)2 complex, the HYSCORE cross peaks assigned to coordinated water showed more frequency dispersion and were analyzed with discrete orientations and hyperfine couplings for the two water molecules that accounted for the observed orientation-selective contour shapes. The use of three-pulse electron spin echo envelope modulation (ESEEM) data to quantify the number of water ligands coordinated to the {FeNO}(7) centers was explored. For this aspect of the study, HYSCORE spectra were important for defining a spectral window where empirical integration of ESEEM spectra would be the most accurate.

  13. CO2 and N2O emissions from Lou soils of greenhouse tomato fields under aerated irrigation

    NASA Astrophysics Data System (ADS)

    Hou, Huijing; Chen, Hui; Cai, Huanjie; Yang, Fan; Li, Dan; Wang, Fangtong

    2016-05-01

    The change of O2 content in soil caused by aerated irrigation (AI) must inevitably affect the production and emissions of CO2 and N2O from soils. This paper described in-situ observation of CO2 and N2O emissions from AI soils with static chamber-GC technique, in order to reveal the effects of AI on CO2 and N2O emissions from soils of greenhouse tomato fields in autumn-winter season. CO2 and N2O emissions from AI soils mainly concentrated in the blooming and fruit setting period compared to other periods. AI increased cumulative emissions of CO2 and N2O by 11.8% (p = 0.394) and 10.0% (p = 0.480), respectively, compared to the control. The integrative global warming potential of CO2 and N2O on a 100-year horizon for the AI treatment was 6430.60 kg ha-1, increased by 11.7% compared with that for the control (p = 0.356). Both the emissions of CO2 and N2O from AI soils had the exponential positive correlation with soil water-filled pore space (WFPS). The highest peak of CO2 and N2O fluxes from AI soils was observed at 46.7% and 47.5% WFPS, with WFPS ranging from 43.3% to 51.5% and from 45.6% to 52.3% during the whole growth stage, respectively. In addition, the average yield for the AI treatment (34.52 t ha-1) was significantly greater (17.4%) compared with that of the control (p = 0.018). These results suggest that AI do not significantly increase the integrative greenhouse effect caused by CO2 and N2O from soils of greenhouse tomato fields, but significantly increase the tomato yield. The research results provide certain theoretical foundation and scientific basis for accurately evaluating the farmland ecological effect of AI technique.

  14. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Astrophysics Data System (ADS)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  15. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  16. Diet effects on urine composition of cattle and N2O emissions.

    PubMed

    Dijkstra, J; Oenema, O; van Groenigen, J W; Spek, J W; van Vuuren, A M; Bannink, A

    2013-06-01

    Ruminant production contributes to emissions of nitrogen (N) to the environment, principally ammonia (NH3), nitrous oxide (N2O) and di-nitrogen (N2) to air, nitrate (NO3 -) to groundwater and particulate N to surface waters. Variation in dietary N intake will particularly affect excretion of urinary N, which is much more vulnerable to losses than is faecal N. Our objective is to review dietary effects on the level and form of N excreted in cattle urine, as well as its consequences for emissions of N2O. The quantity of N excreted in urine varies widely. Urinary N excretion, in particular that of urea N, is decreased upon reduction of dietary N intake or an increase in the supply of energy to the rumen microorganisms and to the host animal itself. Most of the N in urine (from 50% to well over 90%) is present in the form of urea. Other nitrogenous components include purine derivatives (PD), hippuric acid, creatine and creatinine. Excretion of PD is related to rumen microbial protein synthesis, and that of hippuric acid to dietary concentration of degradable phenolic acids. The N concentration of cattle urine ranges from 3 to 20 g/l. High-dietary mineral levels increase urine volume and lead to reduced urinary N concentration as well as reduced urea concentration in plasma and milk. In lactating dairy cattle, variation in urine volume affects the relationship between milk urea and urinary N excretion, which hampers the use of milk urea as an accurate indicator of urinary N excretion. Following its deposition in pastures or in animal houses, ubiquitous microorganisms in soil and waters transform urinary N components into ammonium (NH4 +), and thereafter into NO3 - and ultimately in N2 accompanied with the release of N2O. Urinary hippuric acid, creatine and creatinine decompose more slowly than urea. Hippuric acid may act as a natural inhibitor of N2O emissions, but inhibition conditions have not been defined properly yet. Environmental and soil conditions at the site of

  17. Development of a High Precision and Stability Ambient N2O and CO Analyzer

    NASA Astrophysics Data System (ADS)

    Zhou, Jingang; Hoffnagle, John; Tan, Sze; Dong, Feng; Fleck, Derek; Yiu, John; Huang, Kuan; Leggett, Graham; He, Yonggang

    2016-04-01

    With a global warming potential of nearly 300, N2O is a critically important greenhouse gas, contributing about 5 % of the US total GHG emissions. Agriculture soil management practices are the dominant source of anthropogenic N2O emissions, contributing nearly 75 % of US N2O emissions. In urban areas, vehicle tailpipe emissions and waste water treatment plants are significant sources of N2O. We report here a new mid-infrared laser-based cavity ring-down spectrometer (Picarro G5310) that was recently developed to simultaneously measure sub-ppb ambient concentrations of two key greenhouse gas species, N2O and CO, while measuring H2O as well. It combines a quantum cascade laser with a proprietary 3-mirror optical cavity. The ambient N2O and CO measurement precisions are 0.1ppb (10sec), 0.014ppb (600sec), and 0.006ppb (3000sec); and the measurements could even be averaged down over 3 hours, giving measurement precisions of 0.003ppb. The measurable N2O and CO ranges have been tested up to 2.5ppm. With the high precision and unparalleled stability, G5310 is believed a promising tool for long-term monitoring in atmospheric sciences. The new optical analyzer was set up to monitor N2O and CO (G5310), along with CO2 and CH4(G4301), in ambient air obtained from a 10 meter tower in Santa Clara, California. Evidence of contributions from traffic and a nearby sewage treatment facility were expected in the measurement data.

  18. Gas entrapment and microbial N2O reduction reduce N2O emissions from a biochar-amended sandy clay loam soil

    PubMed Central

    Harter, Johannes; Guzman-Bustamante, Ivan; Kuehfuss, Stefanie; Ruser, Reiner; Well, Reinhard; Spott, Oliver; Kappler, Andreas; Behrens, Sebastian

    2016-01-01

    Nitrous oxide (N2O) is a potent greenhouse gas that is produced during microbial nitrogen transformation processes such as nitrification and denitrification. Soils represent the largest sources of N2O emissions with nitrogen fertilizer application being the main driver of rising atmospheric N2O concentrations. Soil biochar amendment has been proposed as a promising tool to mitigate N2O emissions from soils. However, the underlying processes that cause N2O emission suppression in biochar-amended soils are still poorly understood. We set up microcosm experiments with fertilized, wet soil in which we used 15N tracing techniques and quantitative polymerase chain reaction (qPCR) to investigate the impact of biochar on mineral and gaseous nitrogen dynamics and denitrification-specific functional marker gene abundance and expression. In accordance with previous studies our results showed that biochar addition can lead to a significant decrease in N2O emissions. Furthermore, we determined significantly higher quantities of soil-entrapped N2O and N2 in biochar microcosms and a biochar-induced increase in typical and atypical nosZ transcript copy numbers. Our findings suggest that biochar-induced N2O emission mitigation is based on the entrapment of N2O in water-saturated pores of the soil matrix and concurrent stimulation of microbial N2O reduction resulting in an overall decrease of the N2O/(N2O + N2) ratio. PMID:28008997

  19. Bis(2,2'-bipyridyl-κN,N')(carbonato-κO,O')cobalt(III) bromide trihydrate.

    PubMed

    Ma, Peng-Tao; Wang, Yu-Xia; Zhang, Guo-Qian; Li, Ming-Xue

    2007-12-06

    The title complex, [Co(CO(3))(C(10)H(8)N(2))(2)]Br·3H(2)O, is isostructural with the chloride analogue. The six-coordinated octahedral [Co(2,2'-bipy)(2)CO(3)](+) cation (2,2'-bipy is 2,2'-bipyrid-yl), bromide ion and water mol-ecules are linked together via O-H⋯Br and O-H⋯O hydrogen bonds, generating a one-dimensional chain.

  20. Properties of Structurally Excellent N-doped TiO2 Rutile

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chambers, Scott A.; Cheung, Sau H.; Shutthanandan, V.

    2007-10-15

    We have used plasma-assisted molecular beam epitaxy to synthesize structurally near-perfect crystalline films of TiO2-xNx rutile for the first time. These materials allow the properties of TiO2-xNx to be elucidated without the interfering effects of oxygen vacancy defects. In the absence of such defects, the extent of N incorporation in the lattice is limited to 2 ± 1 at. % of the anions. Substitutional N (NO) exhibits a -3 formal charge due to charge transfer from shallow-donor interstitial Ti(III), which forms during epitaxial growth. Hybridization between NO and adjacent lattice Ti ions occurs, resulting in new states off the topmore » of the rutile valence band and an apparent band gap reduction of ~ 0.5 eV. It is not yet known if these new states result in mobile electron-hole pair creation upon irradiation, but experiments are planned to answer this important question.« less

  1. Synthesis of N-graphene using microwave plasma-based methods

    NASA Astrophysics Data System (ADS)

    Dias, Ana; Tatarova, Elena; Henriques, Julio; Dias, Francisco; Felizardo, Edgar; Abrashev, Miroslav; Bundaleski, Nenad; Cvelbar, Uros

    2016-09-01

    In this work a microwave atmospheric plasma driven by surface waves is used to produce free-standing graphene sheets (FSG). Carbonaceous precursors are injected into a microwave plasma environment, where decomposition processes take place. The transport of plasma generated gas-phase carbon atoms and molecules into colder zones of plasma reactor results in carbon nuclei formation. The main part of the solid carbon is gradually carried from the ``hot'' plasma zone into the outlet plasma stream where carbon nanostructures assemble and grow. Subsequently, the graphene sheets have been N-doped using a N2-Ar large-scale remote plasma treatment, which consists on placing the FSG on a substrate in a remote zone of the N2-Ar plasma. The samples were treated with different compositions of N2-Ar gas mixtures, while maintaining 1 mbar pressure in the chamber and a power applied of 600 W. The N-doped graphene sheets were characterized by scanning and by high-resolution transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Plasma characterization was also performed by optical emission spectroscopy. Work partially funded by Portuguese FCT - Fundacao para a Ciencia e a Tecnologia, under grant SFRH/BD/52413/2013 (PD-F APPLAuSE).

  2. Densities of Active species in N2/H2 RF and HF afterglows: application to surface nitriding of TiO2 nanocrystals

    NASA Astrophysics Data System (ADS)

    Ricard, André; Sarrette, Jean-Philippe; Wang, Yunfei; Kim, Yu-Kwon

    2017-10-01

    N2/0-5% H2 flowing afterglows from Radio Frequency (RF) and High Frequency (HF) sources have been analyzed by optical emission spectroscopy. In similar conditions (pressure 5-6 Torr, flow rate 0.5 slm and power 100 W), it is found in pure N2 a nearly constant N-atom density from the pink to the late afterglow, which is higher in HF than in RF: (1-2) and 0.4 × 1015 cm-3, respectively. With a N2/2% H2 gas mixture, the early afterglows is changed to a late afterglow with about the same N-atom density for both RF and HF cases: (8-9) × 1014 cm-3. Anatase TiO2 nanocrystals and Atomic Layer Deposition-grown films were exposed to the RF afterglows at room temperature. XPS analysis of the samples has shown that the highest N/Ti ratio of 0.24 can be achieved with the pure N2 late afterglow. In the HF pure N2 late afterglow, however, the N/Ti coverage was limited to 0.04 in spite of higher N-atom density. Such differences in the N content between the two RF and HF cases are attributed to the presence of a high O-atom impurity of 2 × 1013 cm-3 in HF as compared to that (8 × 1011 cm-3) in RF. Contribution to the topical issue "Plasma Sources and Plasma Processes (PSPP)", edited by Luis Lemos Alves, Thierry Belmonte and Tiberiu Minea

  3. Expansion of antimonato polyoxovanadates with transition metal complexes: (Co(N3C5H15)2)2[{Co(N3C5H15)2}V15Sb6O42(H2O)]·5H2O and (Ni(N3C5H15)2)2[{Ni(N3C5H15)2}V15Sb6O42(H2O)]·8H2O.

    PubMed

    Antonova, Elena; Näther, Christian; Kögerler, Paul; Bensch, Wolfgang

    2012-02-20

    Two new polyoxovanadates (Co(N(3)C(5)H(15))(2))(2)[{Co(N(3)C(5)H(15))(2)}V(15)Sb(6)O(42)(H(2)O)]·5H(2)O (1) and (Ni(N(3)C(5)H(15))(2))(2)[{Ni(N(3)C(5)H(15))(2)}V(15)Sb(6)O(42)(H(2)O)]·8H(2)O (2) (N(3)C(5)H(15) = N-(2-aminoethyl)-1,3-propanediamine) were synthesized under solvothermal conditions and structurally characterized. In both structures the [V(15)Sb(6)O(42)(H(2)O)](6-) shell displays the main structural motif, which is strongly related to the {V(18)O(42)} archetype cluster. Both compounds crystallize in the triclinic space group P1 with a = 14.3438(4), b = 16.6471(6), c = 18.9186(6) Å, α = 87.291(3)°, β = 83.340(3)°, γ = 78.890(3)°, and V = 4401.4(2) Å(3) (1) and a = 14.5697(13), b = 15.8523(16), c = 20.2411(18) Å, α = 86.702(11)°, β = 84.957(11)°, γ = 76.941(11)°, and V = 4533.0(7) Å(3) (2). In the structure of 1 the [V(15)Sb(6)O(42)(H(2)O)](6-) cluster anion is bound to a [Co(N(3)C(5)H(15))(2)](2+) complex via a terminal oxygen atom. In the Co(2+)-centered complex, one of the amine ligands coordinates in tridentate mode and the second one in bidentate mode to form a strongly distorted CoN(5)O octahedron. Similarly, in compound 2 an analogous NiN(5)O complex is joined to the [V(15)Sb(6)O(42)(H(2)O)](6-) anion via the same attachment mode. A remarkable difference between the two compounds is the orientation of the noncoordinated propylamine group leading to intermolecular Sb···O contacts in 1 and to Sb···N interactions in 2. In the solid-state lattices of 1 and 2, two additional [M(N(3)C(5)H(15))(2)](2+) complexes act as countercations and are located between the [{M(N(3)C(5)H(15))(2)}V(15)Sb(6)O(42)(H(2)O)](4-) anions. Between the anions and cations strong N-H···O hydrogen bonds are observed. In both compounds the clusters are stacked along the b axis in an ABAB fashion with cations and water molecules occupying the space between the clusters. Magnetic characterization demonstrates that the Ni(2+) and Co(2+) cations do not

  4. Excessive use of nitrogen in Chinese agriculture results in high N2O/(N2O+N2) product ratio of denitrification, primarily due to acidification of the soils

    PubMed Central

    Qu, Zhi; Wang, Jingguo; Almøy, Trygve; Bakken, Lars R

    2014-01-01

    China is the world's largest producer and consumer of fertilizer N, and decades of overuse has caused nitrate leaching and possibly soil acidification. We hypothesized that this would enhance the soils' propensity to emit N2O from denitrification by reducing the expression of the enzyme N2O reductase. We investigated this by standardized oxic/anoxic incubations of soils from five long-term fertilization experiments in different regions of China. After adjusting the nitrate concentration to 2 mM, we measured oxic respiration (R), potential denitrification (D), substrate-induced denitrification, and the denitrification product stoichiometry (NO, N2O, N2). Soils with a history of high fertilizer N levels had high N2O/(N2O+N2) ratios, but only in those field experiments where soil pH had been lowered by N fertilization. By comparing all soils, we found a strong negative correlation between pH and the N2O/(N2O+N2) product ratio (r2 = 0.759, P < 0.001). In contrast, the potential denitrification (D) was found to be a linear function of oxic respiration (R), and the ratio D/R was largely unaffected by soil pH. The immediate effect of liming acidified soils was lowered N2O/(N2O+N2) ratios. The results provide evidence that soil pH has a marginal direct effect on potential denitrification, but that it is the master variable controlling the percentage of denitrified N emitted as N2O. It has been known for long that low pH may result in high N2O/(N2O+N2) product ratios of denitrification, but our documentation of a pervasive pH-control of this ratio across soil types and management practices is new. The results are in good agreement with new understanding of how pH may interfere with the expression of N2O reductase. We argue that the management of soil pH should be high on the agenda for mitigating N2O emissions in the future, particularly for countries where ongoing intensification of plant production is likely to acidify the soils. PMID:24249526

  5. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    PubMed

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  6. Laser flash-photolysis and gas discharge in N2O-containing mixture: kinetic mechanism

    NASA Astrophysics Data System (ADS)

    Kosarev, Ilya; Popov, Nikolay; Starikovskaia, Svetlana; Starikovskiy, Andrey; mipt Team

    2011-10-01

    The paper is devoted to further experimental and theoretical analysis of ignition by ArF laser flash-photolysis and nanosecond discharge in N2O-containing mixture has been done. Additional experiments have been made to assure that laser emission is distributed uniformly throughout the cross-section. The series of experiments was proposed and carried out to check validity of O(1D) determination in experiments on plasma assisted ignition initiated by flash-photolysis. In these experiments, ozone density in the given mixture (mixture composition and kinetics has been preliminary analyzed) was measured using UV light absorption in Hartley band. Good coincidence between experimental data and results of calculations have been obtained Temporal behavior of energy input, electric field and electric current has been measured and analyzed. These data are considered as initial conditions for numerical modeling of the discharge in O2:N2O:H2:Ar = 0.3:1:3:5 mixture. Ion-molecular reactions and reactions of active species production in Ar:H2:O2:N2O mixture were analyzed. The set of reactions to describe chemical transformation in the system due to the discharge action has been selected.

  7. Statistical modeling of the reactions Fe(+) + N2O → FeO(+) + N2 and FeO(+) + CO → Fe(+) + CO2.

    PubMed

    Ushakov, Vladimir G; Troe, Jürgen; Johnson, Ryan S; Guo, Hua; Ard, Shaun G; Melko, Joshua J; Shuman, Nicholas S; Viggiano, Albert A

    2015-08-14

    The rates of the reactions Fe(+) + N2O → FeO(+) + N2 and FeO(+) + CO → Fe(+) + CO2 are modeled by statistical rate theory accounting for energy- and angular momentum-specific rate constants for formation of the primary and secondary cationic adducts and their backward and forward reactions. The reactions are both suggested to proceed on sextet and quartet potential energy surfaces with efficient, but probably not complete, equilibration by spin-inversion of the populations of the sextet and quartet adducts. The influence of spin-inversion on the overall reaction rate is investigated. The differences of the two reaction rates mostly are due to different numbers of entrance states (atom + linear rotor or linear rotor + linear rotor, respectively). The reaction Fe(+) + N2O was studied either with (6)Fe(+) or with (4)Fe(+) reactants. Differences in the rate constants of (6)Fe(+) and (4)Fe(+) reacting with N2O are attributed to different contributions from electronically excited potential energy surfaces, such as they originate from the open-electronic shell reactants.

  8. Theoretical characterization of stable eta1-N2O-, eta2-N2O-, eta1-N2-, and eta2-N2-bound species: intermediates in the addition reactions of nitrogen hydrides with the pentacyanonitrosylferrate(II) ion.

    PubMed

    Olabe, José A; Estiú, Guillermina L

    2003-08-11

    The addition of nitrogen hydrides (hydrazine, hydroxylamine, ammonia, azide) to the pentacyanonitrosylferrate(II) ion has been analyzed by means of density functional calculations, focusing on the identification of stable intermediates along the reaction paths. Initial reversible adduct formation and further decomposition lead to the eta(1)- and eta(2)-linkage isomers of N(2)O and N(2), depending on the nucleophile. The intermediates (adducts and gas-releasing precursors) have been characterized at the B3LYP/6-31G level of theory through the calculation of their structural and spectroscopic properties, modeling the solvent by means of a continuous approach. The eta(2)-N(2)O isomer is formed at an initial stage of adduct decompositions with the hydrazine and azide adducts. Further conversion to the eta(1)-N(2)O isomer is followed by Fe-N(2)O dissociation. Only the eta(1)-N(2)O isomer is predicted for the reaction with hydroxylamine, revealing a kinetically controlled N(2)O formation. eta(1)-N(2) and eta(2)-N(2) isomers are also predicted as stable species.

  9. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  10. Spatial variability in groundwater N2 and N2O in the San Joaquin River

    NASA Astrophysics Data System (ADS)

    Hinshaw, S.; Dahlgren, R. A.

    2010-12-01

    The San Joaquin River is surrounded by nearly 2 million acres of irrigated agricultural land. Groundwater inputs from agricultural areas can have severe negative effects on water quality with high nitrate concentrations being a major concern. Riparian zones are important ecological habitats that mitigate nitrogen loading from groundwater discharging into rivers primarily by denitrification. Denitrification is a permanent removal of nitrate by anaerobic microbial communities via the reduction to NO, N2O and N2. However, previous studies have shown that these areas can be source of N2O emissions. Although removal of nitrate through denitrification is advantageous from a water quality perspective, N2O is a harmful greenhouse gas. This study aimed to investigate nitrogen dynamics and dissolved N gases in surface and groundwater of the riparian zones of the San Joaquin River. Excess N2 and N2O concentrations were measured in surface and groundwater at 4 locations along a 33 km reach of the river. Samples were collected within bank sediments and 5 transect points across the river at depth intervals between 2-3 cm and 150 cm. Dissolved N2 and Ar were measured by membrane inlet mass spectrometry and used to estimate excess dissolved N2 concentrations. Dissolved N2O concentrations were measured using the headspace equilibrium technique and analyzed with a gas chromatograph. Both N2 uptake and excess N2 were present, ranging from -3.40 to 8.65 N2 mg/L with a median concentration of 1.20 N2 mg/L. Significantly lower concentrations of N2O were present ranging from 0.0 to 0.12 N2O mg/L. Deeper groundwater sites had significantly higher N2 and N2O concentrations coinciding with decreased O2. The presence of excess N2 and low N2O concentrations documents the importance of denitrification in removing nitrate from groundwater. Further investigation will examine N2O emissions from riparian soils and benthic sediments using static chambers and focus on nitrogen pathways that

  11. Theoretical study of the interaction of N/sub 2/ with water molecules. (H/sub 2/O)/sub n/:N/sub 2/, n = 1--8

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Curtiss, L.A.; Eisgruber, C.L.

    1984-03-01

    Ab initio molecular orbital calculations including correlation energy have been carried out on the interaction of a single H/sub 2/O molecule with N/sub 2/. The potential energy surface for H/sub 2/O:N/sub 2/ is found to have a minimum corresponding to a HOH xxx N/sub 2/ structure with a weak (<2 kcal mol/sup -1/) hydrogen bond. A second, less stable, configuration corresponding to a H/sub 2/O xxx N/sub 2/ structure with N/sub 2/ bonded side on to the oxygen of H/sub 2/O was found to be either a minimum or a saddle point in the potential energy surface depending on themore » level of calculation. The minimal STO-3G basis set was used to investigate the interaction of up to eight H/sub 2/O molecules with N/sub 2/. Two types of clusters, one containing only HOH xxx N/sub 2/ interactions and the other containing both HOH xxxN/sub 2/ and H/sub 2/O xxx N/sub 2/ interactions, were investigated for (N/sub 2/:(H/sub 2/O)/sub n/, n = 2--8).« less

  12. Energy balance in the core of the Saturn plasma sheet: H2O chemistry

    NASA Astrophysics Data System (ADS)

    Shemansky, D. E.; Yoshii, J.; Liu, X.

    2011-10-01

    A model of the weakly ionized plasma at Saturn has been developed to investigate the properties of the system. Energy balance is a critical consideration. The present model is based on two sources of mass, H2O, and HI. H2O is a variable. HI is a significant volume of gas flowing through the plasma imposed by the source at Saturn [1,2,3]. The energy sources are solar radiation and heterogeneous magnetosphere electrons. The model calculations produce energy rates, species partitioning, and relaxation lifetimes. For the first time the state of the ambient plasma sheet electrons is directly connected to the energy forcing functions. Within limits of knowledge, the predicted state of the core region of the plasma sheet in neutral and ionized gas corresponds satisfactorily to observation. The dominant ions in these calculations are H2O+ and H3O+ with lifetimes of several days. The lifetime of H2O is roughly 60 days. In calculations carried out so far the predicted source rate for H2O is lower than the rates quoted from the Enceladus encounters.

  13. Uptake properties of Ni2+ by nCaO.Al2O3.2SiO2 (n=1-4) prepared from solid-state reaction of kaolinite and calcite.

    PubMed

    Jha, Vinay Kumar; Kameshima, Yoshikazu; Nakajima, Akira; Okada, Kiyoshi; MacKenzie, Kenneth J D

    2005-08-31

    A series of nCaO.Al2O3.2SiO2 samples (n=1-4) were prepared by solid-state reaction of mechanochemically treated mixtures of kaolinite and calcite fired at 600-1000 degrees C for 24 h. All the samples were X-ray amorphous after firing at 600-800 degrees C but had crystallized by 900 degrees C. The main crystalline phases were anorthite (n=1), gehlenite (n=2 and 3) and larnite (n=4). The uptake of Ni2+ by nCaO.Al2O3.2SiO2 samples fired at 800 and 900 degrees C was investigated at room temperature using solutions with initial Ni2+ concentrations of 0.1-50 mmol/l. Amorphous samples (fired at 800 degrees C) showed a higher Ni2+ uptake capacity than crystalline samples (fired at 900 degrees C). Ni2+ uptake was found to increase with increasing of CaO content. Amorphous 4CaO.Al2O3.2SiO2 showed the highest Ni2+ uptake capacity (about 9 mmol/g). The Ni2+ uptake abilities of the present samples are higher than those of other materials reported in the literature. Since the sorbed Ni2+/released Ca2+ ratios of these samples are close to unity, ion replacement of Ni2+ for Ca2+ is thought to be the principal mechanism of Ni2+ uptake by the present samples.

  14. Friction and Wear Behavior of Plasma-Sprayed Al2O3-13 wt.%TiO2 Coatings Under the Lubrication of Liquid Paraffin

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaoqin; An, Yulong; Hou, Guoliang; Zhou, Huidi; Chen, Jianmin

    2014-04-01

    Two types of ceramic composite coatings (denoted as N-AT13 coating and M-AT13 coating) were fabricated on 1Cr18Ni9Ti stainless steel substrate from ultra-fine and coarse Al2O3-13%TiO2 feedstocks by air plasma spraying. The friction and wear behavior of as-prepared coatings sliding against Al2O3 and stainless steel balls under the lubrication of liquid paraffin was evaluated with an SRV friction and wear tester (Optimol, Germany). The fractured and worn surfaces of the coatings were observed using a scanning electron microscope and a field-emission scanning electron microscope; and the wear mechanisms of the coatings were discussed based on scanning electron microscopic analysis and energy dispersive spectrometric analysis. Results show that N-AT13 coating possesses a unique microstructure and strong inter-splat bonding, thereby showing increased microhardness and bonding strength as well as much better friction-reduction and wear resistance than M-AT13 coating. Moreover, there exist differences in the wear mechanisms of N-AT13 and M-AT13 coatings which slide against ceramic and stainless steel balls under the lubrication of liquid paraffin. Namely, with the increase of normal load, the burnishing of N-AT13 coating coupled with Al2O3 ball is gradually transformed to grain-abrasion and deformation, while M-AT13 coating is dominated by grain-pullout and brittle fracture in the whole range of tested normal load.

  15. DLTS Analysis and Interface Engineering of Solution Route Fabricated Zirconia Based MIS Devices Using Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kumar, Arvind; Mondal, Sandip; Koteswara Rao, K. S. R.

    2018-02-01

    In this work, we have fabricated low-temperature sol-gel spin-coated and oxygen (O2) plasma treated ZrO2 thin film-based metal-insulator-semiconductor devices. To understand the impact of plasma treatment on the Si/ZrO2 interface, deep level transient spectroscopy measurements were performed. It is reported that the interface state density ( D it) comes down to 7.1 × 1010 eV-1 cm-2 from 4 × 1011 eV-1 cm-2, after plasma treatment. The reduction in D it is around five times and can be attributed to the passivation of oxygen vacancies near the Si/ZrO2 interface, as they try to relocate near the interface. The energy level position ( E T) of interfacial traps is estimated to be 0.36 eV below the conduction band edge. The untreated ZrO2 film displayed poor leakage behavior due to the presence of several traps within the film and at the interface; O2 plasma treated films show improved leakage current density as they have been reduced from 5.4 × 10-8 A/cm2 to 1.98 × 10-9 A/cm2 for gate injection mode and 6.4 × 10-8 A/cm2 to 6.3 × 10-10 A/cm2 for substrate injection mode at 1 V. Hence, we suggest that plasma treatment might be useful in future device fabrication technology.

  16. Mechanisms of nitrous oxide (N2 O) formation and reduction in denitrifying biofilms.

    PubMed

    Sabba, Fabrizio; Picioreanu, Cristian; Nerenberg, Robert

    2017-12-01

    Nitrous oxide (N 2 O) is a potent greenhouse gas that can be formed in wastewater treatment processes by ammonium oxidizing and denitrifying microorganisms. While N 2 O emissions from suspended growth systems have been extensively studied, and some recent studies have addressed emissions from nitrifying biofilms, much less is known about N 2 O emissions from denitrifying biofilm processes. This research used modeling to evaluate the mechanisms of N 2 O formation and reduction in denitrifying biofilms. The kinetic model included formation and consumption of key denitrification species, including nitrate (NO3-), nitrite (NO2-), nitric oxide (NO), and N 2 O. The model showed that, in presence of excess of electron donor, denitrifying biofilms have two distinct layers of activity: an outer layer where there is net production of N 2 O and an inner layer where there is net consumption. The presence of oxygen (O 2 ) had an important effect on N 2 O emission from suspended growth systems, but a smaller effect on biofilm systems. The effects of NO3- and O 2 differed significantly based on the biofilm thickness. Overall, the effects of biofilm thickness and bulk substrate concentrations on N 2 O emissions are complex and not always intuitive. A key mechanism for denitrifying biofilms is the diffusion of N 2 O and other intermediates from one zone of the biofilm to another. This leads to zones of N 2 O formation or consumption transformations that would not exist in suspended growth systems. © 2017 Wiley Periodicals, Inc.

  17. Measurement of tritium with plastic scintillator surface improvement with plasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshihara, Y.; Furuta, E.; Ohyama, R.I.

    2015-03-15

    Tritium is usually measured by using a liquid scintillation counter. However, liquid scintillator used for measurement will become radioactive waste fluid. To solve this issue, we have developed a method of measuring tritium samples with plasma-treated plastic scintillator (PS)sheets (Plasma method). The radioactive sample is held between 2 PS sheets and the whole is enclosed in a a low-potassium glass vial. With the Plasma method of 2-min plasma treatment, we have obtained measurement efficiency of 48 ± 2 % for 2 min measurement of tritium except for tritiated water. The plasma treatment makes the PS surface rough and hydrophilic whichmore » contributes to improve the contact between tritium and PS. On the other hand, it needed almost 6 hours to obtain constant measurement efficiency. The reason was that the dry-up handling in the vial needed longer time to vaporize H{sub 2}O molecules than in the air. We tried putting silica gel beads into vials to remove H{sub 2}O molecules from PS sheet surface quickly. The silica gel beads worked well and we got constant measurement efficiency within 1-3 hours. Also, we tried using other kinds of PS treated with plasma to obtain higher measurement efficiencies of tritium samples.« less

  18. The diversity of the N2O reducers matters for the N2O:N2 denitrification end-product ratio across an annual and a perennial cropping system.

    PubMed

    Domeignoz-Horta, Luiz A; Spor, Aymé; Bru, David; Breuil, Marie-Christine; Bizouard, Florian; Léonard, Joël; Philippot, Laurent

    2015-01-01

    Agriculture is the main source of terrestrial emissions of N2O, a potent greenhouse gas and the main cause of ozone layer depletion. The reduction of N2O into N2 by microorganisms carrying the nitrous oxide reductase gene (nosZ) is the only biological process known to eliminate this greenhouse gas. Recent studies showed that a previously unknown clade of N2O-reducers was related to the capacity of the soil to act as an N2O sink, opening the way for new strategies to mitigate emissions. Here, we investigated whether the agricultural practices could differently influence the two N2O reducer clades with consequences for denitrification end-products. The abundance of N2O-reducers and producers was quantified by real-time PCR, and the diversity of both nosZ clades was determined by 454 pyrosequencing. Potential N2O production and potential denitrification activity were used to calculate the denitrification gaseous end-product ratio. Overall, the results showed limited differences between management practices but there were significant differences between cropping systems in both the abundance and structure of the nosZII community, as well as in the [rN2O/r(N2O+N2)] ratio. More limited differences were observed in the nosZI community, suggesting that the newly identified nosZII clade is more sensitive than nosZI to environmental changes. Potential denitrification activity and potential N2O production were explained mainly by the soil properties while the diversity of the nosZII clade on its own explained 26% of the denitrification end-product ratio, which highlights the importance of understanding the ecology of this newly identified clade of N2O reducers for mitigation strategies.

  19. Cold atmospheric plasma treatment inhibits growth in colorectal cancer cells.

    PubMed

    Schneider, Christin; Arndt, Stephanie; Zimmermann, Julia L; Li, Yangfang; Karrer, Sigrid; Bosserhoff, Anja-Katrin

    2018-06-01

    Plasma oncology is a relatively new field of research. Recent developments have indicated that cold atmospheric plasma (CAP) technology is an interesting new therapeutic approach to cancer treatment. In this study, p53 wildtype (LoVo) and human p53 mutated (HT29 and SW480) colorectal cancer cells were treated with the miniFlatPlaSter - a device particularly developed for the treatment of tumor cells - that uses the Surface Micro Discharge (SMD) technology for plasma production in air. The present study analyzed the effects of plasma on colorectal cancer cells in vitro and on normal colon tissue ex vivo. Plasma treatment had strong effects on colon cancer cells, such as inhibition of cell proliferation, induction of cell death, and modulation of p21 expression. In contrast, CAP treatment of murine colon tissue ex vivo for up to 2 min did not show any toxic effect on normal colon cells compared to H2O2 positive control. In summary, these results suggest that the miniFlatPlaSter plasma device is able to kill colorectal cancer cells independent of their p53 mutation status. Thus, this device presents a promising new approach in colon cancer therapy.

  20. Design and Feasibility Analysis of a Self-Sustaining Biofiltration System for Removal of Low Concentration N2O Emitted from Wastewater Treatment Plants.

    PubMed

    Yoon, Hyun; Song, Min Joon; Yoon, Sukhwan

    2017-09-19

    N 2 O is a potent greenhouse gas and ozone-depletion agent. In this study, a biofiltration system was designed for removal of N 2 O emitted at low concentrations (<200 ppmv) from wastewater treatment plants. The proposed biofiltration system utilizes untreated wastewater from the primary sedimentation basin as the source of electron donor and nutrients and energy requirement is minimized by utilizing gravitational force and pressure differential to direct liquid medium and gas through the biofilter. The experiments performed with laboratory-scale biofilter in two different configurations confirmed the feasibility of the biofiltration system. The biofilter operated with cycling of raw wastewater exhibited up to 94% and 53% removal efficiency with 100 ppmv N 2 O in N 2 and air, respectively, as the feed gas, corroborating that untreated wastewater can serve as a robust source of electron donor and nutrients. The laboratory-scale biofilter operated with a continuous flow-through of synthetic wastewater attained >99.9% removal of N 2 O from N 2 background at the gas flow rate up to 2,000 mL·min -1 and >50% N 2 O removal from air background at the gas flow rate of 200 mL·min -1 . nosZ-containing bacterial genera including Flavobacterium (5.92%), Pseudomonas (4.26%) and Bosea (2.39%) were identified in the biofilm samples collected from the oxic biofilter, indicating these organisms were responsible for N 2 O removal.

  1. Investigation of hybrid plasma-catalytic removal of acetone over CuO/γ-Al2O3 catalysts using response surface method.

    PubMed

    Zhu, Xinbo; Tu, Xin; Mei, Danhua; Zheng, Chenghang; Zhou, Jinsong; Gao, Xiang; Luo, Zhongyang; Ni, Mingjiang; Cen, Kefa

    2016-07-01

    In this work, plasma-catalytic removal of low concentrations of acetone over CuO/γ-Al2O3 catalysts was carried out in a cylindrical dielectric barrier discharge (DBD) reactor. The combination of plasma and the CuO/γ-Al2O3 catalysts significantly enhanced the removal efficiency of acetone compared to the plasma process using the pure γ-Al2O3 support, with the 5.0 wt% CuO/γ-Al2O3 catalyst exhibiting the best acetone removal efficiency of 67.9%. Catalyst characterization was carried out to understand the effect the catalyst properties had on the activity of the CuO/γ-Al2O3 catalysts in the plasma-catalytic reaction. The results indicated that the formation of surface oxygen species on the surface of the catalysts was crucial for the oxidation of acetone in the plasma-catalytic reaction. The effects that various operating parameters (discharge power, flow rate and initial concentration of acetone) and the interactions between these parameters had on the performance of the plasma-catalytic removal of acetone over the 5.0 wt% CuO/γ-Al2O3 catalyst were investigated using central composite design (CCD). The significance of the independent variables and their interactions were evaluated by means of the Analysis of Variance (ANOVA). The results showed that the gas flow rate was the most significant factor affecting the removal efficiency of acetone, whilst the initial concentration of acetone played the most important role in determining the energy efficiency of the plasma-catalytic process. Copyright © 2016 Elsevier Ltd. All rights reserved.

  2. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  3. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikia, Partha, E-mail: partha.008@gmail.com; Institute of Physics, Pontificia Universidad Católica de Chile, Av. Vicuña Mackenna 4860, Santiago; Saikia, Bipul Kumar

    2016-04-15

    We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO{sub 2} films. The parameters of the hydrogen-added Ar/O{sub 2} plasma influence the properties and the structural phases of the deposited TiO{sub 2} film. Therefore, the variation of plasma parameters such as electron temperature (T{sub e}), electron density (n{sub e}), ion density (n{sub i}), degree of ionization of Ar and degree of dissociation of H{sub 2} as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma.more » On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO{sub 2} film.« less

  4. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  5. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  6. (Carbonato-κ(2)O,O')bis-(5,5'-dimethyl-2,2'-bipyridyl-κ(2)N,N')cobalt(III) bromide trihydrate.

    PubMed

    Arun Kumar, Kannan; Meera, Parthsarathi; Amutha Selvi, Madhavan; Dayalan, Arunachalam

    2012-04-01

    In the title complex, [Co(CO(3))(C(12)H(12)N(2))(2)]Br·3H(2)O, the Co(III) cation has a distorted octa-hedral coordination environment. It is chelated by four N atoms of two different 5,5'-dimethyl-2,2'-bipyridyl (dmbpy) ligands in axial and equatorial positions, and by two O atoms of a carbonate anion completing the equatorial positions. Although the water mol-ecules are disordered and their H atoms were not located, there are typical O⋯O distances between 2.8 and 3.0 Å, indicating O-H⋯O hydrogen bonding. The crystal packing is consolidated by C-H⋯O and C-H⋯Br hydrogen bonds, as well as π-π stacking inter-actions between adjacent pyridine rings of the dmbpy ligands, with centroid-centroid distances of 3.694 (3) and 3.7053 (3) Å.

  7. The pH dependency of N-converting enzymatic processes, pathways and microbes: effect on net N2 O production.

    PubMed

    Blum, Jan-Michael; Su, Qingxian; Ma, Yunjie; Valverde-Pérez, Borja; Domingo-Félez, Carlos; Jensen, Marlene Mark; Smets, Barth F

    2018-05-01

    Nitrous oxide (N 2 O) is emitted during microbiological nitrogen (N) conversion processes, when N 2 O production exceeds N 2 O consumption. The magnitude of N 2 O production vs. consumption varies with pH and controlling net N 2 O production might be feasible by choice of system pH. This article reviews how pH affects enzymes, pathways and microorganisms that are involved in N-conversions in water engineering applications. At a molecular level, pH affects activity of cofactors and structural elements of relevant enzymes by protonation or deprotonation of amino acid residues or solvent ligands, thus causing steric changes in catalytic sites or proton/electron transfer routes that alter the enzymes' overall activity. Augmenting molecular information with, e.g., nitritation or denitrification rates yields explanations of changes in net N 2 O production with pH. Ammonia oxidizing bacteria are of highest relevance for N 2 O production, while heterotrophic denitrifiers are relevant for N 2 O consumption at pH > 7.5. Net N 2 O production in N-cycling water engineering systems is predicted to display a 'bell-shaped' curve in the range of pH 6.0-9.0 with a maximum at pH 7.0-7.5. Net N 2 O production at acidic pH is dominated by N 2 O production, whereas N 2 O consumption can outweigh production at alkaline pH. Thus, pH 8.0 may be a favourable pH set-point for water treatment applications regarding net N 2 O production. © 2018 Society for Applied Microbiology and John Wiley & Sons Ltd.

  8. Isotopomeric characterization of N2O produced, consumed, and emitted by automobiles.

    PubMed

    Toyoda, Sakae; Yamamoto, Sei-ichiro; Arai, Shinji; Nara, Hideki; Yoshida, Naohiro; Kashiwakura, Kiriko; Akiyama, Ken-ichi

    2008-01-01

    Fossil fuel combustion is the second largest anthropogenic source of nitrous oxide (N2O) after agriculture. The estimated global N2O flux from combustion sources, as well as from other sources, still has a large uncertainty. Herein, we characterize automobile sources using N2O isotopomer ratios (nitrogen and oxygen isotope ratios and intramolecular site preference of 15N, SP) to assess their contributions to total global sources and to deconvolute complex production/consumption processes during combustion and subsequent catalytic treatments of exhaust. Car exhaust gases were sampled under running and idling state, and N2O isotopomer ratios were measured by mass spectrometry. The N2O directly emitted from an engine of a vehicle running at constant velocity had almost constant isotopomer ratios (delta15Nbulk = -28.7 +/- 1.2 per thousand, delta18O = 28.6 +/- 3.3 per thousand, and SP = 4.2 +/- 0.8 per thousand) irrespective of the velocity. After passing through catalytic converters, the isotopomer ratios showed an increase which varied with the temperature and the aging of the catalysts. The increase suggests that both production and consumption of N2O occur on the catalyst and that their rates can be comparable. It was noticed that in the idling state, the N2O emitted from a brand new car has higher isotopomer ratios than that from used cars, which indicate that technical improvements in catalytic converters can reduce the N2O from mobile combustion sources. On average, the isotopomeric signatures of N2O finally emitted from automobiles are not sensitive to running/idling states or to aging of the catalysts. Characteristic average isotopomer ratios of N2O from automobile sources are estimated at -4.9 +/- 8.2 per thousand, 43.5 +/- 13.9 per thousand, and 12.2 +/- 9.1 per thousand for delta15Nbulk, delta18O, and SP, respectively.

  9. The effects of nitrogen fertilization on N2O emissions from a rubber plantation

    NASA Astrophysics Data System (ADS)

    Zhou, Wen-Jun; Ji, Hong-Li; Zhu, Jing; Zhang, Yi-Ping; Sha, Li-Qing; Liu, Yun-Tong; Zhang, Xiang; Zhao, Wei; Dong, Yu-Xin; Bai, Xiao-Long; Lin, You-Xin; Zhang, Jun-Hui; Zheng, Xun-Hua

    2016-06-01

    To gain the effects of N fertilizer applications on N2O emissions and local climate change in fertilized rubber (Hevea brasiliensis) plantations in the tropics, we measured N2O fluxes from fertilized (75 kg N ha-1 yr-1) and unfertilized rubber plantations at Xishuangbanna in southwest China over a 2-year period. The N2O emissions from the fertilized and unfertilized plots were 4.0 and 2.5 kg N ha-1 yr-1, respectively, and the N2O emission factor was 1.96%. Soil moisture, soil temperature, and the area weighted mean ammoniacal nitrogen (NH4+-N) content controlled the variations in N2O flux from the fertilized and unfertilized rubber plantations. NH4+-N did not influence temporal changes in N2O emissions from the trench, slope, or terrace plots, but controlled spatial variations in N2O emissions among the treatments. On a unit area basis, the 100-year carbon dioxide equivalence of the fertilized rubber plantation N2O offsets 5.8% and 31.5% of carbon sink of the rubber plantation and local tropical rainforest, respectively. When entire land area in Xishuangbanna is considered, N2O emissions from fertilized rubber plantations offset 17.1% of the tropical rainforest’s carbon sink. The results show that if tropical rainforests are converted to fertilized rubber plantations, regional N2O emissions may enhance local climate warming.

  10. Direct impacts of biochar on N2O production during denitrification by a soil microbial community

    NASA Astrophysics Data System (ADS)

    Mishra, Akanksha; Harter, Johannes; Hagemann, Nikolas; Kappler, Andreas

    2017-04-01

    Biochar, i.e. biomass heated under O2 limitation to 350-1000°C (pyrolysis), is suggested as a beneficial soil amendment to mitigate climate change and to maintain and restore the fertility of agro-ecosystems. Its stability enables long-term carbon sequestration and biochar effectively reduces soil-borne N2O emissions. Biochar's ability to reduce N2O emissions is well recognized through field and laboratory experiments as well as meta-analyses. However, the underlying mechanisms remain widely debated. Microbial nitrogen transformations, especially denitrification, the stepwise reduction of nitrate/nitrite via NO and N2O to N2, are considered to be a major source of N2O emissions. Soil microcosm experiments showed lower N2O emissions in the presence of biochar often correlate with a higher abundance and/or activity of N2O reducing bacteria in the presence of biochar. However, it is still unknown whether these shifts in the microbial community and/or activity is cause or effect of reduced N2O production. Biochar has the potential to change the physico-chemical environment towards conditions that favor complete denitrification, i.e. decrease the N2O/(N2O+N2) product ratio. Specifically, biochar can increase soil pH, reduce the availability of nitrate and increase the entrapment of gases, including N2O. These effects are known to decrease the N2O/(N2O+N2) ratio. In addition to the observed effects in the physio-chemical environment, we hypothesized that biochar has a direct impact on the soil microbial community. For instance, it has been shown to provide a suitable habitat to microorganisms, or facilitate electron transfer between microbe and substrates by acting as an electron shuttle or as a temporary acceptor/donor of electrons. To test this hypothesis, our experiment consisted of a microbial community extracted from soil and cultivated under anoxic conditions. It was introduced as an inoculum into three different treatments: biochar, quartz (control with a solid

  11. Uncertainties in United States agricultural N2O emissions: comparing forward model simulations to atmospheric N2O data.

    NASA Astrophysics Data System (ADS)

    Nevison, C. D.; Saikawa, E.; Dlugokencky, E. J.; Andrews, A. E.; Sweeney, C.

    2014-12-01

    Atmospheric N2O concentrations have increased from 275 ppb in the preindustrial to about 325 ppb in recent years, a ~20% increase with important implications for both anthropogenic greenhouse forcing and stratospheric ozone recovery. This increase has been driven largely by synthetic fertilizer production and other perturbations to the global nitrogen cycle associated with human agriculture. Several recent regional atmospheric inversion studies have quantified North American agricultural N2O emissions using top-down constraints based on atmospheric N2O data from the National Oceanic and Atmospheric Administration (NOAA) Global Greenhouse Gas Reference Network, including surface, aircraft and tall tower platforms. These studies have concluded that global N2O inventories such as EDGAR may be underestimating the true U.S. anthropogenic N2O source by a factor of 3 or more. However, simple back-of-the-envelope calculations show that emissions of this magnitude are difficult to reconcile with the basic constraints of the global N2O budget. Here, we explore some possible reasons why regional atmospheric inversions might overestimate the U.S. agricultural N2O source. First, the seasonality of N2O agricultural sources is not well known, but can have an important influence on inversion results, particularly when the inversions are based on data that are concentrated in the spring/summer growing season. Second, boundary conditions can strongly influence regional inversions but the boundary conditions used may not adequately account for remote influences on surface data such as the seasonal stratospheric influx of N2O-depleted air. We will present a set of forward model simulations, using the Community Land Model (CLM) and two atmospheric chemistry tracer transport models, MOZART and the Whole Atmosphere Community Climate Model (WACCM), that examine the influence of terrestrial emissions and atmospheric chemistry and dynamics on atmospheric variability in N2O at U.S. and

  12. The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Graves, D. B.

    2011-08-01

    The degradation of porous low-k materials, like SiOCH, under plasma processing continues to be a problem in the next generation of integrated-circuit fabrication. Due to the exposure of the film to many species during plasma treatment, such as photons, ions, radicals, etc, it is difficult to identify the mechanisms responsible for plasma-induced damage. Using a vacuum beam apparatus with a calibrated Xe vacuum ultraviolet (VUV) lamp, we show that 147 nm VUV photons and molecular O2 alone can damage these low-k materials. Using Fourier-transform infrared (FTIR) spectroscopy, we show that VUV/O2 exposure causes a loss of methylated species, resulting in a hydrophilic, SiOx-like layer that is susceptible to H2O absorption, leading to an increased dielectric constant. The effect of VUV radiation on chemical modification of porous SiOCH films in the vacuum beam apparatus and in Ar and O2 plasma exposure was found to be a significant contributor to dielectric damage. Measurements of dielectric constant change using a mercury probe are consistent with chemical modification inferred from FTIR analysis. Furthermore, the extent of chemical modification appears to be limited by the penetration depth of the VUV photons, which is dependent on wavelength of radiation. The creation of a SiOx-like layer near the surface of the material, which grows deeper as more methyl is extracted, introduces a dynamic change of VUV absorption throughout the material over time. As a result, the rate of methyl loss is continuously changing during the exposure. We present a model that attempts to capture this dynamic behaviour and compare the model predictions to experimental data through a fitting parameter that represents the effective photo-induced methyl removal. While this model accurately simulates the methyl loss through VUV exposure by the Xe lamp and Ar plasma, the methyl loss from VUV photons in O2 plasma are only accurately depicted at longer exposure times. We conclude that other

  13. Source portioning of N_{2}O emissions after long term elevation of soil temperature in a permanent grassland soil

    NASA Astrophysics Data System (ADS)

    Jansen-Willems, Anne; Lanigan, Gary; Clough, Timothy; Andresen, Louise; Müller, Christoph

    2016-04-01

    Several methods, such as source portioning, have been used to quantify the contributions of individual N pools to N2O emissions. These methods however, assume the absence of hybrid reactions such as co-denitrification, which were previously identified as important. A straight forward method portioning N2O fluxes into four different production processes, including a hybrid reaction, was therefore developed. This method portioned the N2O fluxes in nitrification, denitrification, oxidation of organic matter and co-denitrification, using data on 45R and 46R of the N2O flux and the 15N content of the NO3- and NH4+ in the soil. This newly developed method was used to analyse the N2O emissions from incubated soil, which was previously subjected to 6 years of elevated soil temperature of +0, +1, +2 or +3 ° C. N2O emissions were measured and analysed at four time points in the six days following, NO315NH4 Gly or 15NO3NH4 Gly, label addition. The oxidation of organic N was found to be the main source of N2O fluxes at all sampling dates, comprising between 63 and 85% of the total N2O flux. The percentage contribution made by organic N to N2O fluxes increased over the sampling period, rising from a minimum of 40% in the control treatment, to virtually 100% across all treatments by Day 6. Compared to the control treatment, denitrification contributed less to N2O from soil subjected to +2 and +3 ° C warming (p <0.0001 and p=0.002, respectively). Co-denitrification only contributed to the N2O flux during the first day after substrate addition. The highest amount of N2O produced via co-denitrification was found under the control treatment. From soil subjected to +2 and +3 ° C treatments, the contribution of co-denitrification was minor. However, these differences in co-denitrification were not significant. This research showed the importance of the oxidation of organic N in N2O emissions. It should therefore not be omitted as a potential source in source portioning. Emissions

  14. N2O and CH4 emissions from N-fertilized rice paddy soil can be mitigated by wood vinegar application at an appropriate rate

    NASA Astrophysics Data System (ADS)

    Sun, Haijun; Feng, Yanfang; Ji, Yang; Shi, Weiming; Yang, Linzhang; Xing, Baoshan

    2018-07-01

    To understand the impacts of wood vinegar (WV), a by-product of biochar production, on N2O and CH4 emissions and their total global warming potential (GWPt) from N-fertilized rice paddy soil, a soil column experiment was conducted using three treatments: 240 kg urea-N ha-1 accompanied with 0, 5, and 10 t WV ha-1, respectively. Results showed that N2O and CH4 emission flux patterns were dominated by water regime of rice growth cycle, which was independent with WV application. The total N2O, CH4 emission loads and GWPt over rice season of three N received treatments were 6.41-8.85 kg ha-1, 127.7-405.0 kg ha-1, and 5.24-12.03 t CO2-e ha-1, respectively. Rice seasonal N2O and CH4 emissions were synchronously mitigated by 22.4% and 36.4%, respectively, when WV was applied at 5 t ha-1. Consequently, 5 t ha-1 WV treatment reduced 31.5% of GWPt compared with the urea treatment. In addition, 10 t ha-1 WV treatment exerted a more positive effect on suppressing N2O with 27.6% reduction. However, it increased GWPt by 57.2% because its CH4 emission load was increased by 101.8%. In conclusion, WV amendment applied at an appropriate rate (5 t ha-1) or combination with other CH4 control technologies were suggested to reduce both N2O and CH4 emissions and thereby the GWPt in N-fertilized rice paddy soil.

  15. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    NASA Astrophysics Data System (ADS)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  16. Are dual isotope and isotopomer ratios of N2O useful indicators for N2O turnover during denitrification in nitrate-contaminated aquifers?

    NASA Astrophysics Data System (ADS)

    Well, Reinhard; Eschenbach, Wolfram; Flessa, Heinz; von der Heide, Carolin; Weymann, Daniel

    2012-08-01

    Denitrifying aquifers are sources of the greenhouse gas N2O. Isotopic signatures reflect processes of production and reduction of N2O, but it is not clear to which extent these can be used to quantify those processes. We investigated the spatial distribution of isotopologue values of N2O (δ18O, average δ15N, and 15N site preference, SP) in two denitrifying sandy aquifers to study N2O production and reduction and associated isotope effects in groundwater. For the first time, we combined this approach with direct estimation of N2O reduction from excess-N2 analysis. Groundwater samples were collected from 15 monitoring wells and four multilevel sampling wells and analysed for NO3-, dissolved N2O, dissolved O2, excess N2 from denitrification and isotopic signatures of NO3- and N2O. Both aquifers exhibited high NO3- concentrations with average concentrations of 22 and 15 mg N L-1, respectively. Evidence of intense denitrification with associated N2O formation was obtained from mean excess-N2 of 3.5 and 4.3 mg N L-1, respectively. Isotopic signatures of N2O were highly variable with ranges of 17.6-113.2‰ (δ18O), -55.4 to 89.4‰ (δ15Nbulk) and 1.8-97.9‰ (SP). δ15N and δ18O of NO3- ranged from -2.1‰ to 65.5‰ and from -5‰ to 33.5‰, respectively. The relationships between δ15N of NO3-, δ15Nbulk and SP were not in good agreement with the distribution predicted by a Rayleigh-model of isotope fractionation. The large ranges of δ18O and SP of N2O as well as the close correlation between these values could be explained by the fact that N2O reduction to N2 was strongly progressed but variable. We confirm and explain that a large range in SP and δ18O is typical for N2O from denitrifying aquifers, showing that this source signature can be distinguished from the isotopic fingerprint of N2O emitted from soils without water-logging. We conclude that isotopologue values of N2O in our sites were not suitable to quantify production or reduction of N2O or the

  17. Modeling of N2 and O optical emissions for ionosphere HF powerful heating experiments

    NASA Astrophysics Data System (ADS)

    Sergienko, T.; Gustavsson, B.

    Analyses of experiments of F region ionosphere modification by HF powerful radio waves show that optical observations are very useful tools for diagnosing of the interaction of the probing radio wave with the ionospheric plasma Hitherto the emissions usually measured in the heating experiment have been the 630 0 nm and the 557 7 nm lines of atomic oxygen Other emissions for instance O 844 8 nm and N2 427 8 nm have been measured episodically in only a few experiments although the very rich optical spectrum of molecular nitrogen potentially involves important information about ionospheric plasma in the heated region This study addresses the modeling of optical emissions from the O and the N2 triplet states first positive second positive Vegard-Kaplan infrared afterglow and Wu-Benesch band systems excited under a condition of the ionosphere heating experiment The auroral triplet state population distribution model was modified for the ionosphere heating conditions by using the different electron distribution functions suggested by Mishin et al 2000 2003 and Gustavsson at al 2004 2005 Modeling results are discussed from the point of view of efficiency of measurements of the N2 emissions in future experiments

  18. Evaluation of fracture toughness of ZrO 2 and Si 3N 4 engineering ceramics following CO 2 and fibre laser surface treatment

    NASA Astrophysics Data System (ADS)

    Shukla, P. P.; Lawrence, J.

    2011-02-01

    The fracture toughness property ( K1C) of Si 3N 4 and ZrO 2 engineering ceramics was investigated by means of CO 2 and a fibre laser surface treatment. Near surface modifications in the hardness were investigated by employing the Vickers indentation method. Crack lengths and the crack geometry were then measured by using the optical microscopy. A co-ordinate measuring machine was used to investigate the diamond indentations and to measure the lengths of the cracks. Thereafter, computational and analytical methods were employed to determine the K1C. An increase in the K1C of both ceramics was found by the CO 2 and the fibre laser surface treatment in comparison to the as-received surfaces. The K1C of the CO 2 laser radiated surface of the Si 3N 4 was over 3% higher in comparison to that of the fibre laser treated surface. This was by softening of the near surface layer of the Si 3N 4 which comprised of lowering of hardness, which in turn increased the crack resistance. The effects were not similar in ZrO 2 ceramic to that of the Si 3N 4 as the fibre laser radiation in this case had produced an increase of 34% compared to that of the CO 2 laser radiation. This occurred due to propagation of lower crack resulting from the Vickers indentation test during the fibre laser surface treatment which inherently affected the end K1C through an induced compressive stress layer. The K1C modification of the two ceramics treated by the CO 2 and the fibre laser was also believed to be influenced by the different laser wavelength and its absorption co-efficient, the beam delivery system as well as the differences in the brightness of the two lasers used.

  19. Temperature dependent electrical characterisation of Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shetty, Arjun, E-mail: arjun@ece.iisc.ernet.in; Vinoy, K. J.; Roul, Basanta

    2015-09-15

    This paper reports an improvement in Pt/n-GaN metal-semiconductor (MS) Schottky diode characteristics by the introduction of a layer of HfO{sub 2} (5 nm) between the metal and semiconductor interface. The resulting Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diode showed an increase in rectification ratio from 35.9 to 98.9(@ 2V), increase in barrier height (0.52 eV to 0.63eV) and a reduction in ideality factor (2.1 to 1.3) as compared to the MS Schottky. Epitaxial n-type GaN films of thickness 300nm were grown using plasma assisted molecular beam epitaxy (PAMBE). The crystalline and optical qualities of the films were confirmed using high resolutionmore » X-ray diffraction and photoluminescence measurements. Metal-semiconductor (Pt/n-GaN) and metal-insulator-semiconductor (Pt/HfO{sub 2}/n-GaN) Schottky diodes were fabricated. To gain further understanding of the Pt/HfO{sub 2}/GaN interface, I-V characterisation was carried out on the MIS Schottky diode over a temperature range of 150 K to 370 K. The barrier height was found to increase (0.3 eV to 0.79 eV) and the ideality factor decreased (3.6 to 1.2) with increase in temperature from 150 K to 370 K. This temperature dependence was attributed to the inhomogeneous nature of the contact and the explanation was validated by fitting the experimental data into a Gaussian distribution of barrier heights.« less

  20. Plasma treatments of wool fiber surface for microfluidic applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeon, So-Hyoun; Hwang, Ki-Hwan; Lee, Jin Su

    Highlights: • We used atmospheric plasma for tuning the wettability of wool fibers. • The wicking rates of the wool fibers increased with increasing treatment time. • The increasing of wettability results in removement of fatty acid on the wool surface. - Abstract: Recent progress in health diagnostics has led to the development of simple and inexpensive systems. Thread-based microfluidic devices allow for portable and inexpensive field-based technologies enabling medical diagnostics, environmental monitoring, and food safety analysis. However, controlling the flow rate of wool thread, which is a very important part of thread-based microfluidic devices, is quite difficult. For thismore » reason, we focused on thread-based microfluidics in the study. We developed a method of changing the wettability of hydrophobic thread, including wool thread. Thus, using natural wool thread as a channel, we demonstrate herein that the manipulation of the liquid flow, such as micro selecting and micro mixing, can be achieved by applying plasma treatment to wool thread. In addition to enabling the flow control of the treated wool channels consisting of all natural substances, this procedure will also be beneficial for biological sensing devices. We found that wools treated with various gases have different flow rates. We used an atmospheric plasma with O{sub 2}, N{sub 2} and Ar gases.« less

  1. Decomposition of acetaminophen in water by a gas phase dielectric barrier discharge plasma combined with TiO2-rGO nanocomposite: Mechanism and degradation pathway.

    PubMed

    Zhang, Guyu; Sun, Yabing; Zhang, Chunxiao; Yu, Zhongqing

    2017-02-05

    Acetaminophen (APAP) served as the model pollutant to evaluate the feasibility of pollutant removal by gas phase dielectric barrier discharge plasma combined with the titanium dioxide-reduced Graphene Oxide (TiO 2 -rGO) nanocomposite. TiO 2 -rGO nanocomposite was prepared using the modified hydrothermal method and characterized by TEM and XPS before and after plasma process. The results indicated that the APAP degradation efficiency was significantly improved to 92% after 18min of discharge plasma treatment coupling 0.25gL -1 TiO 2 -rGO 5%wt at 18kV, compared with the plasma alone and plasma combined with P25 TiO 2 . The degradation mechanism for APAP in this system was studied by investigating the effects of the operational variables (e.g. discharge voltage and pH value) and the amount of the generated active species; and the results showed that O 3 and H 2 O 2 yields were influenced notably by adding TiO 2 -rGO. Also, it was observed that, compared with unused TiO 2 -rGO, the photocatalytic performance of used TiO 2 -rGO declined after several recirculation times due to the further reduction of Graphene Oxide in plasma system. Finally, intermediate products were analyzed by UV-vis spectrometry and HPLC/MS, and possible transformation pathways were identified with the support of theoretically calculating the frontier electron density of APAP. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Reaction of N2O5 with H2O on carbonaceous surfaces

    NASA Technical Reports Server (NTRS)

    Brouwer, L.; Rossi, M. J.; Golden, D. M.

    1986-01-01

    The heterogeneous reaction of N2O5 with commercially available ground charcoal in the absence of H2O revealed a physisorption process (gamma = 0.003), together with a redox reaction generating mostly NO. Slow HNO3 formation was the result of the interaction of N2O5 with H2O that was still adsorbed after prolonged pumping at 0.0001 torr. In the presence of H2O, the same processes with gamma = 0.005 are observed. The redox reaction dominates in the early stages of the reaction, whereas the hydrolysis gains importance later at the expense of the redox reaction. The rate law for HNO3 generation was found to be d(HNO3)/dt = k(bi)(H2O)(N2O5) with k(bi), the effective bimolecular rate constants, for 10 mg of carbon being (1.6 + or - 0.3) x 10 to the -13th cu cm/s.

  3. Study of the physical discharge properties of a Ar/O2 DC plasma jet

    NASA Astrophysics Data System (ADS)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.

    2018-03-01

    In this paper, the physical properties of plasma discharge in a manufactured DC plasma jet operating with the Ar/O2 gaseous mixture are studied. Moreover, the optical emission spectroscopy technique is used to perform the experimental measurements. The obtained emission spectra are analyzed and, the plasma density, rotational, vibrational and electronic temperature are calculated. The NO emission lines from {NO }γ( A2 Σ^{+} \\to {X}2 Πr ) electronic transition are observed. It is seen that, at the higher argon contributions in Ar/O2 gaseous mixture, the emission intensities from argon ions will increase. Moreover, while the vibrational and excitation temperatures are increased at the higher input DC currents, they will decrease at the higher Ar percentages in the Ar/O2 gaseous mixture. Furthermore, at the higher DC currents and Ar contributions, both the plasma electron density and dissociation fraction of oxygen atoms are increased.

  4. Effect of Seed Treatment by Cold Plasma on the Resistance of Tomato to Ralstonia solanacearum (Bacterial Wilt)

    PubMed Central

    Jiang, Jiafeng; Lu, Yufang; Li, Jiangang; Li, Ling; He, Xin; Shao, Hanliang; Dong, Yuanhua

    2014-01-01

    This study investigated the effect of cold plasma seed treatment on tomato bacterial wilt, caused by Ralstonia solanacearum (R. solanacearum), and the regulation of resistance mechanisms. The effect of cold plasma of 80W on seed germination, plant growth, nutrient uptake, disease severity, hydrogen peroxide (H2O2) concentration and activities of peroxidase (POD; EC 1.11.1.7), polyphenol oxidase (PPO; EC 1.10.3.2) and phenylalanine ammonia lyase (PAL; EC 4.3.1.5) were examined in tomato plants. Plasma treatment increased tomato resistance to R. solanacearum with an efficacy of 25.0%. Plasma treatment significantly increased both germination and plant growth in comparison with the control treatment, and plasma-treated plants absorbed more calcium and boron than the controls. In addition, H2O2 levels in treated plants rose faster and reached a higher peak, at 2.579 µM gFW−1, 140% greater than that of the control. Activities of POD (421.3 U gFW−1), PPO (508.8 U gFW−1) and PAL (707.3 U gFW−1) were also greater in the treated plants than in the controls (103.0 U gFW−1, 166.0 U gFW−1 and 309.4 U gFW−1, respectively). These results suggest that plasma treatment affects the regulation of plant growth, H2O2 concentration, and POD, PPO and PAL activity in tomato, resulting in an improved resistance to R. solanacearum. Consequently, cold plasma seed treatment has the potential to control tomato bacterial wilt caused by R. solanacearum. PMID:24840508

  5. Ionization instability induced striations in low frequency and pulsed He/H2O atmospheric pressure plasmas

    NASA Astrophysics Data System (ADS)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J.

    2018-01-01

    In previous work [Kawamura et al., Plasma Sources Sci. Technol. 25, 054009 (2016)] and [Kawamura et al., J. Phys. D: Appl. Phys. 50, 145204 (2017)], 1D kinetic particle-in-cell (PIC) simulations of narrow gap (1 to 4 mm), high frequency (27 MHz) or dc-driven, He/2%H2O atmospheric pressure plasmas (APPs) showed an ionization instability resulting in standing striations (spatial oscillations) in the bulk plasma. We developed a steady-state striation theory which showed that the striations are due to non-local electron kinetics. In both the high frequency and dc-driven cases, the equilibrium electron density n0 in the plasma bulk was stationary. In this work, we first conduct 1D PIC simulations of a 1 mm gap He/2%H2O APP, driven by a sinusoidal current at a low frequency of f = 50 kHz such that ω = 2πf is well below the ionization frequency νiz. In this case, n0 varies with time, and we observe a time-varying instability which quasistatically depends on n0(t). At each phase of the rf cycle, the discharge resembles a dc discharge at the same n0. At higher frequencies (200 kHz-1 MHz), ω approaches νiz, and quasistatic equilibrium at each phase breaks down. The discharge is also driven with a 200 kHz, 50% duty cycle square wave pulse with a short rise and fall time of 0.1 μs in an attempt to directly measure the striation growth rate s during the on-cycle before it saturated. However, the spike in current during the rise time leads to a spike in electron temperature Te and hence νiz and s at the beginning of the rise which saturated during the beginning of the on-cycle. To predict the instability growth rate and saturation during and after the current spike, we extend our striation theory to include time-varying n0, Te, νiz, as well as terms for the nonlinear saturation and noise floor of the striation amplitude. The time-varying global model predictions are compared to the PIC simulations, showing reasonable agreement.

  6. Surface cleaning for enhanced adhesion to packaging surfaces: Effect of oxygen and ammonia plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gaddam, Sneha; Dong, Bin; Driver, Marcus

    2015-03-15

    The effects of direct plasma chemistries on carbon removal from silicon nitride (SiN{sub x}) and oxynitride (SiO{sub x}N{sub y}) surfaces have been studied by in-situ x-ray photoelectron spectroscopy (XPS) and ex-situ contact angle measurements. The data indicate that O{sub 2} and NH{sub 3} capacitively coupled plasmas are effective at removing adventitious carbon from silicon nitride (SiN{sub x}) and Si oxynitride (SiO{sub x}N{sub y}) surfaces. O{sub 2} plasma treatment results in the formation of a silica overlayer. In contrast, the exposure to NH{sub 3} plasma results in negligible additional oxidation of the SiN{sub x} or SiO{sub x}N{sub y} surface. Ex-situ contactmore » angle measurements show that SiN{sub x} and SiO{sub x}N{sub y} surfaces exposed to oxygen plasma are initially more hydrophilic than surfaces exposed to NH{sub 3} plasma, indicating that the O{sub 2} plasma-induced SiO{sub 2} overlayer is highly reactive toward ambient. At longer ambient exposures (≳10 h), however, surfaces treated by either O{sub 2} or NH{sub 3} plasma exhibit similar steady state contact angles, correlated with rapid uptake of adventitious carbon, as determined by XPS. Surface passivation by exposure to molecular hydrogen prior to ambient exposure significantly retards the increase in contact angle upon exposure to ambient. The results suggest a practical route to enhancing the time available for effective bonding to surfaces in microelectronics packaging applications.« less

  7. Platelet-rich plasma, plasma rich in growth factors and simvastatin in the regeneration and repair of alveolar bone.

    PubMed

    Rivera, César; Monsalve, Francisco; Salas, Juan; Morán, Andrea; Suazo, Iván

    2013-12-01

    Platelet preparations promote bone regeneration by inducing cell migration, proliferation and differentiation in the area of the injury, which are essential processes for regeneration. In addition, several studies have indicated that simvastatin (SIMV), widely used for the treatment of hypercholesterolemia, stimulates osteogenesis. The objective of this study was to evaluate the effects of treatment with either platelet-rich plasma (PRP) or plasma rich in growth factors (PRGF) in combination with SIMV in the regeneration and repair of alveolar bone. The jaws of Sprague Dawley rats (n=18) were subjected to rotary instrument-induced bone damage (BD). Animals were divided into six groups: BD/H 2 O (n=3), distilled water without the drug and alveolar bone damage; BD/H 2 O/PRP (n=3), BD and PRP; BD/H 2 O/PRGF (n=3), BD and PRGF; BD/SIMV (n=3), BD and water with SIMV; BD/SIMV/PRP (n=3), BD, PRP and SIMV; and BD/SIMV/PRGF (n=3), BD, PRGF and SIMV. Conventional histological analysis (hematoxylin and eosin staining) revealed that the BD/SIMV group showed indicators for mature bone tissue, while the BD/SIMV/PRP and BD/SIMV/PRGF groups showed the coexistence of indicators for mature and immature bone tissue, with no statistical differences between the platelet preparations. Simvastatin did not improve the effect of platelet-rich plasma and plasma rich in growth factors. It was not possible to determine which platelet preparation produced superior effects.

  8. Platelet-rich plasma, plasma rich in growth factors and simvastatin in the regeneration and repair of alveolar bone

    PubMed Central

    RIVERA, CÉSAR; MONSALVE, FRANCISCO; SALAS, JUAN; MORÁN, ANDREA; SUAZO, IVÁN

    2013-01-01

    Platelet preparations promote bone regeneration by inducing cell migration, proliferation and differentiation in the area of the injury, which are essential processes for regeneration. In addition, several studies have indicated that simvastatin (SIMV), widely used for the treatment of hypercholesterolemia, stimulates osteogenesis. The objective of this study was to evaluate the effects of treatment with either platelet-rich plasma (PRP) or plasma rich in growth factors (PRGF) in combination with SIMV in the regeneration and repair of alveolar bone. The jaws of Sprague Dawley rats (n=18) were subjected to rotary instrument-induced bone damage (BD). Animals were divided into six groups: BD/H2O (n=3), distilled water without the drug and alveolar bone damage; BD/H2O/PRP (n=3), BD and PRP; BD/H2O/PRGF (n=3), BD and PRGF; BD/SIMV (n=3), BD and water with SIMV; BD/SIMV/PRP (n=3), BD, PRP and SIMV; and BD/SIMV/PRGF (n=3), BD, PRGF and SIMV. Conventional histological analysis (hematoxylin and eosin staining) revealed that the BD/SIMV group showed indicators for mature bone tissue, while the BD/SIMV/PRP and BD/SIMV/PRGF groups showed the coexistence of indicators for mature and immature bone tissue, with no statistical differences between the platelet preparations. Simvastatin did not improve the effect of platelet-rich plasma and plasma rich in growth factors. It was not possible to determine which platelet preparation produced superior effects. PMID:24250728

  9. Carbon availability and the distribution of denitrifying organisms influence N2O production in the hyporheic zone

    NASA Astrophysics Data System (ADS)

    Farrell, T. B.; Quick, A. M.; Reeder, W. J.; Tonina, D.; Benner, S. G.; Feris, K. P.

    2013-12-01

    It has been estimated that 10% of greenhouse gas N2O emissions take place within river networks, with the majority of these processes occurring in the hyporheic zone (HZ). These emissions are the result of microbially-mediated nitrogen transformations (i.e. nitrification and denitrification) and yet the role of microbial distribution and function in this complex system is not well understood. We hypothesized that the concentration and availability of organic carbon influences the production of redox gradients, DIN (via mineralization, nitrification, and loss of DIN via denitrification), and ultimately N2O production in the HZ by controlling the distribution and activity of denitrifying microbial communities. Further, we hypothesized that by linking the distribution of denitrifying microbial communities and their associated functional genes (i.e. the relative abundance of N2O vs. N2 producing genetic elements) to flow dynamics and biogeochemical processes, we can begin to better understand what controls N2O production in hyporheic networks. To address these hypotheses we performed a series of column experiments designed to determine the influence of carbon concentration on redox gradient development and N2O flux along a one-dimensional flow path. Intact sediment cores were amended with 0.01%, 0.05%, 0.15%, and 0.5% dry mass riparian vegetation (>90% Populus sp.) to serve as an endogenous particulate organic matter (POM) source. During quasi-steady state conditions dissolved oxygen (DO), NH4+, NO3-, and N2O levels were measured. As predicted, a positive relationship between the level of POM amendment and development of a gradient of oxic and anoxic conditions was observed. There was negligible N2O production within columns inoculated with 0.01% and 0.05% DOC likely because these POC treatments were too low to create anoxic conditions necessary to stimulate denitrification. Maximum N2O flux was observed with the 0.15% POC treatment. Both oxic and anoxic conditions

  10. Utilization of useless pesticides in a plasma reactor

    NASA Astrophysics Data System (ADS)

    Lozhechnik, A. V.; Mossé, A. L.; Savchin, V. V.; Skomorokhov, D. S.; Khvedchin, I. V.

    2011-09-01

    Investigations on destruction of isophene C14H18O7N2 and the butyl ether of 2,4-dichlorophenoxyacetic acid (Cl2C6H3OCH2COOCH2CH(CH3)2) are performed. The plasma treatment of toxic waste is implemented in a plasma reactor with a three-jet mixing chamber. Air is used as the plasma-forming gas.

  11. Structural, electronic, and magnetic properties of Y(n)O (n=2-14) clusters: Density functional study.

    PubMed

    Yang, Zhi; Xiong, Shi-Jie

    2008-09-28

    The geometries stability, electronic properties, and magnetism of Y(n)O clusters up to n=14 are systematically studied with density functional theory. In the lowest-energy structures of Y(n)O clusters, the equilibrium site of the oxygen atom gradually moves from an outer site of the cluster, via a surface site, and finally, to an interior site as the number of the Y atoms increases from 2 to 14. Starting from n=12, the O atom falls into the center of the cluster with the Y atoms forming the outer frame. The results show that clusters with n=2, 4, 8, and 12 are more stable than their respective neighbors, and that the total magnetic moments of Y(n)O clusters are all quite small except Y(12)O cluster. The lowest-energy structure of Y(12)O cluster is a perfect icosahedron with a large magnetic moment 6mu(B). In addition, we find that the total magnetic moments are quenched for n=2, 6, and 8 due to the closed-shell electronic configuration. The calculated ionization potentials and electron affinities are in good agreement with the experimental results, which imply that the present theoretical treatments are satisfactory.

  12. Optical emission spectroscopy of magnetically confined laser induced vanadium pentoxide (V2O5) plasma

    NASA Astrophysics Data System (ADS)

    Amin, Saba; Bashir, Shazia; Anjum, Safia; Akram, Mahreen; Hayat, Asma; Waheed, Sadia; Iftikhar, Hina; Dawood, Assadullah; Mahmood, Khaliq

    2017-08-01

    Optical emission spectra of a laser induced plasma of vanadium pentoxide (V2O5) using a Nd:YAG laser (1064 nm, 10 ns) in the presence and absence of the magnetic field of 0.45 T have been investigated. The effect of the magnetic field (B) on the V2O5 plasma at various laser irradiances ranging from 0.64 GW cm-2 to 2.56 GW cm-2 is investigated while keeping the pressure of environmental gases of Ar and Ne constant at 100 Torr. The magnetic field effect on plasma parameters of V2O5 is also explored at different delay times ranging from 0 μs to 10 μs for both environmental gases of Ar and Ne at the laser irradiance of 1.28 GW cm-2. It is revealed that both the emission intensity and electron temperature of the vanadium pentoxide plasma initially increase with increasing irradiance due to the enhanced energy deposition and mass ablation rate. After achieving a certain maximum, both exhibit a decreasing trend or saturation which is attributable to the plasma shielding effect. However, the electron density shows a decreasing trend with increasing laser irradiance. This trend remains the same for both cases, i.e., in the presence and in the absence of magnetic field and for both background gases of Ar and Ne. However, it is revealed that both the electron temperature and electron density of the V2O5 plasma are significantly enhanced in the presence of the magnetic field for both environments at all laser irradiances and delay times, and more pronounced effects are observed at higher irradiances. The enhancement in plasma parameters is attributed to the confinement as well as Joule heating effects caused by magnetic field employment. The confinement of the plasma is also confirmed by the analytically calculated value of magnetic pressure β, which is smaller than plasma pressure at all irradiances and delay times, and therefore confirms the validity of magnetic confinement of the V2O5 plasma.

  13. Highly effective fungal inactivation in He+O2 atmospheric-pressure nonequilibrium plasmas

    NASA Astrophysics Data System (ADS)

    Xiong, Z.; Lu, X. P.; Feng, A.; Pan, Y.; Ostrikov, K.

    2010-12-01

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O2 gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and after the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.

  14. Effect of Rapid Thermal Annealing on the Electrical Characteristics of ZnO Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Remashan, Kariyadan; Hwang, Dae-Kue; Park, Seong-Ju; Jang, Jae-Hyung

    2008-04-01

    Thin-film transistors (TFTs) with a bottom-gate configuration were fabricated with an RF magnetron sputtered undoped zinc oxide (ZnO) channel layer and plasma-enhanced chemical vapor deposition (PECVD) grown silicon nitride as a gate dielectric. Postfabrication rapid thermal annealing (RTA) and subsequent nitrous oxide (N2O) plasma treatment were employed to improve the performance of ZnO TFTs in terms of on-current and on/off current ratio. The RTA treatment increases the on-current of the TFT significantly, but it also increases its off-current. The off-current of 2×10-8 A and on/off current ratio of 3×103 obtained after the RTA treatment were improved to 10-10 A and 105, respectively, by the subsequent N2O plasma treatment. The better device performance can be attributed to the reduction of oxygen vacancies at the top region of the channel due to oxygen incorporation from the N2O plasma. X-ray photoelectron spectroscopy (XPS) analysis of the TFT samples showed that the RTA-treated ZnO surface has more oxygen vacancies than as-deposited samples, which results in the increased drain current. The XPS study also showed that the subsequent N2O plasma treatment reduces oxygen vacancies only at the surface of ZnO so that the better off-current and on/off current ratio can be obtained.

  15. Atomic layer deposition of molybdenum oxide from (N{sup t}Bu){sub 2}(NMe{sub 2}){sub 2}Mo and O{sub 2} plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vos, Martijn F. J.; Macco, Bart; Thissen, Nick F. W.

    2016-01-15

    Molybdenum oxide (MoO{sub x}) films have been deposited by atomic layer deposition using bis(tert-butylimido)-bis(dimethylamido)molybdenum and oxygen plasma, within a temperature range of 50–350 °C. Amorphous film growth was observed between 50 and 200 °C at a growth per cycle (GPC) around 0.80 Å. For deposition temperatures of 250 °C and higher, a transition to polycrystalline growth was observed, accompanied by an increase in GPC up to 1.88 Å. For all deposition temperatures the O/Mo ratio was found to be just below three, indicating the films were slightly substoichiometric with respect to MoO{sub 3} and contained oxygen vacancies. The high purity of the films was demonstratedmore » in the absence of detectable C and N contamination in Rutherford backscattering measurements, and a H content varying between 3 and 11 at. % measured with elastic recoil detection. In addition to the chemical composition, the optical properties are reported as well.« less

  16. Plasma treatment of polymer dielectric films to improve capacitive energy storage

    NASA Technical Reports Server (NTRS)

    Yializis, A.; Binder, M.; Mammone, R. J.

    1994-01-01

    Demand for compact instrumentation, portable field equipment, and new electromagnetic weapons is creating a need for new dielectric materials with higher energy storage capabilities. Recognizing the need for higher energy storage capacitors, the Army Research Lab at Fort Monmouth, NJ, initiated a program a year ago to investigate potential methods for increasing the dielectric strength of polyvinylidene difluoride (PVDF) film, which is the highest energy density material commercially available today. Treatment of small area PVDF films in a CF4/O2 plasma showed that the dielectric strength of PVDF films can be increased by as much as 20 percent when treated in a 96 percent CF4/4 percent O2 plasma. This 44 percent increase in energy storage of a PVDF capacitor is significant considering that the treatment can be implemented in a conventional metallizing chamber, with minimum capital investment. The data shows that improved breakdown strength may be unique to PVDF film and the particular CF4/O2 gas mixture, because PVDF film treated with 100 percent CF4, 100 percent O2, Ar gas plasma, and electron irradiation shows no improvement in breakdown strength. Other data presented includes dissipation factor, dielectric constant, and surface tension measurements.

  17. N2O fluxes at the soil-atmosphere interface in various ecosystems and the global N2O budget

    NASA Technical Reports Server (NTRS)

    Banin, Amos

    1987-01-01

    The overall purpose of this research task is to study the effects of soil properties and ecosystem variables on N2O exchanges at the soil-atmosphere interface, and to assess their effects on the globle N2O budget. Experimental procedures are implemented in various sites to measure the source/sink relations of N2O at the soil-atmosphere interface over prolonged periods of time as part of the research of biogeochemical cycling in terrestrial ecosystems. A data-base for establishing quantitative correlations between N2O fluxes and soil and environmental parameters that are of potential use for remote sensing, is being developed.

  18. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    NASA Astrophysics Data System (ADS)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  19. Stratospheric N2O5, CH4, and N2O profiles from IR solar occultation spectra

    NASA Technical Reports Server (NTRS)

    Camy-Peyret, C.; Flaud, J.-M.; Perrin, A.; Rinsland, C. P.; Goldman, A.; Murcray, F. J.

    1993-01-01

    Stratospheric volume mixing ratio profiles of N2O5, CH4, and N2O have been retrieved from a set of 0.052/cm resolution (FWHM) solar occultation spectra recorded at sunrise during a balloon flight from Aire sur l'Adour, France (44 N latitude) on 12 October 1990. The N2O5 results have been derived from measurements of the integrated absorption by the 1246/cm band. Assuming a total intensity of 4.32 x 10 exp -17 cm/molecule/sq cm independent of temperature, the retrieved N2O5 volume mixing ratios in ppbv, interpolated to 2 km height spacings, are 1.64 +/- 0.49 at 37.5 km, 1.92 +/- 0.56 at 35.5 km, 2.06 +/- 0.47 at 33.5 km, 1.95 +/- 0.42 at 31.5 km, 1.60 +/- 0.33 at 29.5 km, 1.26 +/- 0.28 at 27.5 km, and 0.85 +/- 0.20 at 25.5 km. Error bars indicate the estimated 1-sigma uncertainty including the error in the total band intensity. The retrieved profiles are compared with previous measurements and photochemical model results.

  20. Reducing fertilizer-derived N2O emission: Point injection vs. surface application of ammonium-N fertilizer at a loamy sand site

    NASA Astrophysics Data System (ADS)

    Deppe, Marianna; Well, Reinhard; Giesemann, Anette; Kücke, Martin; Flessa, Heinz

    2013-04-01

    N2O emitted from soil originates either from denitrification of nitrate and/or nitrification of ammonium. N fertilization can have an important impact on N2O emission rates. Injection of nitrate-free ammonium-N fertilizer, in Germany also known as CULTAN (Controlled Uptake Long-Term Ammonium Nutrition), results in fertilizer depots with ammonium concentrations of up to 10 mg N g-1 soil-1. High concentrations of ammonium are known to inhibit nitrification. However, it has not yet been clarified how N2O fluxes are affected by CULTAN. In a field experiment, two application methods of nitrogen fertilizer were used at a loamy sand site: Ammonium sulphate was applied either by point injection or by surface application. 15N-ammonium sulphate was used to distinguish between N2O originating from either fertilizer-N or soil-N. Unfertilized plots and plots fertilized with unlabeled ammonium sulphate served as control. N2O emissions were measured using static chambers, nitrate and ammonium concentrations were determined in soil extracts. Stable isotope analysis of 15N in N2O, nitrate and ammonium was used to calculate the contribution of fertilizer N to N2O emissions and the fertilizer turnover in soil. 15N analysis clearly indicated that fertilizer derived N2O fluxes were higher from surface application plots. For the period of the growing season, about 24% of the flux measured in surface application treatment and less than 10% from injection treatment plots originated from the fertilizer. In addition, a lab experiment was conducted to gain insight into processes leading to N2O emission from fertilizer depots. One aim was to examine whether the ratio of N2O to nitrate formation differs depending on the ammonium concentration. Loamy sand soil was incubated in microcosms continuously flushed with air under conditions favouring nitrification. 15N-labeled nitrate was used to differentiate between nitrification and denitrification. Stable isotope analyses of 15N were performed on

  1. Formation of hydroxyl radicals and kinetic study of 2-chlorophenol photocatalytic oxidation using C-doped TiO2, N-doped TiO2, and C,N Co-doped TiO2 under visible light.

    PubMed

    Ananpattarachai, Jirapat; Seraphin, Supapan; Kajitvichyanukul, Puangrat

    2016-02-01

    This work reports on synthesis, characterization, adsorption ability, formation rate of hydroxyl radicals (OH(•)), photocatalytic oxidation kinetics, and mineralization ability of C-doped titanium dioxide (TiO2), N-doped TiO2, and C,N co-doped TiO2 prepared by the sol-gel method. X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), and UV-visible spectroscopy were used to analyze the titania. The rate of formation of OH(•) for each type of titania was determined, and the OH-index was calculated. The kinetics of as-synthesized TiO2 catalysts in photocatalytic oxidation of 2-chlorophenol (2-CP) under visible light irradiation were evaluated. Results revealed that nitrogen was incorporated into the lattice of titania with the structure of O-Ti-N linkages in N-doped TiO2 and C,N co-doped TiO2. Carbon was joined to the Ti-O-C bond in the C-doped TiO2 and C,N co-doped TiO2. The 2-CP adsorption ability of C,N co-doped TiO2 and C-doped TiO2 originated from a layer composed of a complex carbonaceous mixture at the surface of TiO2. C,N co-doped TiO2 had highest formation rate of OH(•) and photocatalytic activity due to a synergistic effect of carbon and nitrogen co-doping. The order of photocatalytic activity per unit surface area was the same as that of the formation rate of OH(•) unit surface area in the following order: C,N co-doped TiO2 > C-doped TiO2 > N-doped TiO2 > undoped TiO2.

  2. Plasma apolipoprotein O level increased in the patients with acute coronary syndrome

    PubMed Central

    Yu, Bi-lian; Wu, Chen-lu; Zhao, Shui-ping

    2012-01-01

    Apolipoprotein (apo) O is a novel apolipoprotein that is present predominantly in high density lipoprotein (HDL). However, overexpression of apoO does not impact on plasma HDL levels or functionality in human apoA-I transgenic mice. Thus, the physiological function of apoO is not yet known. In the present study, we investigated relationships between plasma apoO levels and high-sensitive C-reactive protein (hs-CRP) levels, as well as other lipid parameters in healthy subjects (n = 111) and patients with established acute coronary syndrome (ACS) (n = 50). ApoO was measured by the sandwich dot-blot technique with recombinant apoO as a protein standard. Mean apoO level in healthy subjects was 2.21 ± 0.83 µg/ml whereas it was 4.94 ± 1.59 µg/ml in ACS patients. There were significant differences in plasma level of apoO between two groups (P < 0.001). In univariate analysis, apoO correlated significantly with lg(hsCRP) (r = 0.48, P < 0.001) in ACS patients. Notably, no significant correlation between apoO and other lipid parameters was observed. Logistic regression analysis showed that plasma apoO level was an independent predictor of ACS (OR = 5.61, 95% CI 2.16–14.60, P < 0.001). In conclusion, apoO increased in ACS patients, and may be regarded as an independent inflammatory predictor of ACS patients. PMID:22693255

  3. Interfacial RhO{sub x}/CeO{sub 2} sites as locations for low temperature N{sub 2}O dissociation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cunningham, J.; Hickey, J.N.; Soria, J.

    Temperatures required for extensive N{sub 2}O dissociation to N{sub 2}, or to N{sub 2} plus O{sub 2}, over 0.5% RhO{sub x}/CeO{sub 2} materials, and over polycrystalline Rh{sub 2}O{sub 3} or CeO{sub 2}, are compared for preoxidised and for prereduced samples on the basis of conversions achieved in pulsed-reactant, continuous-flow and recirculatory microcatalytic reactors. Influences of sample prereduction or preoxidation upon those measurements and upon results from parallel ESR and FTIR studies of N{sub 2}O interactions with such materials are presented and compared. Over partially reduced 0.5% RhO{sub x}/CeO{sub 2} materials complete dissociation of N{sub 2}O pulses to N{sub 2} plusmore » O{sub 2} is obtained at temperatures 50-100{degrees} lower than those required for extensive dissociation over prereduced Rh{sub 2}O{sub 3}. Furthermore, N{sub 2} was the sole product from the latter. Higher ongoing N{sub 2}O conversions to N{sub 2} plus O{sub 2} at 623 K over 0.5% Rh/CeO{sub 2} in pulsed-reactant than in continuous-flow mode point to regeneration of active sites under helium flushing between pulses. The TPD profile for dioxygen release from Rhodia containing samples at temperatures 350-550 K is presented. ESR measurements reveal complementary effects of outgassings at temperatures, T{sub v}, {ge} 573 K upon the availability at RhO{sub x}/CeO{sub 2} surfaces of electron-excess sites reactive towards N{sub 2}O. Differences from observations over Rh{sub 2}O{sub 3} and CeO{sub 2} can be understood by attributing the low-temperature activity of RhO{sub x}/CeO{sub 2} to electron excess sites at microinterfaces between the dispersed Rhodia component and the Ceria support.« less

  4. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  5. Controlling the nitric and nitrous oxide production of an atmospheric pressure plasma jet

    NASA Astrophysics Data System (ADS)

    Douat, Claire; Hubner, Simon; Engeln, Richard; Benedikt, Jan

    2016-09-01

    Atmospheric pressure plasma jets are non-thermal plasmas and have the ability to create reactive species. These features make it a very attractive tool for biomedical applications. In this work, we studied NO and N2O production, which are two species having biomedical properties. NO plays a role in the vascularization and in ulcer treatment, while N2O is used as anesthetic and analgesic gas. In this study, the plasma source is similar to the COST Reference Microplasma Jet (µ-APPJ). Helium is used as feed gas with small admixtures of molecular nitrogen and oxygen of below 1%. The absolute densities of NO and N2O were measured in the effluent of an atmospheric pressure RF plasma jet by means of ex-situ quantum-cascade laser absorption spectroscopy via a multi-pass cell in Herriot configuration. We will show that the species' production is dependent on several parameters such as power, flow and oxygen and nitrogen admixture. The NO and N2O densities are strongly dependent on the N2-O2 ratio. Changing this ratio allows for choosing between a NO-rich or a N2O-rich regime.

  6. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    NASA Astrophysics Data System (ADS)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  7. Photodynamic N-TiO2 Nanoparticle Treatment Induces Controlled ROS-mediated Autophagy and Terminal Differentiation of Leukemia Cells

    PubMed Central

    Moosavi, Mohammad Amin; Sharifi, Maryam; Ghafary, Soroush Moasses; Mohammadalipour, Zahra; Khataee, Alireza; Rahmati, Marveh; Hajjaran, Sadaf; Łos, Marek J.; Klonisch, Thomas; Ghavami, Saeid

    2016-01-01

    In this study, we used nitrogen-doped titanium dioxide (N-TiO2) NPs in conjugation with visible light, and show that both reactive oxygen species (ROS) and autophagy are induced by this novel NP-based photodynamic therapy (PDT) system. While well-dispersed N-TiO2 NPs (≤100 μg/ml) were inert, their photo-activation with visible light led to ROS-mediated autophagy in leukemia K562 cells and normal peripheral lymphocytes, and this increased in parallel with increasing NP concentrations and light doses. At a constant light energy (12 J/cm2), increasing N-TiO2 NP concentrations increased ROS levels to trigger autophagy-dependent megakaryocytic terminal differentiation in K562 cells. By contrast, an ROS challenge induced by high N-TiO2 NP concentrations led to autophagy-associated apoptotic cell death. Using chemical autophagy inhibitors (3-methyladenine and Bafilomycin A1), we confirmed that autophagy is required for both terminal differentiation and apoptosis induced by photo-activated N-TiO2. Pre-incubation of leukemic cells with ROS scavengers muted the effect of N-TiO2 NP-based PDT on cell fate, highlighting the upstream role of ROS in our system. In summary, PDT using N-TiO2 NPs provides an effective method of priming autophagy by ROS induction. The capability of photo-activated N-TiO2 NPs in obtaining desirable cellular outcomes represents a novel therapeutic strategy of cancer cells. PMID:27698385

  8. Formation mechanisms of Si3N4 and Si2N2O in silicon powder nitridation

    NASA Astrophysics Data System (ADS)

    Yao, Guisheng; Li, Yong; Jiang, Peng; Jin, Xiuming; Long, Menglong; Qin, Haixia; Kumar, R. Vasant

    2017-04-01

    Commercial silicon powders are nitrided at constant temperatures (1453 K; 1513 K; 1633 K; 1693 K). The X-ray diffraction results show that small amounts of Si3N4 and Si2N2O are formed as the nitridation products in the samples. Fibroid and short columnar Si3N4 are detected in the samples. The formation mechanisms of Si3N4 and Si2N2O are analyzed. During the initial stage of silicon powder nitridation, Si on the outside of sample captures slight amount of O2 in N2 atmosphere, forming a thin film of SiO2 on the surface which seals the residual silicon inside. And the oxygen partial pressure between the SiO2 film and free silicon is decreasing gradually, so passive oxidation transforms to active oxidation and metastable SiO(g) is produced. When the SiO(g) partial pressure is high enough, the SiO2 film will crack, and N2 is infiltrated into the central section of the sample through cracks, generating Si2N2O and short columnar Si3N4 in situ. At the same time, metastable SiO(g) reacts with N2 and form fibroid Si3N4. In the regions where the oxygen partial pressure is high, Si3N4 is oxidized into Si2N2O.

  9. Acidification Enhances Hybrid N2O Production Associated with Aquatic Ammonia-Oxidizing Microorganisms

    PubMed Central

    Frame, Caitlin H.; Lau, Evan; Nolan, E. Joseph; Goepfert, Tyler J.; Lehmann, Moritz F.

    2017-01-01

    Ammonia-oxidizing microorganisms are an important source of the greenhouse gas nitrous oxide (N2O) in aquatic environments. Identifying the impact of pH on N2O production by ammonia oxidizers is key to understanding how aquatic greenhouse gas fluxes will respond to naturally occurring pH changes, as well as acidification driven by anthropogenic CO2. We assessed N2O production rates and formation mechanisms by communities of ammonia-oxidizing bacteria (AOB) and archaea (AOA) in a lake and a marine environment, using incubation-based nitrogen (N) stable isotope tracer methods with 15N-labeled ammonium (15NH4+) and nitrite (15NO2−), and also measurements of the natural abundance N and O isotopic composition of dissolved N2O. N2O production during incubations of water from the shallow hypolimnion of Lake Lugano (Switzerland) was significantly higher when the pH was reduced from 7.54 (untreated pH) to 7.20 (reduced pH), while ammonia oxidation rates were similar between treatments. In all incubations, added NH4+ was the source of most of the N incorporated into N2O, suggesting that the main N2O production pathway involved hydroxylamine (NH2OH) and/or NO2− produced by ammonia oxidation during the incubation period. A small but significant amount of N derived from exogenous/added 15NO2− was also incorporated into N2O, but only during the reduced-pH incubations. Mass spectra of this N2O revealed that NH4+ and 15NO2− each contributed N equally to N2O by a “hybrid-N2O” mechanism consistent with a reaction between NH2OH and NO2−, or compounds derived from these two molecules. Nitrifier denitrification was not an important source of N2O. Isotopomeric N2O analyses in Lake Lugano were consistent with incubation results, as 15N enrichment of the internal N vs. external N atoms produced site preferences (25.0–34.4‰) consistent with NH2OH-dependent hybrid-N2O production. Hybrid-N2O formation was also observed during incubations of seawater from coastal Namibia

  10. Tunable bandgap energy of fluorinated nanocrystals for flash memory applications produced by low-damage plasma treatment.

    PubMed

    Huang, Chi-Hsien; Lin, Chih-Ting; Wang, Jer-Chyi; Chou, Chien; Ye, Yu-Ren; Cheng, Bing-Ming; Lai, Chao-Sung

    2012-11-30

    A plasma system with a complementary filter to shield samples from damage during tetrafluoromethane (CF(4)) plasma treatment was proposed in order to incorporate fluorine atoms into gadolinium oxide nanocrystals (Gd(2)O(3)-NCs) for flash memory applications. X-ray photoelectron spectroscopy confirmed that fluorine atoms were successfully introduced into the Gd(2)O(3)-NCs despite the use of a filter in the plasma-enhanced chemical vapour deposition system to shield against several potentially damaging species. The number of incorporated fluorine atoms can be controlled by varying the treatment time. The optimized memory window of the resulting flash memory devices was twice that of devices treated by a filterless system because more fluorine atoms were incorporated into the Gd(2)O(3)-NCs film with very little damage. This enlarged the bandgap energy from 5.48 to 6.83 eV, as observed by ultraviolet absorption measurements. This bandgap expansion can provide a large built-in electric field that allows more charges to be stored in the Gd(2)O(3)-NCs. The maximum improvement in the retention characteristic was >60%. Because plasma damage during treatment is minimal, maximum fluorination can be achieved. The concept of simply adding a filter to a plasma system to prevent plasma damage exhibits great promise for functionalization or modification of nanomaterials for advanced nanoelectronics while introducing minimal defects.

  11. Ab initio studies on Al(+)(H(2)O)(n), HAlOH(+)(H(2)O)(n-1), and the size-dependent H(2) elimination reaction.

    PubMed

    Siu, Chi-Kit; Liu, Zhi-Feng; Tse, John S

    2002-09-11

    We report computational studies on Al(+)(H(2)O)(n), and HAlOH(+)(H(2)O)(n-1), n = 6-14, by the density functional theory based ab initio molecular dynamics method, employing a planewave basis set with pseudopotentials, and also by conventional methods with Gaussian basis sets. The mechanism for the intracluster H(2) elimination reaction is explored. First, a new size-dependent insertion reaction for the transformation of Al(+)(H(2)O)(n), into HAlOH(+)(H(2)O)(n-1) is discovered for n > or = 8. This is because of the presence of a fairly stable six-water-ring structure in Al(+)(H(2)O)(n) with 12 members, including the Al(+). This structure promotes acidic dissociation and, for n > or = 8, leads to the insertion reaction. Gaussian based BPW91 and MP2 calculations with 6-31G* and 6-31G** basis sets confirmed the existence of such structures and located the transition structures for the insertion reaction. The calculated transition barrier is 10.0 kcal/mol for n = 9 and 7.1 kcal/mol for n = 8 at the MP2/6-31G** level, with zero-point energy corrections. Second, the experimentally observed size-dependent H(2) elimination reaction is related to the conformation of HAlOH(+)(H(2)O)(n-1), instead of Al(+)(H(2)O)(n). As n increases from 6 to 14, the structure of the HAlOH(+)(H(2)O)(n-1) cluster changes into a caged structure, with the Al-H bond buried inside, and protons produced in acidic dissociation could then travel through the H(2)O network to the vicinity of the Al-H bond and react with the hydride H to produce H(2). The structural transformation is completed at n = 13, coincident approximately with the onset of the H(2) elimination reaction. From constrained ab initio MD simulations, we estimated the free energy barrier for the H(2) elimination reaction to be 0.7 eV (16 kcal/mol) at n = 13, 1.5 eV (35 kcal/mol) at n = 12, and 4.5 eV (100 kcal/mol) at n = 8. The existence of transition structures for the H(2) elimination has also been verified by ab initio calculations

  12. Stratospheric N2O5, CH4, and N2O Profiles from IR Solar Occultation Spectra

    NASA Technical Reports Server (NTRS)

    Peyeret, C. Camy; Flaud, J.-M.; Perrin, A.; Rinsland, C. P.; Goldman, A.; Murcray, F. J.

    1993-01-01

    Stratospheric volume mixing ratio profiles of N2O5, CH4, and N2O have been retrieved from a set of 0.052/ cm resolution (FWHM) solar occultation spectra recorded at sunrise during a balloon flight from Aire sur I'Adour, France (44 deg N latitude) on 12 October 1990. The N2O5 results have been derived from measurements of the integrated absorption by the 1246/ cm band. Assuming a total intensity of 4.32 x 10(exp 17)cm(exp -1) molecule sq cm(exp -2) independent of temperature, the retrieved N2O5 volume mixing ratios in ppbv (parts per billion by volume, 10(exp -9)), interpolated to 2 km height spacings, are 1.64 +/- 0.49 at 37.5 km, 1.92 +/- 0.56 at 35.5 km, 2.06 +/- 0.47 at 33.5 km, 1.95 +/- 0.42 at 31.5 km, 1.60 +/- 0.33 at 29.5 km, 1.26 +/- 0.28 at 27.5 km, and 0.85 +/- 0.20 at 25.5 km. Error bars indicate the estimated I-sigma uncertainty including the error in the total band intensity (+/- 20% has been assumed). The retrieved profiles are compared with previous measurements and photochemical model results.

  13. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    NASA Astrophysics Data System (ADS)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  14. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    NASA Astrophysics Data System (ADS)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  15. Characterizations of GaN film growth by ECR plasma chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Fu, Silie; Chen, Junfang; Zhang, Hongbin; Guo, Chaofen; Li, Wei; Zhao, Wenfen

    2009-06-01

    The electron cyclotron resonance plasma-enhanced metalorganic chemical vapor deposition technology (ECR-MOPECVD) is adopted to grow GaN films on (0 0 0 1) α-Al2O3 substrate. The gas sources are pure N2 and trimethylgallium (TMG). Optical emission spectroscopy (OES) and thermodynamic analysis of GaN growth are applied to understand the GaN growth process. The OES of ECR plasma shows that TMG is significantly dissociated in ECR plasma. Reactants N and Ga in the plasma, obtained easily under the self-heating condition, are essential for the GaN growth. They contribute to the realization of GaN film growth at a relatively low temperature. The thermodynamic study shows that the driving force for the GaN growth is high when N2:TMG>1. Furthermore, higher N2:TMG flow ratio makes the GaN growth easier. Finally, X-ray diffraction, photoluminescence, and atomic force microscope are applied to investigate crystal quality, morphology, and roughness of the GaN films. The results demonstrate that the ECR-MOPECVD technology is favorable for depositing GaN films at low temperatures.

  16. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  17. Effects of dicyandiamide and dolomite application on N2O emission from an acidic soil.

    PubMed

    Shaaban, Muhammad; Wu, Yupeng; Peng, Qi-an; Lin, Shan; Mo, Yongliang; Wu, Lei; Hu, Ronggui; Zhou, Wei

    2016-04-01

    Soil acidification is a major problem for sustainable agriculture since it limits productivity of several crops. Liming is usually adopted to ameliorate soil acidity that can trigger soil processes such as nitrification, denitrification, and loss of nitrogen (N) as nitrous oxide (N2O) emissions. The loss of N following liming of acidic soils can be controlled by nitrification inhibitors (such as dicyandiamide). However, effects of nitrification inhibitors following liming of acidic soils are not well understood so far. Here, we conducted a laboratory study using an acidic soil to examine the effects of dolomite and dicyandiamide (DCD) application on N2O emissions. Three levels of DCD (0, 10, and 20 mg kg(-1); DCD0, DCD10, and DCD20, respectively) were applied to the acidic soil under two levels of dolomite (0 and 1 g kg(-1)) which were further treated with two levels of N fertilizer (0 and 200 mg N kg(-1)). Results showed that N2O emissions were highest at low soil pH levels in fertilizer-treated soil without application of DCD and dolomite. Application of DCD and dolomite significantly (P ≤ 0.001) reduced N2O emissions through decreasing rates of NH4 (+)-N oxidation and increasing soil pH, respectively. Total N2O emissions were reduced by 44 and 13% in DCD20 and dolomite alone treatments, respectively, while DCD20 + dolomite reduced N2O emissions by 54% when compared with DCD0 treatment. The present study suggests that application of DCD and dolomite to acidic soils can mitigate N2O emissions.

  18. Surface stoichiometry modification and improved DC/RF characteristics by plasma treated and annealed AlGaN/GaN HEMTs

    NASA Astrophysics Data System (ADS)

    Upadhyay, Bhanu B.; Takhar, Kuldeep; Jha, Jaya; Ganguly, Swaroop; Saha, Dipankar

    2018-03-01

    We demonstrate that N2 and O2 plasma treatment followed by rapid thermal annealing leads to surface stoichiometry modification in a AlGaN/GaN high electron mobility transistor. Both the source/drain access and gate regions respond positively improving the transistor characteristics albeit to different extents. Characterizations indicate that the surface show the characteristics of that of a higher band-gap material like AlxOy and GaxOy along with N-vacancy in the sub-surface region. The N-vacancy leads to an increased two-dimensional electron gas density. The formation of oxides lead to a reduced gate leakage current and surface passivation. The DC characteristics show increased transconductance, saturation drain current, ON/OFF current ratio, sub-threshold swing and lower ON resistance by a factor of 2.9, 2.0, 103.3 , 2.3, and 2.1, respectively. The RF characteristics show an increase in unity current gain frequency by a factor of 1.7 for a 500 nm channel length device.

  19. Microcosm N2O emissions wth calibration

    EPA Pesticide Factsheets

    The dataset consists of measurements of soil nitrous oxide emissions from soils under three different amendments: glucose, cellulose, and manure. Data includes the four isotopomers of nitrous oxide (14N15N16O, 15N14N16O, 14N14N18O, 14N14N16O), and the site preference.This dataset is associated with the following publication:Chen , H., D. Williams , P. Deshmukh , F. Birgand, B. Maxwell, and J. Walker. Probing the Biological Sources of Soil N2O Emissions by Quantum Cascade Laser-Based 15N Isotopocule Analysis. SOIL SCIENCE SOCIETY OF AMERICA JOURNAL. Soil Science Society of America, Madison, WI, USA, 100(0): 175-181, (2016).

  20. Effects of warming on N2O fluxes in a boreal peatland of Permafrost region, Northeast China.

    PubMed

    Cui, Qian; Song, Changchun; Wang, Xianwei; Shi, Fuxi; Yu, Xueyang; Tan, Wenwen

    2018-03-01

    Climate warming is expected to increasingly influence boreal peatlands and alter their greenhouse gases emissions. However, the effects of warming on N 2 O fluxes and the N 2 O budgets were ignored in boreal peatlands. Therefore, in a boreal peatland of permafrost zone in Northeast China, a simulated warming experiment was conducted to investigate the effects of warming on N 2 O fluxes in Betula. Fruticosa community (B. Fruticosa) and Ledum. palustre community (L. palustre) during the growing seasons from 2013 to 2015. Results showed that warming treatment increased air temperature at 1.5m aboveground and soil temperature at 5cm depth by 0.6°C and 2°C, respectively. The average seasonal N 2 O fluxes ranged from 6.62 to 9.34μgm -2 h -1 in the warming plot and ranged from 0.41 to 4.55μgm -2 h -1 in the control plots. Warming treatment increased N 2 O fluxes by 147% and transformed the boreal peatlands from a N 2 O sink to a source. The primary driving factors for N 2 O fluxes were soil temperature and active layer depth, whereas soil moisture showed a weak correlation with N 2 O fluxes. The results indicated that warming promoted N 2 O fluxes by increasing soil temperature and active layer depth in a boreal peatland of permafrost zone in Northeast China. Moreover, elevated N 2 O fluxes persisted in this region will potentially drive a noncarbon feedback to ongoing climate change. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Diagnostic studies of H2 Ar N2 microwave plasmas containing methane or methanol using tunable infrared diode laser absorption spectroscopy

    NASA Astrophysics Data System (ADS)

    Hempel, F.; Davies, P. B.; Loffhagen, D.; Mechold, L.; Röpcke, J.

    2003-11-01

    Tunable infrared diode laser absorption spectroscopy has been used to detect the methyl radical and nine stable molecules, CH4, CH3OH, C2H2, C2H4, C2H6, NH3, HCN, CH2O and C2N2, in H2-Ar-N2 microwave plasmas containing up to 7% of methane or methanol, under both flowing and static conditions. The degree of dissociation of the hydrocarbon precursor molecules varied between 20% and 97%. The methyl radical concentration was found to be in the range 1012-1013 molecules cm-3. By analysing the temporal development of the molecular concentrations under static conditions it was found that HCN and NH3 are the final products of plasma chemical conversion. The fragmentation rates of methane and methanol (RF(CH4) = (2-7) × 1015 molecules J-1, RF(CH3OH) = (6-9) × 1015 molecules J-1) and the respective conversion rates to methane, hydrogen cyanide and ammonia (RCmax(CH4) = 1.2 × 1015 molecules J-1, RCmax(HCN) = 1.3 × 1015 molecules J-1, RCmax(NH3) = 1 × 1014 molecules J-1) have been determined for different hydrogen to nitrogen concentration ratios. An extensive model of the chemical reactions involved in the H2-N2-Ar-CH4 plasma has been developed. Model calculations were performed by including 22 species, 145 chemical reactions and appropriate electron impact dissociation rate coefficients. The results of the model calculations showed satisfactory agreement between calculated and measured concentrations. The most likely main chemical pathways involved in these plasmas are discussed and an appropriate reaction scheme is proposed.

  2. Photoeletrocatalytic activity of an n-ZnO/p-Cu2O/n-TNA ternary heterojunction electrode for tetracycline degradation.

    PubMed

    Li, Jinhua; Lv, Shubin; Liu, Yanbiao; Bai, Jing; Zhou, Baoxue; Hu, Xiaofang

    2013-11-15

    In this study, a novel ternary heterojunction n-ZnO/p-Cu2O/n-TiO2 nanotube arrays (n-ZnO/p-Cu2O/n-TNA) nanophotocatalyst with a sandwich-like nanostructure was constructed and applied for the photoelectrocatalytic (PEC) degradation of typical PPCPs, tetracycline (TC). The ternary heterojunction n-ZnO/p-Cu2O/n-TNA was obtained by depositing Cu2O on the surface of TNA via sonoelectrochemical deposition (SED) and subsequently building a layer of ZnO onto the p-Cu2O/n-TNA surface through hydrothermal synthesis. After being deposited by the Cu2O, the absorption-band edge of the p-Cu2O/n-TNA was obviously red-shifted to the visible region (to 505 nm), and the band gap was reduced from its original 3.20 eV to 2.46 eV. The band gap absorption edge of the ternary n-ZnO/p-Cu2O/n-TNA is similar to that of p-Cu2O/n-TN and extends the visible spectrum absorption to 510 nm, corresponding to an Eg value of about 2.43 eV. Under illumination of visible light, the photocurrent density of the ternary heterojunction n-ZnO/p-Cu2O/n-TNA electrode at 0.5 V (vs. Ag/AgCl) was more than 106 times as high as that of the pure TNAs electrode, 3.6 times as high as that of the binary heterojunction p-Cu2O/n-TNA electrode. The degradation of TC indicated that the ternary heterojunction n-ZnO/p-Cu2O/n-TNA electrode maintained a very high photoelectrocatalytic activity and excellent stability and reliability. Such kind of ternary heterojunction electrode material has a broad application prospect not only in pollution control but also in many other fields. Copyright © 2013 Elsevier B.V. All rights reserved.

  3. Surface improvement of EPDM rubber by plasma treatment

    NASA Astrophysics Data System (ADS)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  4. Effect of a Cooling Step Treatment on a High-Voltage GaN LED During ICP Dry Etching

    NASA Astrophysics Data System (ADS)

    Lin, Yen-Sheng; Hsiao, Sheng-Yu; Tseng, Chun-Lung; Shen, Ching-Hsing; Chiang, Jung-Sheng

    2017-02-01

    In this study, a lower dislocation density for a GaN surface and a reduced current path are observed at the interface of a SiO2 isolation sidewall, using high-resolution transmission electron microscopy. This is grown using a 3-min cooling step treatment during inductivity coupled plasma dry etching. The lower forward voltage is measured, the leakage current decreases from 53nA to 32nA, and the maximum output power increases from 354.8 W to 357.2 W for an input current of 30 mA. The microstructure and the optoelectronic properties of high-voltage light-emitting-diodes is proven to be affected by the cooling step treatment, which allows enough time to release the thermal energy of the SiO2 isolation well.

  5. N2O emissions in tropical rainforest and rubber plantation, the indicator from landuse changing in Xishuangbanna, Southwest China

    NASA Astrophysics Data System (ADS)

    Zhou, W. J.; Zhu, J.; Ji, H. L.; Zhang, Y.; Zhang, J.; Zheng, X.

    2016-12-01

    To understand the effects of landuse on N2O emissions and local climate change in the tropics, we measured N2O fluxes from a primary tropical rainforest (TRF, with treatments of litter removal and control) and a fertilized rubber plantation (RP, with treatments of fertilization (75 kg N ha-1 yr-1) and unfertilization) at Xishuangbanna, southwest China since 2012. The results have shown: 1) Fertilized RP N2O emission is bimodel, one peak after dry season fertilizer, another after rainy season fertilizer. Otherwise, the unfertilized RP and TRF have the similar seasonal dynamic with one peak in the middle of rainy season. 2) due to the fertilizer influence, the poaitive correlation between soil temperature/soil moisture and N2O was more significantly in unfertilized RP than fertilized RP respectively litter input changed the dominated controller of N2O emission in TRF: litter carbon input and soil DOC content for control treatment and, soil temperature and soil NO3- -N for litter removal treatment. 3) lab incubation indicated denitrification and nitrification as the main source for N2O emission in TRF and RP, respectively. 4) The N2O emissions from the fertilized and unfertilized plots in RP were 4.0 and 2.5 kg N ha-1 yr-1, respectively, from control and litter removal plots in TRF were 0.48 and 0.32 kg N ha-1 yr-1,respectively. 5) 100-year carbon dioxide equivalence of N2O from fertilized RP offsets 5.8% and 31.5% of carbon sink of the rubber plantation and local TRF, respectively. Upscaling it to the whole Xishuangbanna, N2O emissions from fertilized RP offset 17.1% of the tropical rainforest's carbon sink. When tropical rainforests are converted to fertilized rubber plantations, the N2O emission seasonal dynamic and mechanisms changed, the global warming effect is enhanced.

  6. N2O emissions in tropical rainforest and rubber plantation, the indicator from landuse changing in Xishuangbanna, Southwest China

    NASA Astrophysics Data System (ADS)

    Zhou, Wenjun; Zhu, Jing; Ji, Hong-li; Zhang, Yi-Ping; Sha, Li-Qing; Gao, Jin-Bo; Zhang, Jun-Hui; Zheng, Xunhua

    2017-04-01

    To understand the effects of landuse on N2O emissions and local climate change in the tropics, we measured N2O fluxes from a primary tropical rainforest (TRF, with treatments of litter removal and control) and a fertilized rubber plantation (RP, with treatments of fertilization (75 kg N ha-1 yr-1) and unfertilization) at Xishuangbanna, southwest China since 2012. The results have shown: 1) Fertilized RP N2O emission is bimodel, one peak after dry season fertilizer, another after rainy season fertilizer. Otherwise, the unfertilized RP and TRF have the similar seasonal dynamic with one peak in the middle of rainy season. 2) due to the fertilizer influence, the poaitive correlation between soil temperature/soil moisture and N2O was more significantly in unfertilized RP than fertilized RP respectively litter input changed the dominated controller of N2O emission in TRF: litter carbon input and soil DOC content for control treatment and, soil temperature and soil NO3- -N for litter removal treatment. 3) lab incubation indicated denitrification and nitrification as the main source for N2O emission in TRF and RP, respectively. 4) The N2O emissions from the fertilized and unfertilized plots in RP were 4.0 and 2.5 kg N ha-1 yr-1, respectively, from control and litter removal plots in TRF were 0.48 and 0.32 kg N ha-1 yr-1,respectively. 5) 100-year carbon dioxide equivalence of N2O from fertilized RP offsets 5.8% and 31.5% of carbon sink of the rubber plantation and local TRF, respectively. Upscaling it to the whole Xishuangbanna, N2O emissions from fertilized RP offset 17.1% of the tropical rainforest's carbon sink. When tropical rainforests are converted to fertilized rubber plantations, the N2O emission seasonal dynamic and mechanisms changed, the global warming effect is enhanced.

  7. Cesium and strontium ion exchange on the framework titanium silicate M2Ti2O3SiO4.nH2O (M = H, Na).

    PubMed

    Solbrå, S; Allison, N; Waite, S; Mikhalovsky, S V; Bortun, A I; Bortun, L N; Clearfield, A

    2001-02-01

    The ion exchange properties of the titanium silicate, M2Ti2O3SiO4.nH2O (M = H, Na), toward stable and radioactive 137Cs+ and 89Sr2+, have been examined. By studying the cesium and strontium uptake in the presence of NaNO3, CaCl2, NaOH, and HNO3 (in the range of 0.01-6 M) the sodium titanium silicate was found to be an efficient Cs+ ion exchanger in acid, neutral, and alkaline media and an efficient Sr2+ ion exchanger in neutral and alkaline media, which makes it promising for treatment of contaminated environmental media and biological systems.

  8. Microwave Plasma-Activated Chemical Vapor Deposition of Nitrogen-Doped Diamond. II: CH4/N2/H2 Plasmas

    PubMed Central

    2016-01-01

    We report a combined experimental and modeling study of microwave-activated dilute CH4/N2/H2 plasmas, as used for chemical vapor deposition (CVD) of diamond, under very similar conditions to previous studies of CH4/H2, CH4/H2/Ar, and N2/H2 gas mixtures. Using cavity ring-down spectroscopy, absolute column densities of CH(X, v = 0), CN(X, v = 0), and NH(X, v = 0) radicals in the hot plasma have been determined as functions of height, z, source gas mixing ratio, total gas pressure, p, and input power, P. Optical emission spectroscopy has been used to investigate, with respect to the same variables, the relative number densities of electronically excited species, namely, H atoms, CH, C2, CN, and NH radicals and triplet N2 molecules. The measurements have been reproduced and rationalized from first-principles by 2-D (r, z) coupled kinetic and transport modeling, and comparison between experiment and simulation has afforded a detailed understanding of C/N/H plasma-chemical reactivity and variations with process conditions and with location within the reactor. The experimentally validated simulations have been extended to much lower N2 input fractions and higher microwave powers than were probed experimentally, providing predictions for the gas-phase chemistry adjacent to the diamond surface and its variation across a wide range of conditions employed in practical diamond-growing CVD processes. The strongly bound N2 molecule is very resistant to dissociation at the input MW powers and pressures prevailing in typical diamond CVD reactors, but its chemical reactivity is boosted through energy pooling in its lowest-lying (metastable) triplet state and subsequent reactions with H atoms. For a CH4 input mole fraction of 4%, with N2 present at 1–6000 ppm, at pressure p = 150 Torr, and with applied microwave power P = 1.5 kW, the near-substrate gas-phase N atom concentration, [N]ns, scales linearly with the N2 input mole fraction and exceeds the concentrations [NH]ns, [NH2]ns

  9. In vitro antimicrobial effects and mechanism of atmospheric-pressure He/O2 plasma jet on Staphylococcus aureus biofilm

    NASA Astrophysics Data System (ADS)

    Xu, Zimu; Shen, Jie; Cheng, Cheng; Hu, Shuheng; Lan, Yan; Chu, Paul K.

    2017-03-01

    The antimicrobial effects and associated mechanism of inactivation of Staphylococcus aureus (S. aureus) NCTC-8325 biofilms induced by a He/O2 atmospheric-pressure plasma jet (APPJ) are investigated in vitro. According to CFU (colony forming units) counting and the resazurin-based assay, the 10 min He/O2 (0.5%) APPJ treatment produces the optimal inactivation efficacy (>5 log10 ml-1) against the S. aureus biofilm and 5% of the bacteria enter a viable but non-culturable (VBNC) state. Meanwhile, 94% of the bacteria suffer from membrane damage according to SYTO 9/PI counterstaining. Scanning electron microscopy (SEM) reveals that plasma exposure erodes the extracellular polymeric substances (EPS) and then the cellular structure. The H2DCFDA-stained biofilms show larger concentrations of intracellular reactive oxygen species (ROS) in membrane-intact bacteria with increasing plasma dose. The admixture of oxygen in the working gas highly contributes to the deactivation efficacy of the APPJ against S. aureus and the plasma-induced endogenous ROS may work together with the discharge-generated ROS to continuously damage the bacterial membrane structure leading to deactivation of the biofilm microbes.

  10. Surveying N2O-producing pathways in bacteria.

    PubMed

    Stein, Lisa Y

    2011-01-01

    Nitrous oxide (N(2)O) is produced by bacteria as an intermediate of both dissimilatory and detoxification pathways under a range of oxygen levels, although the majority of N(2)O is released in suboxic to anoxic environments. N(2)O production under physiologically relevant conditions appears to require the reduction of nitric oxide (NO) produced from the oxidation of hydroxylamine (nitrification), reduction of nitrite (denitrification), or by host cells of pathogenic bacteria. In a single bacterial isolate, N(2)O-producing pathways can be complex, overlapping, involve multiple enzymes with the same function, and require multiple layers of regulatory machinery. This overview discusses how to identify known N(2)O-producing inventory and regulatory sequences within bacterial genome sequences and basic physiological approaches for investigating the function of that inventory. A multitude of review articles have been published on individual enzymes, pathways, regulation, and environmental significance of N(2)O-production encompassing a large diversity of bacterial isolates. The combination of next-generation deep sequencing platforms, emerging proteomics technologies, and basic microbial physiology can be used to expand what is known about N(2)O-producing pathways in individual bacterial species to discover novel inventory and unifying features of pathways. A combination of approaches is required to understand and generalize the function and control of N(2)O production across a range of temporal and spatial scales within natural and host environments. Copyright © 2011 Elsevier Inc. All rights reserved.

  11. Improved mechanical performance of PBO fiber-reinforced bismaleimide composite using mixed O2/Ar plasma

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Chen, Ping; Yu, Qi; Ma, Keming; Ding, Zhenfeng

    2014-06-01

    The mixed O2/Ar plasma was employed to enhance mechanical properties of the PBO/bismaleimide composite. The interlaminar shear strength was improved to 61.6 MPa or by 38.1%, but the composite brittleness increased. The plasma gas compositions exhibited notable effects on the interfacial adhesion strength. XPS results suggested that the mixed plasma presented higher activation effects on the surface chemical compositions than pure gas plasmas and a larger number of oxygen atoms and hydrophilic groups were introduced on the fiber surface due to the synergy effect, but the synergy effect was considerably performed only within the O2 percentage range of 40-60%. The fibers surface was increasingly etched with growing the O2 contents in the plasma, deteriorating the fibers tensile strength. SEM micrographs demonstrated that the composite shear fracture changed from debonding to cohesive failure in the matrices, and the improving mechanisms were discussed.

  12. Construction of 1D SnO2-coated ZnO nanowire heterojunction for their improved n-butylamine sensing performances

    NASA Astrophysics Data System (ADS)

    Wang, Liwei; Li, Jintao; Wang, Yinghui; Yu, Kefu; Tang, Xingying; Zhang, Yuanyuan; Wang, Shaopeng; Wei, Chaoshuai

    2016-10-01

    One-dimensional (1D) SnO2-coated ZnO nanowire (SnO2/ZnO NW) N-N heterojunctions were successfully constructed by an effective solvothermal treatment followed with calcination at 400 °C. The obtained samples were characterized by means of XRD, SEM, TEM, Scanning TEM coupled with EDS and XPS analysis, which confirmed that the outer layers of N-type SnO2 nanoparticles (avg. 4 nm) were uniformly distributed onto our pre-synthesized n-type ZnO nanowire supports (diameter 80~100 nm, length 12~16 μm). Comparisons of the gas sensing performances among pure SnO2, pure ZnO NW and the as-fabricated SnO2/ZnO NW heterojunctions revealed that after modification, SnO2/ZnO NW based sensor exhibited remarkably improved response, fast response and recovery speeds, good selectivity and excellent reproducibility to n-butylamine gas, indicating it can be used as promising candidates for high-performance organic amine sensors. The enhanced gas-sensing behavior should be attributed to the unique 1D wire-like morphology of ZnO support, the small size effect of SnO2 nanoparticles, and the semiconductor depletion layer model induced by the strong interfacial interaction between SnO2 and ZnO of the heterojunctions. The as-prepared SnO2/ZnO NW heterojunctions may also supply other novel applications in the fields like photocatalysis, lithium-ion batteries, waste water purification, and so on.

  13. Effects of chronic nitric oxide synthase inhibition on V'O2max and exercise capacity in mice.

    PubMed

    Wojewoda, M; Przyborowski, K; Sitek, B; Zakrzewska, A; Mateuszuk, L; Zoladz, J A; Chlopicki, S

    2017-03-01

    Acute inhibition of NOS by L-NAME (N ω -nitro-L-arginine methyl ester) is known to decrease maximal oxygen consumption (V'O 2max ) and impair maximal exercise capacity, whereas the effects of chronic L-NAME treatment on V'O 2max and exercise performance have not been studied so far. In this study, we analysed the effect of L-NAME treatment, (LN2 and LN12, respectively) on V'O 2max and exercise capacity (in maximal incremental running and prolonged sub-maximal incremental running tests), systemic NO bioavailability (plasma nitrite (NO 2 - ) and nitrate (NO 3 - )) and prostacyclin (PGI 2 ) production in C57BL6/J mice. Mice treated with L-NAME for 2 weeks (LN2) displayed higher V'O 2max and better running capacity than age-matched control mice. In LN2 mice, NO bioavailability was preserved, as evidenced by maintained NO 2 - plasma concentration. PGI 2 production was activated (increased 6-keto-PGF 1α plasma concentration) and the number of circulating erythrocytes (RBC) and haemoglobin concentration were increased. In mice treated with L-NAME for 12 weeks (LN12), NO bioavailability was decreased (lower NO 2 - plasma concentration), and 6-keto-PGF 1α plasma concentration and RBC number were not elevated compared to age-matched control mice. However, LN12 mice still performed better during the maximal incremental running test despite having lower V'O 2max . Interestingly, the LN12 mice showed poorer running capacity during the prolonged sub-maximal incremental running test. To conclude, short-term (2 weeks) but not long-term (12 weeks) treatment with L-NAME activated robust compensatory mechanisms involving preservation of NO2- plasma concentration, overproduction of PGI 2 and increased number of RBCs, which might explain the fully preserved exercise capacity despite the inhibition of NOS.

  14. Cross section data sets for electron collisions with H2, O2, CO, CO2, N2O and H2O

    NASA Astrophysics Data System (ADS)

    Anzai, K.; Kato, H.; Hoshino, M.; Tanaka, H.; Itikawa, Y.; Campbell, L.; Brunger, M. J.; Buckman, S. J.; Cho, H.; Blanco, F.; Garcia, G.; Limão-Vieira, P.; Ingólfsson, O.

    2012-02-01

    We review earlier cross section data sets for electron-collisions with H2, O2, CO, CO2, H2O and N2O, updated here by experimental results for their electronic states. Based on our recent measurements of differential cross sections for the electronic states of those molecules, integral cross sections (ICSs) are derived by applying a generalized oscillator strength analysis and then assessed against theory (BE f-scaling [Y.-K. Kim, J. Chem. Phys. 126, 064305 (2007)]). As they now represent benchmark electronic state cross sections, those ICSs for the above molecules are added into the original cross section sets taken from the data reviews for H2, O2, CO2 and H2O (the Itikawa group), and for CO and N2O (the Zecca group).

  15. [Research advances in control of N2O emission from municipal solid waste landfill sites].

    PubMed

    Cai, Chuan-Yu; Li, Bo; Lü, Hao-Hao; Wu, Wei-Xiang

    2012-05-01

    Landfill is one of the main approaches for municipal solid waste treatment, and landfill site is a main emission source of greenhouse gases nitrous oxide (N2O) and methane (CH4). As a high-efficient trace greenhouse gas, N2O has a very high warming potential, with a warming capacity 296 times of CO2, and has a long-term stability in atmosphere, giving greater damage to the ozone layer. Aiming at the researches in the control of N2O emission from municipal solid waste landfill sites, this paper summarized the characteristics and related affecting factors of the N2O emission from the landfill sites, and put forward a series of the measures adaptable to the N2O emission control of present municipal solid waste landfill sites in China. Some further research focuses on the control of N2O emission from the landfill sites were also presented.

  16. Effect of dolomite and biochar addition on N2O and CO2 emissions from acidic tea field soil

    PubMed Central

    Win, Khin Thuzar; Shibata, Akira; Yamamoto, Akinori; Sano, Tomohito; Hirono, Yuhei

    2018-01-01

    A laboratory study was conducted to study the effects of liming and different biochar amendments on N2O and CO2 emissions from acidic tea field soil. The first experiment was done with three different rates of N treatment; N 300 (300 kg N ha-1), N 600 (600 kg N ha-1) and N 900 (900 kg N ha-1) and four different rates of bamboo biochar amendment; 0%, 0.5%, 1% and 2% biochar. The second experiment was done with three different biochars at a rate of 2% (rice husk, sawdust, and bamboo) and a control and lime treatment (dolomite) and control at two moisture levels (50% and 90% water filled pore space (WFPS)). The results showed that dolomite and biochar amendment significantly increased soil pH. However, only biochar amendment showed a significant increase in total carbon (C), C/N (the ratio of total carbon and total nitrogen), and C/IN ratio (the ratio of total carbon and inorganic nitrogen) at the end of incubation. Reduction in soil NO3--N concentration was observed under different biochar amendments. Bamboo biochar with the rates of 0.5, 1 and 2% reduced cumulative N2O emission by 38%, 48% and 61%, respectively, compare to the control soil in experiment 1. Dolomite and biochar, either alone or combined significantly reduced cumulative N2O emission by 4.6% to 32.7% in experiment 2. Reduction in N2O production under biochar amendment was due to increases in soil pH and decreases in the magnitude of mineral-N in soil. Although, both dolomite and biochar increased cumulative CO2 emission, only biochar amendment had a significant effect. The present study suggests that application of dolomite and biochar to acidic tea field soil can mitigate N2O emissions. PMID:29394272

  17. Effect of dolomite and biochar addition on N2O and CO2 emissions from acidic tea field soil.

    PubMed

    Oo, Aung Zaw; Sudo, Shigeto; Akiyama, Hiroko; Win, Khin Thuzar; Shibata, Akira; Yamamoto, Akinori; Sano, Tomohito; Hirono, Yuhei

    2018-01-01

    A laboratory study was conducted to study the effects of liming and different biochar amendments on N2O and CO2 emissions from acidic tea field soil. The first experiment was done with three different rates of N treatment; N 300 (300 kg N ha-1), N 600 (600 kg N ha-1) and N 900 (900 kg N ha-1) and four different rates of bamboo biochar amendment; 0%, 0.5%, 1% and 2% biochar. The second experiment was done with three different biochars at a rate of 2% (rice husk, sawdust, and bamboo) and a control and lime treatment (dolomite) and control at two moisture levels (50% and 90% water filled pore space (WFPS)). The results showed that dolomite and biochar amendment significantly increased soil pH. However, only biochar amendment showed a significant increase in total carbon (C), C/N (the ratio of total carbon and total nitrogen), and C/IN ratio (the ratio of total carbon and inorganic nitrogen) at the end of incubation. Reduction in soil NO3--N concentration was observed under different biochar amendments. Bamboo biochar with the rates of 0.5, 1 and 2% reduced cumulative N2O emission by 38%, 48% and 61%, respectively, compare to the control soil in experiment 1. Dolomite and biochar, either alone or combined significantly reduced cumulative N2O emission by 4.6% to 32.7% in experiment 2. Reduction in N2O production under biochar amendment was due to increases in soil pH and decreases in the magnitude of mineral-N in soil. Although, both dolomite and biochar increased cumulative CO2 emission, only biochar amendment had a significant effect. The present study suggests that application of dolomite and biochar to acidic tea field soil can mitigate N2O emissions.

  18. Electrical, optical, and photoluminescence properties of ZnO films subjected to thermal annealing and treatment in hydrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abdullin, Kh. A.; Gabdullin, M. T.; Gritsenko, L. V.

    The photoluminescence and optical absorption spectra and electrical properties of ZnO films grown by the metal–organic chemical vapor deposition and hydrothermal techniques, subjected to heat treatments and plasma treatment in a hydrogen atmosphere, are studied. It is shown that the adsorption of oxygen at grain boundaries upon annealing in an oxidizing atmosphere determines the electrical properties of the films. Vacuum annealing improves the electrical properties of the samples after degradation induced by annealing in air. Treatment in hydrogen plasma passivates surface states at the grain boundaries. The intrinsic photoluminescence intensity after plasma treatment is higher in the case of increasedmore » amounts of oxygen adsorbed at grain surfaces upon annealing in air. Surface states involving oxygen and hydrogen atoms are responsible for the high-intensity intrinsic photoluminescence band.« less

  19. Plasma Spray Synthesis Of Nanostructured V2O5 Films For Electrical Energy Storage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nanda, Jagjit

    We demonstrate for the first time, the synthesis of nanostructured vanadium pentoxide (V2O5) films and coatings using plasma spray technique. V2O5 has been used in several applications such as catalysts, super-capacitors and also as an electrode material in lithium ion batteries. In the present studies, V2O5 films were synthesized using liquid precursors (vanadium oxychloride and ammonium metavanadate) and powder suspension. In our approach, the precursors were atomized and injected radially into the plasma gun for deposition on the substrates. During the flight towards the substrate, the high temperature of the plasma plume pyrolyzes the precursor particles resulting into the desiredmore » film coatings. These coatings were then characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), Transmission electron microscopy (TEM) and Differential Scanning Calorimetry (DSC). Among the precursors, vanadium oxychloride gave the best results in terms of nanocrystalline and monophasic films. Spraying of commercial powder suspension yielded multi-phasic mixture in the films. Our approach enables deposition of large area coatings of high quality nanocrystalline films of V2O5 with controllable particle morphology. This has been optimized by means of control over precursor composition and plasma spray conditions. Initial electrochemical studies of V2O5 film electrodes show potential for energy storage studies.« less

  20. Thermal plasma treatment of stormwater sediments: comparison between DC non-transferred and partially transferred arc plasma.

    PubMed

    Li, O L; Guo, Y; Chang, J S; Saito, N

    2015-01-01

    The disposal of enormous amount of stormwater sediments becomes an emerging worldwide problem. Stormwater sediments are contaminated by heavy metals, phosphorus, trace organic and hydrocarbons, and cannot be disposed without treatment. Thermal plasma decontamination technology offers a high decomposition rate in a wide range of toxic organic compound and immobilization of heavy metal. In this study, we compared the treatment results between two different modes of thermal plasma: (1) a non-transferred direct current (DC) mode and (2) a partial DC-transferred mode. The reductions of total organic carbon (TOC) were, respectively, 25% and 80% for non-transferred and partially transferred plasma, respectively. Most of the toxic organic compounds were converted majorly to CxHy. In the gaseous emission, the accumulated CxHy, CO, NO and H2S were significantly higher in partially transferred mode than in non-transferred mode. The solid analysis demonstrated that the concentrations of Ca and Fe were enriched by 500% and 40%, respectively. New chemical compositions such as KAlSi3O8, Fe3O4, NaCl and CaSO4 were formed after treatment in partially DC-transferred mode. The power inputs were 1 and 10 kW, respectively, for non-transferred DC mode and a partially DC-transferred mode. With a lower energy input, non-transferred plasma treatment can be used for decontamination of sediments with low TOC and metal concentration. Meanwhile, partially transferred thermal plasma with higher energy input is suitable for treating sediments with high TOC percentage and volatile metal concentration. The organic compounds are converted into valuable gaseous products which can be recycled as an energy source.

  1. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  2. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE PAGES

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram; ...

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  3. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  4. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2-x.

    PubMed

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3  S m -1 , relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2 . The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.

  5. CO2 conversion in non-thermal plasma and plasma/g-C3N4 catalyst hybrid processes

    NASA Astrophysics Data System (ADS)

    Lu, Na; Sun, Danfeng; Zhang, Chuke; Jiang, Nan; Shang, Kefeng; Bao, Xiaoding; Li, Jie; Wu, Yan

    2018-03-01

    Carbon dioxide conversion at atmosphere pressure and low temperature has been studied in a cylindrical dielectric barrier discharge (DBD) reactor. Pure CO2 feed flows to the discharge zone and typical filamentary discharges were obtained in each half-cycle of the applied voltage. The gas temperature increased with discharge time and discharge power, which was found to affect the CO2 decomposition deeply. As the DBD reactor was cooled to ambient temperature, both the conversion of CO2 and the CO yield were enhanced. Especially the energy efficiencies changed slightly with the increase of discharge power and were much higher in cooling condition comparing to those without cooling. At a discharge power of 40 W, the energy efficiency under cooling condition was approximately six times more than that without cooling. Gas flow rate was observed to affect CO2 conversion and 0.1 L min-1 was obtained as optimum gas flow rate under cooling condition. In addition, the CO2 conversion rate in plasma/g-C3N4 catalyst hybrid system was twice times as that in plasma-alone system. In case of cooling, the existence of g-C3N4 catalyst contributed to a 47% increase of CO2 conversion compared to the sole plasma process. The maximum energy-efficiency with g-C3N4 was 0.26 mmol kJ-1 at 20 W, which increased by 157% compared to that without g-C3N4. The synergistic effect of DBD plasma with g-C3N4 on pure CO2 conversion was verified.

  6. Plasma-assisted catalytic storage reduction system

    DOEpatents

    Penetrante, Bernardino M.; Vogtlin, George E.; Merritt, Bernard T.; Brusasco, Raymond M.

    2000-01-01

    A two-stage method for NO.sub.x reduction in an oxygen-rich engine exhaust comprises a plasma oxidative stage and a storage reduction stage. The first stage employs a non-thermal plasma treatment of NO.sub.x gases in an oxygen-rich exhaust and is intended to convert NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons. The second stage employs a lean NO.sub.x trap to convert such NO.sub.2 to environmentally benign gases that include N.sub.2, CO.sub.2, and H.sub.2 O. By preconverting NO to NO.sub.2 in the first stage with a plasma, the efficiency of the second stage for NO.sub.x reduction is enhanced. For example, an internal combustion engine exhaust is connected by a pipe to a first chamber in which a non-thermal plasma converts NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons, such as propene. A flow of such hydrocarbons (C.sub.x H.sub.y) is input from usually a second pipe into at least a portion of the first chamber. The NO.sub.2 from the plasma treatment proceeds to a storage reduction catalyst (lean NO.sub.x trap) that converts NO.sub.2 to N.sub.2, CO.sub.2, and H.sub.2 O, and includes a nitrate-forming catalytic site. The hydrocarbons and NO.sub.x are simultaneously reduced while passing through the lean-NO.sub.x trap catalyst. The method allows for enhanced NO.sub.x reduction in vehicular engine exhausts, particularly those having relatively high sulfur contents.

  7. Plasma-assisted catalytic storage reduction system

    DOEpatents

    Penetrante, Bernardino M.; Vogtlin, George E.; Merritt, Bernard T.; Brusasco, Raymond M.

    2002-01-01

    A two-stage method for NO.sub.x reduction in an oxygen-rich engine exhaust comprises a plasma oxidative stage and a storage reduction stage. The first stage employs a non-thermal plasma treatment of NO.sub.x gases in an oxygen-rich exhaust and is intended to convert NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons. The second stage employs a lean NO.sub.x trap to convert such NO.sub.2 to environmentally benign gases that include N.sub.2, CO.sub.2, and H.sub.2 O. By preconverting NO to NO.sub.2 in the first stage with a plasma, the efficiency of the second stage for NO.sub.x reduction is enhanced. For example, an internal combustion engine exhaust is connected by a pipe to a first chamber in which a non-thermal plasma converts NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons, such as propene. A flow of such hydrocarbons (C.sub.x H.sub.y) is input from usually a second pipe into at least a portion of the first chamber. The NO.sub.2 from the plasma treatment proceeds to a storage reduction catalyst (lean NO.sub.x trap) that converts NO.sub.2 to N.sub.2, CO.sub.2, and H.sub.2 O, and includes a nitrate-forming catalytic site. The hydrocarbons and NO.sub.x are simultaneously reduced while passing through the lean-NO.sub.x trap catalyst. The method allows for enhanced NO.sub.x reduction in vehicular engine exhausts, particularly those having relatively high sulfur contents.

  8. Effects of Electric Discharge Plasma Treatment on the Thermal Conductivity of Polymer-Metal Nitride/Carbide Composites

    NASA Astrophysics Data System (ADS)

    Parali, Levent; Kurbanov, Mirza A.; Bayramov, Azad A.; Tatardar, Farida N.; Sultanakhmedova, Ramazanova I.; Xanlar, Huseynova Gulnara

    2015-11-01

    High-density polymer composites with semiconductor or dielectric fillers such as aluminum nitride (AIN), aluminum oxide (Al2O3), titanium carbide (TiC), titanium nitride (TiN), boron nitride (BN), silicon nitride (Si3N4), and titanium carbonitride (TiCN) were prepared by the hot pressing method. Each powder phase of the composites was exposed to an electric discharge plasma process before composite formation. The effects of the electric discharge plasma process and the filler content (volume fraction) on the thermal conductivity, volt-ampere characteristics, thermally stimulated depolarization current, as well as electrical and mechanical strength were investigated. The results of the study indicate that, with increasing filler volume fraction, the thermal conductivity of the samples also increased. Furthermore, the thermal conductivity, and electrophysical and mechanical properties of the high-density polyethylene + 70% BN composite modified using the electric discharge plasma showed improvement when compared with that without electric discharge plasma treatment.

  9. N2O production, a widespread trait in fungi

    NASA Astrophysics Data System (ADS)

    Maeda, Koki; Spor, Aymé; Edel-Hermann, Véronique; Heraud, Cécile; Breuil, Marie-Christine; Bizouard, Florian; Toyoda, Sakae; Yoshida, Naohiro; Steinberg, Christian; Philippot, Laurent

    2015-04-01

    N2O is a powerful greenhouse gas contributing both to global warming and ozone depletion. While fungi have been identified as a putative source of N2O, little is known about their production of this greenhouse gas. Here we investigated the N2O-producing ability of a collection of 207 fungal isolates. Seventy strains producing N2O in pure culture were identified. They were mostly species from the order Hypocreales order--particularly Fusarium oxysporum and Trichoderma spp.--and to a lesser extent species from the orders Eurotiales, Sordariales, and Chaetosphaeriales. The N2O 15N site preference (SP) values of the fungal strains ranged from 15.8‰ to 36.7‰, and we observed a significant taxa effect, with Penicillium strains displaying lower SP values than the other fungal genera. Inoculation of 15 N2O-producing strains into pre-sterilized arable, forest and grassland soils confirmed the ability of the strains to produce N2O in soil with a significant strain-by-soil effect. The copper-containing nitrite reductase gene (nirK) was amplified from 45 N2O-producing strains, and its genetic variability showed a strong congruence with the ITS phylogeny, indicating vertical inheritance of this trait. Taken together, this comprehensive set of findings should enhance our knowledge of fungi as a source of N2O in the environment.

  10. N2O production, a widespread trait in fungi.

    PubMed

    Maeda, Koki; Spor, Aymé; Edel-Hermann, Véronique; Heraud, Cécile; Breuil, Marie-Christine; Bizouard, Florian; Toyoda, Sakae; Yoshida, Naohiro; Steinberg, Christian; Philippot, Laurent

    2015-04-20

    N2O is a powerful greenhouse gas contributing both to global warming and ozone depletion. While fungi have been identified as a putative source of N2O, little is known about their production of this greenhouse gas. Here we investigated the N2O-producing ability of a collection of 207 fungal isolates. Seventy strains producing N2O in pure culture were identified. They were mostly species from the order Hypocreales order-particularly Fusarium oxysporum and Trichoderma spp.-and to a lesser extent species from the orders Eurotiales, Sordariales, and Chaetosphaeriales. The N2O (15)N site preference (SP) values of the fungal strains ranged from 15.8‰ to 36.7‰, and we observed a significant taxa effect, with Penicillium strains displaying lower SP values than the other fungal genera. Inoculation of 15 N2O-producing strains into pre-sterilized arable, forest and grassland soils confirmed the ability of the strains to produce N2O in soil with a significant strain-by-soil effect. The copper-containing nitrite reductase gene (nirK) was amplified from 45 N2O-producing strains, and its genetic variability showed a strong congruence with the ITS phylogeny, indicating vertical inheritance of this trait. Taken together, this comprehensive set of findings should enhance our knowledge of fungi as a source of N2O in the environment.

  11. Efficiency improvement of InGaN light emitting diodes with embedded self-assembled SiO2 nanosphere arrays

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Fan, Chao; Chen, Yu; Hu, Qiang; Li, Jinmin

    2014-05-01

    In this study, the periodic SiO2 nanosphere nanopatterned sapphire substrate (SiO2-NPSS) was made using self-assembled SiO2 nanosphere monolayer template and inductively coupled plasma (ICP) etching. And the self-assembled SiO2 nanosphere monolayer was directly embedded into the GaN/sapphire interface by nanoscale epitaxial lateral overgrowth (NELOG). For comparison, a common nanopatterned sapphire substrate (C-NPSS) was also made through dry etching with the SiO2 nanospheres used as the mask. Compared with LEDs grown on C-NPSS and flat sapphire substrate (FSS), the external quantum efficiency of LEDs with SiO2 nanopheres (SiO2-NPSS) was increased by 30.7% and 81.9% under a driving current 350 mA. The SiO2-NPSS not only improved the crystalline quality of GaN but also enhanced the light extraction efficiency (LEE) of LED. And the SiO2-NPSS LED also showed more light in vertical direction and more uniform light distribution. By finite-difference time-domain (FDTD) simulation, we confirmed that more light could be reflected from the GaN/SiO2 interface than the GaN/sapphire interface because the refractive index of SiO2 was lower than that of sapphire. Therefore, LED grown on the SiO2-NPSS showed superior light extraction efficiency compared to that on C-NPSS.

  12. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  13. Technical Note: Simultaneous measurement of sedimentary N2 and N2O production and a modified 15N isotope pairing technique

    NASA Astrophysics Data System (ADS)

    Hsu, T.-C.; Kao, S.-J.

    2013-12-01

    Dinitrogen (N2) and/or nitrous oxide (N2O) are produced through denitrification, anaerobic ammonium oxidation (anammox) or nitrification in sediments, of which entangled processes complicate the absolute rate estimations of gaseous nitrogen production from individual pathways. The classical isotope pairing technique (IPT), the most common 15N nitrate enrichment method to quantify denitrification, has recently been modified by different researchers to (1) discriminate between the N2 produced by denitrification and anammox or to (2) provide a more accurate denitrification rate under considering production of both N2O and N2. In case 1, the revised IPT focused on N2 production being suitable for the environments of a low N2O-to-N2 production ratio, while in case 2, anammox was neglected. This paper develops a modified method to refine previous versions of IPT. Cryogenic traps were installed to separately preconcentrate N2 and N2O, thus allowing for subsequent measurement of the two gases generated in one sample vial. The precision is better than 2% for N2 (m/z 28, m/z 29 and m/z 30), and 1.5% for N2O (m/z 44, m/z 45 and m/z 46). Based on the six m/z peaks of the two gases, the 15N nitrate traceable processes including N2 and N2O from denitrification and N2 from anammox were estimated. Meanwhile, N2O produced by nitrification was estimated via the production rate of unlabeled 44N2O. To validate the applicability of our modified method, incubation experiments were conducted using sediment cores taken from the Danshuei Estuary in Taiwan. Rates of the aforementioned nitrogen removal processes were successfully determined. Moreover, N2O yield was as high as 66%, which would significantly bias previous IPT approaches if N2O was not considered. Our modified method not only complements previous versions of IPT but also provides more comprehensive information to advance our understanding of nitrogen dynamics of the water-sediment interface.

  14. Plasma membrane damage to Candida albicans caused by chlorine dioxide (ClO2).

    PubMed

    Wei, M-K; Wu, Q-P; Huang, Q; Wu, J-L; Zhang, J-M

    2008-08-01

    To investigate the plasma membrane damage of chlorine dioxide (ClO(2)) to Candida albicans ATCC10231 at or below the minimal fungicidal concentration (MFC). ClO(2) at MFC or below was adopted to treat the cell suspensions of C. albicans ATCC10231. Using transmission electron microscopy, no visible physiological alteration of cell shape and plasma membrane occurred. Potassium (K(+)) leakages were significant; likewise, it showed time- and dose-dependent increases. However, adenosine triphosphate (ATP) leakages were very slight. Research shows that when 99% of the cells were inactivated, the leakage was measured at 0.04% of total ATP. Compared with the mortality-specific fluorescent dye of DiBAC(4)(3), majority of the inactivated cells were poorly stained by propidium iodide, another mortality-specific fluorescent dye which can be traced by flow cytometry. At or below MFC, ClO(2) damages the plasma membranes of C. albicans mainly by permeabilization, rather than by the disruption of their integrity. K(+) leakage and the concomitant depolarization of the cell membrane are some of the critical events. These insights into membrane damages are helpful in understanding the action mode of ClO(2).

  15. Collisional Removal of OH (X (sup 2)Pi, nu=7) by O2, N2, CO2, and N2O

    NASA Technical Reports Server (NTRS)

    Knutsen, Karen; Dyer, Mark J.; Copeland, Richard A.

    1996-01-01

    Collisional removal rate constants for the OH (X 2PI, nu = 7) radical are measured for the colliders O2, CO2, and N2O, and an upper limit is established for N2. OH(nu = 4) molecules, generated in a microwave discharge flow cell by the reaction of hydrogen atoms with ozone, are excited to v = 7 by the output of a pulsed infrared laser via direct vibrational overtone excitation. The temporal evolution of the P = 7 population is probed as a function of the collider gas partial pressure by a time-delayed pulsed ultraviolet laser. Fluorescence from the B 21 + state is detected in the visible spectral region.

  16. [Effects of different fertilization measures on N2O emission in oil sunflower field in irrigation area of upper Yellow River].

    PubMed

    Chen, Zhe; Chen, Yuan-yuan; Gao, Ji; Liu, Ru-liang; Yang, Zheng-li; Zhang, Ai-ping

    2015-01-01

    Agricultural soil has become the largest anthropogenic source of atmospheric nitrous oxide (N20). To estimate the impacts of long-term combined application of organic and inorganic fertilizers on N20 emission in a typical winter wheat-oil sunflower cropping system in the Ningxia irrigation area, we measured N20 fluxes using the static opaque chamber-gas chromatograph method and monitored the seasonal dynamics of related factors. Our results showed that nitrogen addition in the previous crop field significantly stimulated N2O emissions during the following oil-sunflower cultivation, and the mean fluxes of N300-OM, N240-OM1/2, N300 and N240 were (34.16 ± 9.72), (39.69 ±10.70), (27.75 ±9.57) and (26.30 ± 8.52) µg . m-2 . h-1, respectively, which were 4.09, 4.75, 3.32 and 3.15 times of the control groups. The total cumulative N2O emissions of fertilizer treatments in growing season was as high as 796.7 to 1242.5 g . hm-2, which was 2.99 to 4.67 times of the control groups. During the growing season, the rates of N2O emission in each month organic and inorganic fertlizers combined treatments were similar at high levels. N2O emission in chemical fertilizer treatments gradually decreased, and the main period of N2O emission occurred at the beginning of growing season. Taking July for example, N2O emission accounted for 41.3% to 41. 8% of total cumulative amount. The amounts of N20 emission under organic and inorganic fertilizers combined treatments were significantly higher than under chemical fertilizer treatments. The N2O emissions were not significantly different between conventional and optimized applications of nitrogen fertilizer under the same fertilizing method, either between N300-OM and N240-OM1/2, or between N300 and N240. On account of the drought, N2O emission in each treatment was mainly affected by soil moisture. N2O emission had a significant positive correlation with soil ammonium nitrogen content under combined applications of organic and inorganic

  17. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  18. Reactive ion etching of GaN using BCl 3, BCl 3/Ar and BCl 3/ N 2 gas plasmas

    NASA Astrophysics Data System (ADS)

    Basak, D.; Nakanishi, T.; Sakai, S.

    2000-04-01

    Reactive ion etching (RIE) of GaN has been performed using BCl 3 and additives, Ar and N 2, to BCl 3 plasma. The etch rate, surface roughness and the etch profile have been investigated. The etch rate of GaN is found to be 104 nm/min at rf power of 200 W, pressure of 2 Pa, with 9.5 sccm flow rate of BCl 3. The addition of 5 sccm of Ar to 9.5 sccm of BCl 3 reduces the etch rate of GaN while the addition of N 2 does not influence the etch rate significantly. The RIE of GaN layer with BCl 3/Ar and BCl 3/N 2 results in a smoother surface compared to surfaces etched with BCl 3 only. The etched side-wall in BCl 3 plasma makes an angle of 60° with the normal surface, and the angle of inclination is more in cases of BCl 3/Ar and BCl 3/N 2 plasmas. The RIE induced damage to the surface is measured qualitatively by PL measurements. It is observed that the damage to the etched surfaces is similar for all the plasmas.

  19. Inductively-Coupled RF Powered O2 Plasma as a Sterilization Source

    NASA Technical Reports Server (NTRS)

    Sharma, S. P.; Rao, M. V. V. S.; Cruden, B. A.; Meyyappan, M.; Mogul, R.; Khare, B.; Chan, S. L.; Arnold, James O. (Technical Monitor)

    2001-01-01

    Low-temperature or cold plasmas have been shown to be effective for the sterilization of sensitive medical devices and electronic equipment. Low-temperature plasma sterilization procedures possess certain advantages over other protocols such as ethylene oxide, gamma radiation, and heat due to the use of inexpensive reagents, the insignificant environmental impacts and the low energy requirements. In addition, plasmas may also be more efficacious in the removal of robust microorganisms due to their higher chemical reactivity. Together, these attributes render cold plasma sterilization as ideal for the surface decontamination requirements for NASA Planetary Protection. Hence, the work described in this study involves the construction, characterization, and application of an inductively-coupled, RF powered oxygen (O2) plasma.

  20. N2O emissions from an intermittently aerated semi-aerobic aged refuse bioreactor: Combined effect of COD and NH4+-N in influent leachate.

    PubMed

    Li, Weihua; Sun, Yingjie; Bian, Rongxing; Wang, Huawei; Zhang, Dalei

    2017-11-01

    The carbon-nitrogen ratio (COD/NH 4 + -N) is an important factor affecting nitrification and denitrification in wastewater treatment; this factor also influences nitrous oxide (N 2 O) emissions. This study investigated two simulated intermittently aerated semi-aerobic aged refuse bioreactors (SAARB) filled with 8-year old aged refuse (AR). The research analyzed how differences in and the combination of influent COD and NH 4 + -N impact N 2 O emissions in leachate treatment. Experimental results showed that N 2 O emissions increased as the influent COD/NH 4 + -N decreased. The influent COD had a greater effect on N 2 O emissions than NH 4 + -N at the same influent ratios of COD/NH 4 + -N (2.7 and 8.0, respectively). The maximum N 2 O emission accounted for 8.82±2.65% of the total nitrogen removed from the influent leachate; the maximum level occurred when the COD was 2000mg/L. An analysis of differences in influent carbon sources at the same COD/NH 4 + -N ratios concluded that the availability of biodegradable carbon substrates (i.e. glucose) is an important factor affecting N 2 O emissions. At a low influent COD/NH 4 + -N ratio (2.7), the N 2 O conversion rate was greater when there were more biodegradable carbon substrates. Although the SAARB included the N 2 O generation and reduction processes, N 2 O reduction mainly occurred later in the process, after leachate recirculation. The maximum N 2 O emission rate occurred in the first hour of single-period (24h) experiments, as leachate contacted the surface AR. In practical SAARB applications, N 2 O emissions may be reduced by measures such as reducing the initial recirculation loading of NH 4 + -N substrates, adding a later supplement of biodegradable carbon substrates, and/or prolonging hydraulic retention time (HRT) of influent leachate. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  2. Syntheses and structures of [UO2( L)5](ClO4)2 and [U( L')4(H2O)4](ClO4)4 ( L is dimethylformamide, L' is N,N-dimethylcarbamide)

    NASA Astrophysics Data System (ADS)

    Serezhkin, V. N.; Vologzhanina, A. V.; Pushkin, D. V.; Astashkina, D. A.; Savchenkov, A. V.; Serezhkina, L. B.

    2017-09-01

    The reaction of aqueous solutions of uranyl perchlorate with selected organic amides was studied in the dark and under the sunlight. The complexes [UVIO2(C3H7NO)5](ClO4)2 ( I) and [UIV(C3H8N2O)4(H2O)4](ClO4)4 ( II), where C3H7NO is N,N-dimethylformamide ( Dmfa) and C3H8N2O is N,N-dimethylcarbamide ( a-Dmur), were studied by X-ray diffraction. Complex II and the complex UIV( s-Dmur)4(H2O)4(ClO4)4 ( III), where s-Dmur is N,N'-dimethylcarbamide, were studied by IR spectroscopy. Crystals I and II are composed of mononuclear [UO2( Dmfa)5]2+ and [U( Dmur)4(H2O)4]4+ groups as uranium-containing structural units belonging to the crystal-chemical groups AM 7 1 ( A = UVI, M 1 = O2- and Dmfa) and AM 8 1 ( A = UIV, M 1 = Dmur and H2O) of uranium complexes, respectively. The mononuclear uranium- containing complexes in the crystals of U(IV) and U(VI) perchlorates were found to obey the 14 neighbors rule.

  3. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  4. Minimizing N2O emissions and carbon footprint on a full-scale activated sludge sequencing batch reactor.

    PubMed

    Rodriguez-Caballero, A; Aymerich, I; Marques, Ricardo; Poch, M; Pijuan, M

    2015-03-15

    A continuous, on-line quantification of the nitrous oxide (N2O) emissions from a full-scale sequencing batch reactor (SBR) placed in a municipal wastewater treatment plant (WWTP) was performed in this study. In general, N2O emissions from the biological wastewater treatment system were 97.1 ± 6.9 g N2O-N/Kg [Formula: see text] consumed or 6.8% of the influent [Formula: see text] load. In the WWTP of this study, N2O emissions accounted for over 60% of the total carbon footprint of the facility, on average. Different cycle configurations were implemented in the SBR aiming at reaching acceptable effluent values. Each cycle configuration consisted of sequences of aerated and non-aerated phases of different time length being controlled by the ammonium set-point fixed. Cycles with long aerated phases showed the largest N2O emissions, with the consequent increase in carbon footprint. Cycle configurations with intermittent aeration (aerated phases up to 20-30 min followed by short anoxic phases) were proven to effectively reduce N2O emissions, without compromising nitrification performance or increasing electricity consumption. This is the first study in which a successful operational strategy for N2O mitigation is identified at full-scale. Copyright © 2014 Elsevier Ltd. All rights reserved.

  5. H2O(+) structures in the inner plasma tail of comet Austin

    NASA Technical Reports Server (NTRS)

    Jockers, Klaus; Bonev, T.; Geyer, E. H.

    1992-01-01

    We present images of comet Austin 1989c1 in the light of H2O(+) from which the contribution of the dust continuum and the gas coma was completely removed. We describe the behavior of the H2O(+) plasma in the inner coma where it is reliably observed for the first time.

  6. Heterojunction p-Cu2O/n-Ga2O3 diode with high breakdown voltage

    NASA Astrophysics Data System (ADS)

    Watahiki, Tatsuro; Yuda, Yohei; Furukawa, Akihiko; Yamamuka, Mikio; Takiguchi, Yuki; Miyajima, Shinsuke

    2017-11-01

    Heterojunction p-Cu2O/n-β-Ga2O3 diodes were fabricated on an epitaxially grown β-Ga2O3(001) layer. The reverse breakdown voltage of these p-n diodes reached 1.49 kV with a specific on-resistance of 8.2 mΩ cm2. The leakage current of the p-n diodes was lower than that of the Schottky barrier diode due to the higher barrier height against the electron. The ideality factor of the p-n diode was 1.31. It indicated that some portion of the recombination current at the interface contributed to the forward current, but the diffusion current was the dominant. The forward current more than 100 A/cm2 indicated the lower conduction band offset at the hetero-interface between Cu2O and Ga2O3 layers than that predicted from the bulk properties, resulting in such a high forward current without limitation. These results open the possibility of advanced device structures for wide bandgap Ga2O3 to achieve higher breakdown voltage and lower on-resistance.

  7. trans-Bis(azido-kappaN)bis(pyridine-2-carboxamide-kappa2N1,O2)nickel(II).

    PubMed

    Daković, Marijana; Popović, Zora

    2007-11-01

    In the title compound, [Ni(N(3))(2)(C(6)H(6)N(2)O)(2)], the Ni(II) atom lies on an inversion centre. The distorted octahedral nickel(II) coordination environment contains two planar trans-related N,O-chelating picolinamide ligands in one plane and two monodentate azide ligands perpendicular to this plane. Molecules are linked into a three-dimensional framework by N-H...N hydrogen bonds.

  8. Nitrous oxide (N2O) emission from aquaculture: a review.

    PubMed

    Hu, Zhen; Lee, Jae Woo; Chandran, Kartik; Kim, Sungpyo; Khanal, Samir Kumar

    2012-06-19

    Nitrous oxide (N(2)O) is an important greenhouse gas (GHG) which has a global warming potential 310 times that of carbon dioxide (CO(2)) over a hundred year lifespan. N(2)O is generated during microbial nitrification and denitrification, which are common in aquaculture systems. To date, few studies have been conducted to quantify N(2)O emission from aquaculture. Additionally, very little is known with respect to the microbial pathways through which N(2)O is formed in aquaculture systems. This review suggests that aquaculture can be an important anthropogenic source of N(2)O emission. The global N(2)O-N emission from aquaculture in 2009 is estimated to be 9.30 × 10(10) g, and will increase to 3.83 × 10(11)g which could account for 5.72% of anthropogenic N(2)O-N emission by 2030 if the aquaculture industry continues to increase at the present annual growth rate (about 7.10%). The possible mechanisms and various factors affecting N(2)O production are summarized, and two possible methods to minimize N(2)O emission, namely aquaponic and biofloc technology aquaculture, are also discussed. The paper concludes with future research directions.

  9. Reaction landscape of a pentadentate N5-ligated Mn(II) complex with O2˙- and H2O2 includes conversion of a peroxomanganese(III) adduct to a bis(μ-oxo)dimanganese(III,IV) species.

    PubMed

    Leto, Domenick F; Chattopadhyay, Swarup; Day, Victor W; Jackson, Timothy A

    2013-09-28

    Herein we describe the chemical reactivity of the mononuclear [Mn(II)(N4py)(OTf)](OTf) (1) complex with hydrogen peroxide and superoxide. Treatment of 1 with one equivalent superoxide at -40 °C in MeCN formed the peroxomanganese(III) adduct, [Mn(III)(O2)(N4py)](+) (2) in ~30% yield. Complex 2 decayed over time and the formation of the bis(μ-oxo)dimanganese(III,IV) complex, [Mn(III)Mn(IV)(μ-O)2(N4py)2](3+) (3) was observed. When 2 was formed in higher yields (~60%) using excess superoxide, the [Mn(III)(O2)(N4py)](+) species thermally decayed to Mn(II) species and 3 was formed in no greater than 10% yield. Treatment of [Mn(III)(O2)(N4py)](+) with 1 resulted in the formation of 3 in ~90% yield, relative to the concentration of [Mn(III)(O2)(N4py)](+). This reaction mimics the observed chemistry of Mn-ribonucleotide reductase, as it features the conversion of two Mn(II) species to an oxo-bridged Mn(III)Mn(IV) compound using O2(-) as oxidant. Complex 3 was independently prepared through treatment of 1 with H2O2 and base at -40 °C. The geometric and electronic structures of 3 were probed using electronic absorption, electron paramagnetic resonance (EPR), magnetic circular dichroism (MCD), variable-temperature, variable-field MCD (VTVH-MCD), and X-ray absorption (XAS) spectroscopies. Complex 3 was structurally characterized by X-ray diffraction (XRD), which revealed the N4py ligand bound in an unusual tetradentate fashion.

  10. KCd2[N(CN)2]5(H2O)4: an enmeshed honeycomb grid.

    PubMed

    Schlueter, John A; Geiser, Urs; Funk, Kylee A

    2008-02-01

    The title compound, poly[potassium [diaquapenta-micro(2)-dicyanamido-dicadmium(II)] dihydrate], {K[Cd(2)(C(2)N(3))(5)(H(2)O)(2)].2H(2)O}(n), contains two-dimensional anionic sheets of {[Cd(2){N(CN)(2)}(H(2)O)(2)](-)}(n) with a modified (6,3)-net (layer group cm2m, No. 35). Two sets of equivalent sheets interpenetrate orthogonally to form a tetragonal enmeshed grid.

  11. Development of Al2O3 electrospun fibers prepared by conventional sintering method or plasma assisted surface calcination

    NASA Astrophysics Data System (ADS)

    Mudra, E.; Streckova, M.; Pavlinak, D.; Medvecka, V.; Kovacik, D.; Kovalcikova, A.; Zubko, P.; Girman, V.; Dankova, Z.; Koval, V.; Duzsa, J.

    2017-09-01

    In this paper, the electrospinning method was used for preparation of α-Al2O3 microfibers from PAN/Al(NO3)3 precursor solution. The precursor fibers were thermally treated by conventional method in furnace or low-temperature plasma induced surface sintering method in ambient air. The four different temperatures of PAN/Al(NO3)3 precursors were chosen for formation of α-Al2O3 phase by conventional sintering way according to the transition features observed in the TG/DSC analysis. In comparison, the low-temperature plasma treatment at atmospheric pressure was used as an alternative sintering method at the exposure times of 5, 10 and 30 min. FTIR analysis was used for evaluation of residual polymer after plasma induced calcination and for studying the mechanism of polymer degradation. The polycrystalline alumina fibers arranged with the nanoparticles was created continuously throughout the whole volume of the sample. On the other side the low temperature approach, high density of reactive species and high power density of plasma generated at atmospheric pressure by used plasma source allowed rapid removal of polymer in preference from the surface of fibers leading to the formation of composite ceramic/polymer fibers. This plasma induced sintering of PAN/Al(NO3)3 can have obvious importance in industrial applications where the ceramic character of surface with higher toughness of the fibers are required.

  12. Amplified spontaneous emission from ZnO in n-ZnO/ZnO nanodots-SiO(2) composite/p-AlGaN heterojunction light-emitting diodes.

    PubMed

    Shih, Ying Tsang; Wu, Mong Kai; Li, Wei Chih; Kuan, Hon; Yang, Jer Ren; Shiojiri, Makoto; Chen, Miin Jang

    2009-04-22

    This study demonstrates amplified spontaneous emission (ASE) of the ultraviolet (UV) electroluminescence (EL) from ZnO at lambda~380 nm in the n-ZnO/ZnO nanodots-SiO(2) composite/p- Al(0.12)Ga(0.88)N heterojunction light-emitting diode. A SiO(2) layer embedded with ZnO nanodots was prepared on the p-type Al(0.12)Ga(0.88)N using spin-on coating of SiO(2) nanoparticles followed by atomic layer deposition (ALD) of ZnO. An n-type Al-doped ZnO layer was deposited upon the ZnO nanodots-SiO(2) composite layer also by the ALD technique. High-resolution transmission electron microscopy (HRTEM) reveals that the ZnO nanodots embedded in the SiO(2) matrix have diameters of 3-8 nm and the wurtzite crystal structure, which allows the transport of carriers through the thick ZnO nanodots-SiO(2) composite layer. The high quality of the n-ZnO layer was manifested by the well crystallized lattice image in the HRTEM picture and the low-threshold optically pumped stimulated emission. The low refractive index of the ZnO nanodots-SiO(2) composite layer results in the increase in the light extraction efficiency from n-ZnO and the internal optical feedback of UV EL into n-ZnO layer. Consequently, significant enhancement of the UV EL intensity and super-linear increase in the EL intensity, as well as the spectral narrowing, with injection current were observed owing to ASE in the n-ZnO layer.

  13. Theoretical studies of UO(2)(OH)(H(2)O)(n) (+), UO(2)(OH)(2)(H(2)O)(n), NpO(2)(OH)(H(2)O)(n), and PuO(2)(OH)(H(2)O)(n) (+) (n

    PubMed

    Cao, Zhiji; Balasubramanian, K

    2009-10-28

    Extensive ab initio calculations have been carried out to study equilibrium structures, vibrational frequencies, and the nature of chemical bonds of hydrated UO(2)(OH)(+), UO(2)(OH)(2), NpO(2)(OH), and PuO(2)(OH)(+) complexes that contain up to 21 water molecules both in first and second hydration spheres in both aqueous solution and the gas phase. The structures have been further optimized by considering long-range solvent effects through a polarizable continuum dielectric model. The hydrolysis reaction Gibbs free energy of UO(2)(H(2)O)(5) (2+) is computed to be 8.11 kcal/mol at the MP2 level in good agreement with experiments. Our results reveal that it is necessary to include water molecules bound to the complex in the first hydration sphere for proper treatment of the hydrated complex and the dielectric cavity although water molecules in the second hydration sphere do not change the coordination complex. Structural reoptimization of the complex in a dielectric cavity seems inevitable to seek subtle structural variations in the solvent and to correlate with the observed spectra and thermodynamic properties in the aqueous environment. Our computations reveal dramatically different equilibrium structures in the gas phase and solution and also confirm the observed facile exchanges between the complex and bulk solvent. Complete active space multiconfiguration self-consistent field followed by multireference singles+doubles CI (MRSDCI) computations on smaller complexes confirm predominantly single-configurational nature of these species and the validity of B3LYP and MP2 techniques for these complexes in their ground states.

  14. Two-temperature transport coefficients of SF{sub 6}–N{sub 2} plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Fei; Chen, Zhexin; Wu, Yi, E-mail: wuyic51@mail.xjtu.edu.cn

    Sulfur hexafluoride (SF{sub 6}) is widely adopted in electric power industry, especially in high-voltage circuit breakers and gas-insulated switchgear. However, the use of SF{sub 6} is limited by its high liquidation temperature and high global warming potential. Recently, research shows SF{sub 6}–N{sub 2} mixture, which shows environmental friendliness and good electrical properties, may be a feasible substitute for pure SF{sub 6}. This paper is devoted to the calculation of and transport coefficients of SF{sub 6}–N{sub 2} mixture under both LTE (local thermodynamic equilibrium) and non-LTE condition. The two–temperature mass action law was used to determine the composition. The transport coefficientsmore » were calculated by classical Chapman–Enskog method simplified by Devoto. The thermophysical properties are presented for electron temperatures of 300–40 000 K, ratios of electron to heavy species temperature of 1–10 and N{sub 2} mole fraction of 0%–100% at atmospheric pressure. The ionization processes under both LTE and non-LTE have been discussed. The results show that deviations from local thermodynamic equilibrium significantly affect the properties of SF{sub 6}–N{sub 2} plasma, especially before the plasma is fully ionized. The different influence of N{sub 2} on properties for SF{sub 6}–N{sub 2} plasma in and out of LTE has been found. The results will serve as reliable reference data for computational simulation of the behavior of SF{sub 6}–N{sub 2} plasmas.« less

  15. Propagation characteristics of atmospheric-pressure He+O{sub 2} plasmas inside a simulated endoscope channel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, S.; Chen, Z. Y.; Wang, X. H., E-mail: xhw@mail.xjtu.edu.cn

    2015-11-28

    Cold atmospheric-pressure plasmas have potential to be used for endoscope sterilization. In this study, a long quartz tube was used as the simulated endoscope channel, and an array of electrodes was warped one by one along the tube. Plasmas were generated in the inner channel of the tube, and their propagation characteristics in He+O{sub 2} feedstock gases were studied as a function of the oxygen concentration. It is found that each of the plasmas originates at the edge of an instantaneous cathode, and then it propagates bidirectionally. Interestingly, a plasma head with bright spots is formed in the hollow instantaneousmore » cathode and moves towards its center part, and a plasma tail expands through the electrode gap and then forms a swallow tail in the instantaneous anode. The plasmas are in good axisymmetry when [O{sub 2}] ≤ 0.3%, but not for [O{sub 2}] ≥ 1%, and even behave in a stochastic manner when [O{sub 2}] = 3%. The antibacterial agents are charged species and reactive oxygen species, so their wall fluxes represent the “plasma dosage” for the sterilization. Such fluxes mainly act on the inner wall in the hollow electrode rather than that in the electrode gap, and they get to the maximum efficiency when the oxygen concentration is around 0.3%. It is estimated that one can reduce the electrode gap and enlarge the electrode width to achieve more homogenous and efficient antibacterial effect, which have benefits for sterilization applications.« less

  16. Limitations of threshold voltage engineering of AlGaN/GaN heterostructures by dielectric interface charge density and manipulation by oxygen plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Lükens, G.; Yacoub, H.; Kalisch, H.; Vescan, A.

    2016-05-01

    The interface charge density between the gate dielectric and an AlGaN/GaN heterostructure has a significant impact on the absolute value and stability of the threshold voltage Vth of metal-insulator-semiconductor (MIS) heterostructure field effect transistor. It is shown that a dry-etching step (as typically necessary for normally off devices engineered by gate-recessing) before the Al2O3 gate dielectric deposition introduces a high positive interface charge density. Its origin is most likely donor-type trap states shifting Vth to large negative values, which is detrimental for normally off devices. We investigate the influence of oxygen plasma annealing techniques of the dry-etched AlGaN/GaN surface by capacitance-voltage measurements and demonstrate that the positive interface charge density can be effectively compensated. Furthermore, only a low Vth hysteresis is observable making this approach suitable for threshold voltage engineering. Analysis of the electrostatics in the investigated MIS structures reveals that the maximum Vth shift to positive voltages achievable is fundamentally limited by the onset of accumulation of holes at the dielectric/barrier interface. In the case of the Al2O3/Al0.26Ga0.74N/GaN material system, this maximum threshold voltage shift is limited to 2.3 V.

  17. Isotope signatures of N2O emitted from vegetable soil: Ammonia oxidation drives N2O production in NH4(+)-fertilized soil of North China.

    PubMed

    Zhang, Wei; Li, Yuzhong; Xu, Chunying; Li, Qiaozhen; Lin, Wei

    2016-07-08

    Nitrous oxide (N2O) is a potent greenhouse gas. In North China, vegetable fields are amended with high levels of N fertilizer and irrigation water, which causes massive N2O flux. The aim of this study was to determine the contribution of microbial processes to N2O production and characterize isotopic signature effects on N2O source partitioning. We conducted a microcosm study that combined naturally abundant isotopologues and gas inhibitor techniques to analyze N2O flux and its isotopomer signatures [δ(15)N(bulk), δ(18)O, and SP (intramolecular (15)N site preference)] that emitted from vegetable soil after the addition of NH4(+) fertilizers. The results show that ammonia oxidation is the predominant process under high water content (70% water-filled pore space), and nitrifier denitrification contribution increases with increasing N content. δ(15)N(bulk) and δ(18)O of N2O may not provide information about microbial processes due to great shifts in precursor signatures and atom exchange, especially for soil treated with NH4(+) fertilizer. SP and associated two end-member mixing model are useful to distinguish N2O source and contribution. Further work is needed to explore isotopomer signature stability to improve N2O microbial process identification.

  18. Effects of Carbon and Cover Crop Residues on N2O and N2 Emissions

    NASA Astrophysics Data System (ADS)

    Burger, M.; Cooperman, Y.; Horwath, W. R.

    2016-12-01

    In Mediterranean climate, nitrous oxide emissions occurring with the first rainfall after the dry summer season can contribute up to 50% of agricultural systems' total annual emissions, but the drivers of these emissions have not been clearly identified, and there are only few measurements of atmospheric nitrogen (N2) production (denitrification) during these events. In lab incubations, we investigated N2O and N2 production, gross ammonification and nitrification, and microbial N immobilization with wet-up in soil from a vineyard that was previously fallow or where cover crop residue had been incorporated the previous spring. Before the first rainfall, we measured 120 mg dissolved organic carbon (DOC-C) kg-1 soil in the 0-5 cm layer of this vineyard, and after the rain 10 mg DOC-C kg-1, while nitrate levels before the rain were <5 mg N kg-1 in fallow and <10 mg N kg-1 in previously cover cropped soil. The N2O/N2 production was 2, 7, 9, and 86% in fallow, legume-grass mixture, rye, and legume cover cropped soil. The N2O/N2 ratio tended to increase with lower DOC (post-rain) levels in the soil. The results suggest that accumulated carbon in dry surface soil is the main driving factor of N2O and N2 emissions through denitrification with the first rainfall after prolonged dry periods.

  19. Oxygen plasma treatment of HKUST-1 for porosity retention upon exposure to moisture.

    PubMed

    Bae, Jaeyeon; Jung, Jin-Woo; Park, Hyo Yul; Cho, Chang-Hee; Park, Jinhee

    2017-11-07

    Despite their remarkable properties, metal-organic frameworks (MOFs) present vulnerable structures that are sensitive to moisture; therefore, their application to real field situations is challenging. Herein, an O 2 plasma technique was introduced as a new method for the activation and protection of porosity in HKUST-1. In an unprecedented manner, O 2 plasma-treated HKUST-1 retains its porosity after a long exposure to moisture as compared to pristine HKUST-1. Porosity retention was examined by N 2 adsorption/desorption measurements of non-activated HKUST-1 after exposure to moisture.

  20. Soil-atmosphere fluxes of the greenhouse gases N2O, CO2 and CH4 from a long term compost experiment in Austria.

    NASA Astrophysics Data System (ADS)

    Spann, Caroline; Spiegel, Heide; Kitzler, Barbara

    2016-04-01

    The application of composts as fertilizers is becoming increasingly important to achieve a closed-loop economy. However, greenhouse gas (GHG) emissions, especially N2O, from agricultural fields may increase as well. In this study different compost types and N amounts were investigated, especially in terms of their GHG fluxes. We used the closed chamber method to estimate GHG flux rates over one vegetation period from an agricultural soil fertilized with different compost types. The study was conducted on a long term compost experiment site near Linz (Austria) with a crop rotation. The soil is a loamy silt and in 2015 maize was planted. Six different compost treatments were investigated. Organic waste compost (OWC) and farmyard manure compost (FMC) was applied with nitrogen concentrations of 175 (OWC1, FYC1) and 525 kg N ha-1 (OWC3, FYC3). Two compost treatments were fertilized additionally with 80 kg N ha.1 mineral fertilizer (OWC2, FYC2). One treatment (TN) was fertilized only with mineral fertilizer (120 kg N ha-1) and one treatment was not fertilized at all (C). Additionally to the GHG flux rates, ammonium and nitrate content, microbial biomass C and N and different enzyme activities were analysed in the top soil. Nitrous oxide (N2O) was emitted over the entire vegetation period with highest fluxes from April until June, until the plants have been established sufficiently. Overall, at the FMC treatments (FYC2, FYC3) highest fluxes were measured. Compared to FMC, lower N2O emissions were measured from the OWC treatments. The combination of compost and mineral N fertilization resulted in the highest N2O emissions, especially after precipitation events. The treatments OWC1 and FYC1 were not different from the control. Methane (CH4) was mainly taken up at all treatments, but uptake rates were lower at the high N input sites (OWC3, FYC3) with no differences between the compost types. No significant differences were found in the soil respiration rates.

  1. The influence of ionic strength and organic compounds on nanoparticle TiO2 (n-TiO2) aggregation.

    PubMed

    Lee, Jaewoong; Bartelt-Hunt, Shannon L; Li, Yusong; Gilrein, Erica Jeanne

    2016-07-01

    This study investigated the aggregation of n-TiO2 in the presence of humic acid (HA) and/or 17β-estradiol (E2) under high ionic strength conditions simulating levels detected in landfill leachate. Aggregation of n-TiO2 was strongly influenced by ionic strength as well as ionic valence in that divalent cations (Ca(2+)) were more effective than monovalent (Na(+)) at the surface modification. HA or E2 enhanced aggregation of n-TiO2 in 20 mM CaCl2, however little aggregation was observed in 100 mM NaCl. Similarly, we observed only the increased aggregation of n-TiO2 in the presence of HA/E2. These results showed the critical role of particles' surface charges on the aggregation behaviors of n-TiO2 that HA plays more significantly than E2. However, the slightly increased zeta potential and aggregation of n-TiO2 in the combination of HA and E2 at both 20 mM CaCl2 and 100 mM NaCl means that E2 has influenced on the surface modification of n-TiO2 by adsorption. Based on the aggregation of n-TiO2 under high ionic strength with HA and/or E2, we simulated the mobility of aggregated n-TiO2 in porous media. As a result, we observed that the mobility distance of aggregated n-TiO2 was dramatically influenced by the surface modification with both HA and/or E2 between particles and media. Furthermore, larger mobility distance was observed with larger aggregation of n-TiO2 particles that can be explained by clean bed filtration (CFT) theory. Copyright © 2016 Elsevier Ltd. All rights reserved.

  2. Control of ROS and RNS productions in liquid in atmospheric pressure plasma-jet system

    NASA Astrophysics Data System (ADS)

    Uchida, Giichiro; Ito, Taiki; Takenaka, Kosuke; Ikeda, Junichiro; Setsuhara, Yuichi

    2016-09-01

    Non-thermal plasma jets are of current interest in biomedical applications such as wound disinfection and even treatment of cancer tumors. Beneficial therapeutic effects in medical applications are attributed to excited species of oxygen and nitrogen from air. However, to control the production of these species in the plasma jet is difficult because their production is strongly dependent on concentration of nitrogen and oxygen from ambient air into the plasma jet. In this study, we analyze the discharge characteristics and the ROS and RNS productions in liquid in low- and high-frequency plasma-jet systems. Our experiments demonstrated the marked effects of surrounding gas near the plasma jet on ROS and RNS productions in liquid. By controlling the surround gas, the O2 and N2 main plasma jets are selectively produced even in open air. We also show that the concentration ratio of NO2- to H2O2 in liquid is precisely tuned from 0 to 0.18 in deionized water by changing N2 gas ratio (N2 / (N2 +O2)) in the main discharge gas, where high NO2- ratio is obtained at N2 gas ratio at N2 / (N2 +O2) = 0 . 8 . The low-frequency plasma jet with controlled surrounding gas is an effective plasma source for ROS and RNS productions in liquid, and can be a useful tool for biomedical applications. This study was partly supported by a Grant-in-Aid for Scientific Research on Innovative Areas ``Plasma Medical Innovation'' (24108003) from the Ministry of Education, Culture, Sports, Science and Technology, Japan (MEXT).

  3. Effect of source frequency and pulsing on the SiO2 etching characteristics of dual-frequency capacitive coupled plasma

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Jun; Jeon, Min Hwan; Mishra, Anurag Kumar; Kim, In Jun; Sin, Tae Ho; Yeom, Geun Young

    2015-01-01

    A SiO2 layer masked with an amorphous carbon layer (ACL) has been etched in an Ar/C4F8 gas mixture with dual frequency capacitively coupled plasmas under variable frequency (13.56-60 MHz)/pulsed rf source power and 2 MHz continuous wave (CW) rf bias power, the effects of the frequency and pulsing of the source rf power on the SiO2 etch characteristics were investigated. By pulsing the rf power, an increased SiO2 etch selectivity was observed with decreasing SiO2 etch rate. However, when the rf power frequency was increased, not only a higher SiO2 etch rate but also higher SiO2 etch selectivity was observed for both CW and pulse modes. A higher CF2/F ratio and lower electron temperature were observed for both a higher source frequency mode and a pulsed plasma mode. Therefore, when the C 1s binding states of the etched SiO2 surfaces were investigated using X-ray photoelectron spectroscopy (XPS), the increase of C-Fx bonding on the SiO2 surface was observed for a higher source frequency operation similar to a pulsed plasma condition indicating the increase of SiO2 etch selectivity over the ACL. The increase of the SiO2 etch rate with increasing etch selectivity for the higher source frequency operation appears to be related to the increase of the total plasma density with increasing CF2/F ratio in the plasma. The SiO2 etch profile was also improved not only by using the pulsed plasma but also by increasing the source frequency.

  4. Reactions of hydrated electrons (H2O)n- with carbon dioxide and molecular oxygen: hydration of the CO2- and O2- ions.

    PubMed

    Balaj, O Petru; Siu, Chi-Kit; Balteanu, Iulia; Beyer, Martin K; Bondybey, Vladimir E

    2004-10-04

    The gas-phase reactions of hydrated electrons with carbon dioxide and molecular oxygen were studied by Fourier transform ion cyclotron resonance (FT-ICR) mass spectrometry. Both CO2 and O2 react efficiently with (H2O)n- because they possess low-lying empty pi* orbitals. The molecular CO2- and O2- anions are concurrently solvated and stabilized by the water ligands to form CO2(-)(H2O)n and O2(-)(H2O)n. Core exchange reactions are also observed, in which CO2(-)(H2O)n is transformed into O2(-)(H2O)n upon collision with O2. This is in agreement with the prediction based on density functional theory calculations that O2(-)(H2O)n clusters are thermodynamically favored with respect to CO2(-)(H2O)n. Electron detachment from the product species is only observed for CO2(-)(H2O)2, in agreement with the calculated electron affinities and solvation energies.

  5. Thermal Plasma Synthesis of Crystalline Gallium Nitride Nanopowder from Gallium Nitrate Hydrate and Melamine

    PubMed Central

    Kim, Tae-Hee; Choi, Sooseok; Park, Dong-Wha

    2016-01-01

    Gallium nitride (GaN) nanopowder used as a blue fluorescent material was synthesized by using a direct current (DC) non-transferred arc plasma. Gallium nitrate hydrate (Ga(NO3)3∙xH2O) was used as a raw material and NH3 gas was used as a nitridation source. Additionally, melamine (C3H6N6) powder was injected into the plasma flame to prevent the oxidation of gallium to gallium oxide (Ga2O3). Argon thermal plasma was applied to synthesize GaN nanopowder. The synthesized GaN nanopowder by thermal plasma has low crystallinity and purity. It was improved to relatively high crystallinity and purity by annealing. The crystallinity is enhanced by the thermal treatment and the purity was increased by the elimination of residual C3H6N6. The combined process of thermal plasma and annealing was appropriate for synthesizing crystalline GaN nanopowder. The annealing process after the plasma synthesis of GaN nanopowder eliminated residual contamination and enhanced the crystallinity of GaN nanopowder. As a result, crystalline GaN nanopowder which has an average particle size of 30 nm was synthesized by the combination of thermal plasma treatment and annealing. PMID:28344295

  6. Post-harvest N2O emissions were not affected by various types of oilseed straw incorporated into soil

    NASA Astrophysics Data System (ADS)

    Köbke, Sarah; Senbayram, Mehmet; Hegewald, Hannes; Christen, Olaf; Dittert, Klaus

    2015-04-01

    Oilseed rape post-harvest N2O emissions are seen highly critical as so far they are considered as one of the most crucial drawbacks in climate-saving bioenergy production systems. N2O emissions may substantially counterbalance the intended savings in CO2 emissions. Carbon-rich crop residues in conjunction with residual soil nitrate are seen as a key driver since they may serve as energy source for denitrification and, they may alter soil-borne N2O emissions. As oilseed rape straw is known to have high N/C ratio compared to other crop residues, its soil incorporation may specifically trigger post-harvest N2O emissions. Therefore, the aim of the present study was to determine post-harvest N2O emissions in soils amended with various types of oilseed rape straw (with different N/C ratio) and barley straw in field and incubation experiments. In the incubation experiment, oilseed rape or 15N labelled barley straw were mixed with soil at a rate of 1.3 t DM ha-1 and studied for 43 days. Treatments consisted of non-treated control soil (CK), 15N labelled barley straw (BST), oilseed rape straw (RST), 15N labelled barley straw + N (BST+N), or oilseed rape straw + N (RST+N). N fertilizer was applied to the soil surface as ammonium-nitrate at a rate of 100 kg N ha-1 and soil moisture was adjusted to 80% water-holding capacity. In the field experiment, during the vegetation period 15N labelled fertilizer (15NH415NO3) was used to generate 15N labelled oilseed rape straw (up to 5 at%). Here, the three fertilizer treatments consisted of 5 kg N ha-1 (RST-5), 150 kg N ha-1 (RST-150) and 180 kg N ha-1 (RST-180). Post-harvest N2O emissions were determined during the period of August 2013 to February 2014 by using static flux chambers. In the incubation trial, cumulative N2O emissions were 5, 29, 40 g N2O-N ha-1 148 days-1 in non-fertilized control, BST and RST treatments, respectively. Here, emissions were slightly higher in RST than BST (p

  7. Glacial-Interglacial and Holocene N2O Stable Isotope Changes Constrain Terrestrial N Cycling

    NASA Astrophysics Data System (ADS)

    Schmitt, J.; Spahni, R.; Bock, M.; Seth, B.; Stocker, B. D.; Ri, X.; Schilt, A.; Brook, E.; Otto-Bliesner, B. L.; Liu, Z.; Prentice, I. C.; Fischer, H.; Joos, F.

    2015-12-01

    The land biosphere contributes most to the natural source of the long-lived greenhouse gas nitrous oxide (N2O), with N2O emissions being dependent on the turnover rate of both the terrestrial nitrogen (N) and carbon (C) cycle. The C:N stoichiometry of vegetation and soil organic matter links the cycles intimately. Sustained plant productivity increase must be supported by biological N fixation. Intensified N cycling in turn enhances N loss and thereby N2O emissions. The temporal and spatial dynamics of terrestrial N and C cycles and related terrestrial N2O emissions are poorly constrained over the glacial-interglacial transition and the Holocene. Here we reconstruct increased terrestrial N2O emissions since the Last Glacial Maximum based on N2O concentration and isotope measurements on several ice cores and show that this N2O increase can be explained by N cycle modelling - provided N fixation is allowed to respond dynamically to increasing N demand and turnover. The Ice core reconstructions suggest a deglacial increase of 1.1 ± 0.4 Tg N/yr in terrestrial and 0.6 ± 0.4 Tg/yr in oceanic N2O emissions, but relatively constant terrestrial emissions over the Holocene. Transient simulations with a Dynamic Global Vegetation Model are shown to represent the climate and CO2 induced changes in terrestrial N2O emission, and suggest a deglacial increase in biological N fixation by 20%, independently of its absolute magnitude. Deciphering the response of biological N fixation during climatic changes is an important factor for our understanding of plant growth and the land carbon sink, alongside anthropogenic greenhouse gas emissions.

  8. Structural and spectral analyses of N,N'-(2,2'-dithiodi-o-phenylene)bis-(furan-2-carboxamide)

    NASA Astrophysics Data System (ADS)

    Yıldırım, Sema Öztürk; Büyükmumcu, Zeki; Pekdur, Özlem Savaş; Butcher, Ray J.; Doǧan, Şengül Dilem

    2018-02-01

    In this study we report structure determination of N,N'-(2,2'-dithiodi-o-phenylene)bis-(furan-2-carboxamide). 2,2'-Dithiobis(benzamide) derivatives have been reported to possess important biological properties such as antibacterial, antifungal activities and inhibition of blood platelet aggregation and redeterrmined at 100(2)K from the data published by Raftery, Lallbeeharry, Bhowon, Laulloo & Joulea [Acta Cryst. 2009, E65, o16]. 2,2'-Dithiobis(N-butyl-benzamide) has been reported to be useful as an antiseptic for cosmetics. The structural properties of the compound have been characterized by using 1H NMR and the structure were determined by single-crystal X-ray diffraction. Molecular structure crystallizes in triclinic form, space group with a = 9.6396(7) Å, b = 9.9115(7) Å, c = 12.0026(8) Å, α = 109.743(6)°, β = 103.653(6)°, γ = 104.633(6)° and V = 977.15(13) Å3. In the solid state of the molecular structure N-H…S, N-H…O and C-H…O, type interactions provide for stabilization. The geometries of the title compound have been optimized using density functional theory (DFT) method. The calculated values were found to be in agreement with the experimental data.

  9. Highly effective fungal inactivation in He+O{sub 2} atmospheric-pressure nonequilibrium plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiong, Z.; Lu, X. P.; Pan, Y.

    2010-12-15

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O{sub 2} gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and aftermore » the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.« less

  10. 2,4-Dinitrophenylhydrazine, redetermined at 120 K: a three-dimensional framework built from N-H...O, N-H...(O)2, N-H...pi(arene) and C-H...O hydrogen bonds.

    PubMed

    Wardell, James L; Low, John N; Glidewell, Christopher

    2006-06-01

    In the title compound, C6H6N4O4, the bond distances indicate significant bond fixation, consistent with charge-separated polar forms. The molecules are almost planar and there is an intramolecular N-H...O hydrogen bond. The molecules are linked into a complex three-dimensional framework structure by a combination of N-H...O, N-H...(O)2, N-H...pi(arene) and C-H...O hydrogen bonds.

  11. Ultraviolet photodetector using pn junction formed by transferrable hollow n-TiO2 nano-spheres monolayer.

    PubMed

    Yang, Taeyoung; Park, Seong-Jin; Kim, Taek Gon; Shin, Dong Su; Suh, Kyung-do; Park, Jinsub

    2017-12-11

    We report an ultraviolet (UV) photodetector with a universally transferable monolayer film with ordered hollow TiO 2 spheres on p-GaN. After forming a TiO 2 monolayer film by unidirectional rubbing of hollow TiO 2 spheres on a polydimethylsiloxane (PDMS) supporting plate, we used a 5% polyvinyl alcohol (PVA) aqueous solution to transfer the film onto the target substrate. The PVA/TiO 2 monolayer film was detached from the PDMS film and transferred to the p-GaN/Al 2 O 3 substrate. To investigate the effects of crystallized phases of the TiO 2 hollow spheres, anatase and rutile TiO 2 sphere monolayers prepared by combining template synthesis and thermal treatment. The responsiveness of the UV photodetectors using anatase and rutile hollow n-TiO 2 monolayer/p-GaN was 0.203 A/W at 312 nm and 0.093 A/W at 327 nm, respectively.

  12. Highly sensitive biofunctionalized mesoporous electrospun TiO(2) nanofiber based interface for biosensing.

    PubMed

    Mondal, Kunal; Ali, Md Azahar; Agrawal, Ved V; Malhotra, Bansi D; Sharma, Ashutosh

    2014-02-26

    The surface modified and aligned mesoporous anatase titania nanofiber mats (TiO2-NF) have been fabricated by electrospinning for esterified cholesterol detection by electrochemical technique. The electrospinning and porosity of mesoporous TiO2-NF were controlled by use of polyvinylpyrrolidone (PVP) as a sacrificial carrier polymer in the titanium isopropoxide precursor. The mesoporous TiO2-NF of diameters ranging from 30 to 60 nm were obtained by calcination at 470 °C and partially aligned on a rotating drum collector. The functional groups such as -COOH, -CHO etc. were introduced on TiO2-NF surface via oxygen plasma treatment making the surface hydrophilic. Cholesterol esterase (ChEt) and cholesterol oxidase (ChOx) were covalently immobilized on the plasma treated surface of NF (cTiO2-NF) via N-ethyl-N0-(3-dimethylaminopropyl carbodiimide) and N-hydroxysuccinimide (EDC-NHS) chemistry. The high mesoporosity (∼61%) of the fibrous film allowed enhanced loading of the enzyme molecules in the TiO2-NF mat. The ChEt-ChOx/cTiO2-NF-based bioelectrode was used to detect esterified cholesterol using electrochemical technique. The high aspect ratio, surface area of aligned TiO2-NF showed excellent voltammetric and catalytic response resulting in improved detection limit (0.49 mM). The results of response studies of this biosensor show excellent sensitivity (181.6 μA/mg dL(-1)/cm(2)) and rapid detection (20 s). This proposed strategy of biomolecule detection is thus a promising platform for the development of miniaturized device for biosensing applications.

  13. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  14. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2−x

    PubMed Central

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-01-01

    The thermoelectric properties of sub-stoichiometric TiO2−x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO2−x deposits are formed through in-situ reaction of the TiO1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO2−x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of −230 μV K−1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 103 S m−1, relatively low thermal conductivity in the range of 1.5 to 2 W m−1 K−1 resulting in power factor of 2.9 μW cm−1 K−2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures. PMID:27811954

  15. Isotope signatures of N2O emitted from vegetable soil: Ammonia oxidation drives N2O production in NH4+-fertilized soil of North China

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Li, Yuzhong; Xu, Chunying; Li, Qiaozhen; Lin, Wei

    2016-07-01

    Nitrous oxide (N2O) is a potent greenhouse gas. In North China, vegetable fields are amended with high levels of N fertilizer and irrigation water, which causes massive N2O flux. The aim of this study was to determine the contribution of microbial processes to N2O production and characterize isotopic signature effects on N2O source partitioning. We conducted a microcosm study that combined naturally abundant isotopologues and gas inhibitor techniques to analyze N2O flux and its isotopomer signatures [δ15Nbulk, δ18O, and SP (intramolecular 15N site preference)] that emitted from vegetable soil after the addition of NH4+ fertilizers. The results show that ammonia oxidation is the predominant process under high water content (70% water-filled pore space), and nitrifier denitrification contribution increases with increasing N content. δ15Nbulk and δ18O of N2O may not provide information about microbial processes due to great shifts in precursor signatures and atom exchange, especially for soil treated with NH4+ fertilizer. SP and associated two end-member mixing model are useful to distinguish N2O source and contribution. Further work is needed to explore isotopomer signature stability to improve N2O microbial process identification.

  16. Crystal structure and optical property of complex perovskite oxynitrides ALi0.2Nb0.8O2.8N0.2, ANa0.2Nb0.8O2.8N0.2, and AMg0.2Nb0.8O2.6N0.4 (A = Sr, Ba)

    NASA Astrophysics Data System (ADS)

    Moon, Keon Ho; Avdeev, Maxim; Kim, Young-Il

    2017-10-01

    Oxynitride type complex perovskites AM0.2Nb0.8O3-xNx (A = Sr, Ba; M = Li, Na, Mg) were newly synthesized by the solid state diffusion of Li+, Na+, or Mg2+ into the layered oxide, A5Nb4O15, with concurrent O/N substitution. Neutron and synchrotron X-ray Rietveld refinement showed that SrLi0.2Nb0.8O2.8N0.2, SrNa0.2Nb0.8O2.8N0.2, and SrMg0.2Nb0.8O2.6N0.4 had body-centered tetragonal symmetry (I4/mcm), while those with A = Ba had simple cubic symmetry (Pm 3 ̅ m). In the tetragonal Sr-compounds, the nitrogen atoms were localized on the c-axial 4a site. However, the octahedral cations, M/Nb (M = Li, Na, Mg) were distributed randomly in all six compounds. The lattice volume of AM0.2Nb0.8O3-xNx was dependent on various factors including the type of A and the electronegativity of M. Compared to the simple perovskites, ANbO2N (A = Sr, Ba), AM0.2Nb0.8O3-xNx had wider band gaps (1.76-2.15 eV for A = Sr and 1.65-2.10 eV for A = Ba), but significantly lower sub-gap absorption.

  17. The Molybdenum(V) and Tungsten(VI) Oxoazides [MoO(N3 )3 ], [MoO(N3 )3 ⋅2 CH3 CN], [(bipy)MoO(N3 )3 ], [MoO(N3 )5 ](2-) , [WO(N3 )4 ], and [WO(N3 )4 ⋅CH3 CN].

    PubMed

    Haiges, Ralf; Skotnitzki, Juri; Fang, Zongtang; Dixon, David A; Christe, Karl O

    2015-12-14

    A series of novel molybdenum(V) and tungsten(VI) oxoazides was prepared starting from [MOF4 ] (M=Mo, W) and Me3 SiN3 . While [WO(N3 )4 ] was formed through fluoride-azide exchange in the reaction of Me3 SiN3 with WOF4 in SO2 solution, the reaction with MoOF4 resulted in a reduction of Mo(VI) to Mo(V) and formation of [MoO(N3 )3 ]. Carried out in acetonitrile solution, these reactions resulted in the isolation of the corresponding adducts [MoO(N3 )3 ⋅2 CH3 CN] and [WO(N3 )4 ⋅CH3 CN]. Subsequent reactions of [MoO(N3 )3 ] with 2,2'-bipyridine and [PPh4 ][N3 ] resulted in the formation and isolation of [(bipy)MoO(N3 )3 ] and [PPh4 ]2 [MoO(N3 )5 ], respectively. Most molybdenum(V) and tungsten(VI) oxoazides were fully characterized by their vibrational spectra, impact, friction and thermal sensitivity data and, in the case of [WO(N3 )4 ⋅CH3 CN], [(bipy)MoO(N3 )3 ], and [PPh4 ]2 [MoO(N3 )5 ], by their X-ray crystal structures. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Comparison of Erosion Behavior and Particle Contamination in Mass-Production CF4/O2 Plasma Chambers Using Y2O3 and YF3 Protective Coatings

    PubMed Central

    Lin, Tzu-Ken; Wang, Wei-Kai; Huang, Shih-Yung; Tasi, Chi-Tsung

    2017-01-01

    Yttrium fluoride (YF3) and yttrium oxide (Y2O3) protective coatings prepared using an atmospheric plasma spraying technique were used to investigate the relationship between surface erosion behaviors and their nanoparticle generation under high-density plasma (1012–1013 cm−3) etching. As examined by transmission electron microscopy, the Y2O3 and YF3 coatings become oxyfluorinated after exposure to the plasma, wherein the yttrium oxyfluoride film formation was observed on the surface with a thickness of 5.2 and 6.8 nm, respectively. The difference in the oxyfluorination of Y2O3 and YF3 coatings could be attributed to Y–F and Y–O bonding energies. X-ray photoelectron spectroscopy analyses revealed that a strongly fluorinated bonding (Y–F bond) was obtained on the etched surface of the YF3 coating. Scanning electron microscopy and energy dispersive X-ray diffraction analysis revealed that the nanoparticles on the 12-inch wafer are composed of etchant gases and Y2O3. These results indicate that the YF3 coating is a more erosion-resistant material, resulting in fewer contamination particles compared with the Y2O3 coating. PMID:28708079

  19. Biochar reduces efficiency of nitrification inhibitor 3,4-dymethylpyrazole phospate (DMPP) mitigating N2O emissions.

    NASA Astrophysics Data System (ADS)

    Fuertes-Mendizábal, Teresa; Huérfano, Ximena; Menéndez, Sergio; González-Murua, Carmen; Begoña González-Moro, Mª; Ippolito, James; Kamann, Claudia; Wrage-Mönnig, Nicole; Borchard, Nils; Cayuela, Maria Luz; Spokas, Kurt; Sigua, Gilbert; Novak, Jeff; Estavillo, José Mª

    2017-04-01

    Nitrous oxide (N2O) is the strongest greenhouse gas associated with agricultural soils. Current agricultural practices, based on the use of N fertilizers, can lead to environmental N losses, with some losses occurring as N2O emissions. Among the strategies suggested by the Intergovernmental Panel on Climate Change to decrease N losses through agriculture is the utilization of nitrification inhibitors, such as DMPP (3,4-dimethylpyrazole phosphate). This compound inhibits nitrification, thus reducing N2O emissions. However, the efficiency of DMPP might be affected by soil amendments. One soil amendment is biochar, which typically increases soil C, can reduce N2O emissions, affect the retention of water, and alter the C and N cycle. Nevertheless, these effects are not uniformly observed across varying soil types, N fertilization schemes and biochar properties. Assuming that both DMPP and biochars with C/N > 30 ratios are presumably able to reduce soil N2O emissions, the aim of this study was to evaluate the synergic effect of a woody biochar applied in combination with DMPP on N2O emissions. For this purpose, a laboratory incubation study was conducted with a silt loam grassland soil and a biochar obtained from Pinus taeda at 500°C. The experimental design consisted of an arrangement including two biochar levels (0 and 2% (w/w)), three fertilization levels (unfertilized, fertilized and fertilized+DMPP) and two soil water content levels (40% and 80% of water filled pore space, WFPS), giving rise to 12 different individual treatments with four replications of each treatment. Soil N2O emissions were monitored over the incubation period (163 days). Results showed that DMPP reduced N2O emissions to levels comparable to the unfertilized controls. Biochar showed ability to mitigate N2O emissions only at the low soil water content (40% WFPS). However, when DMPP was applied to the biochar amended soil, a counteracting effect was observed, since the reduction in N2O emissions

  20. Effect of Si3N4 powder reactivity on the preparation of the Si2N2O-Al2O3 silicon aluminum oxynitride solid solution

    NASA Technical Reports Server (NTRS)

    Sekercioglu, I.; Wills, R. R.

    1979-01-01

    Dense high-purity silicon aluminum oxynitride was prepared by reactive hot-pressing of an Si3N4-Al2O3-SiO2 mixture. The formation of a single-phase material was found to be critically dependent on the Si3N4 powder in the starting mixture. It is suggested that evolution of a chlorine- and nitrogen-containing species may enhance the reactivity of Si3N4 in this reaction. Densities of O prime sialons are very similar to that of Si2N2O, the widely quoted value in the ceramics literature of 3.1 g/cu cm for the density of Si2N2O being incorrect.

  1. Co-composting of municipal solid waste mixed with matured sewage sludge: The relationship between N2O emissions and denitrifying gene abundance.

    PubMed

    Bian, Rongxing; Sun, Yingjie; Li, Weihua; Ma, Qiang; Chai, Xiaoli

    2017-12-01

    Aerobic composting is an alternative measure to the disposal of municipal solid waste (MSW). However, it produces nitrous oxide (N 2 O), a highly potent greenhouse via microbial nitrification and denitrification. In this study, the effects of matured sewage sludge (MSS) amendment on N 2 O emissions and the inter-relationships between N 2 O emissions and the abundance of denitrifying bacteria were investigated during aerobic composting of MSW. The results demonstrated that MSW composting with MSS amendments (C1, and C2, with a MSW to MSS ratio of 2:1 and 4:1, (v/v), respectively) significantly increased N 2 O emissions during the initial stage, yet contributed to the mitigation of N 2 O emissions during the cooling and maturation stage. MSS amended composting emitted a total of 18.4%-25.7% less N 2 O than the control treatment without MSS amendment (CK). Matured sewage sludge amendment also significantly altered the abundance of denitrifying bacteria. The quantification of denitrifying functional genes revealed that the N 2 O emission rate had a significant positive correlation with the abundance of the nirS, nirK genes in both treatments with MSS amendment. The nosZ/(nirS + nirK) ratio could be a good indicator for predicting N 2 O emissions. The higher N 2 O emission rate during the initial stage of composting mixed with MSS was characterized by lower nosZ/(nirS + nirK) ratios, compared to CK treatment. Higher ratios of nosZ/(nirS + nirK) were measured during the cooling and maturation stage in treatments with MSS which resulted in a reduction of the N 2 O emissions. These results demonstrated that MSS amendment could be a valid strategy for mitigating N 2 O emissions during MSW composting. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Infrared spectra and tunneling dynamics of the N2-D2O and OC-D2O complexes in the v2 bend region of D2O.

    PubMed

    Zhu, Yu; Zheng, Rui; Li, Song; Yang, Yu; Duan, Chuanxi

    2013-12-07

    The rovibrational spectra of the N2-D2O and OC-D2O complexes in the v2 bend region of D2O have been measured in a supersonic slit jet expansion using a rapid-scan tunable diode laser spectrometer. Both a-type and b-type transitions were observed for these two complexes. All transitions are doubled, due to the heavy water tunneling within the complexes. Assuming the tunneling splittings are the same in K(a) = 0 and K(a) = 1, the band origins, all three rotational and several distortion constants of each tunneling state were determined for N2-D2O in the ground and excited vibrational states, and for OC-D2O in the excited vibrational state, respectively. The averaged band origin of OC-D2O is blueshifted by 2.241 cm(-1) from that of the v2 band of the D2O monomer, compared with 1.247 cm(-1) for N2-D2O. The tunneling splitting of N2-D2O in the ground state is 0.16359(28) cm(-1), which is about five times that of OC-D2O. The tunneling splittings decrease by about 26% for N2-D2O and 23% for OC-D2O, respectively, upon excitation of the D2O bending vibration, indicating an increase of the tunneling barrier in the excited vibrational state. The tunneling splittings are found to have a strong dependence on intramolecular vibrational excitation as well as a weak dependence on quantum number K(a).

  3. Archaea produce lower yields of N2 O than bacteria during aerobic ammonia oxidation in soil.

    PubMed

    Hink, Linda; Nicol, Graeme W; Prosser, James I

    2017-12-01

    Nitrogen fertilisation of agricultural soil contributes significantly to emissions of the potent greenhouse gas nitrous oxide (N 2 O), which is generated during denitrification and, in oxic soils, mainly by ammonia oxidisers. Although laboratory cultures of ammonia oxidising bacteria (AOB) and archaea (AOA) produce N 2 O, their relative activities in soil are unknown. This work tested the hypothesis that AOB dominate ammonia oxidation and N 2 O production under conditions of high inorganic ammonia (NH 3 ) input, but result mainly from the activity of AOA when NH 3 is derived from mineralisation. 1-octyne, a recently discovered inhibitor of AOB, was used to distinguish N 2 O production resulting from archaeal and bacterial ammonia oxidation in soil microcosms, and specifically inhibited AOB growth, activity and N 2 O production. In unamended soils, ammonia oxidation and N 2 O production were lower and resulted mainly from ammonia oxidation by AOA. The AOA N 2 O yield relative to nitrite produced was half that of AOB, likely due to additional enzymatic mechanisms in the latter, but ammonia oxidation and N 2 O production were directly linked in all treatments. Relative contributions of AOA and AOB to N 2 O production, therefore, reflect their respective contributions to ammonia oxidation. These results suggest potential mitigation strategies for N 2 O emissions from fertilised agricultural soils. © 2016 The Authors. Environmental Microbiology published by Society for Applied Microbiology and John Wiley & Sons Ltd.

  4. Nano-TiO2, ultrasound and sequential nano-TiO2/ultrasonic degradation of N-acetyl-para-aminophenol from aqueous solution.

    PubMed

    Ayanda, Olushola S; Nelana, Simphiwe M; Petrik, Leslie F; Naidoo, Eliazer B

    2017-10-01

    The application of nano-TiO 2 as adsorbent combined with ultrasound for the degradation of N-acetyl-para-aminophenol (AAP) from aqueous solution was investigated. The nano-TiO 2 was characterized by means of powder X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive spectroscopy (EDS), and attenuated total reflection-Fourier transform infrared spectroscopy (ATR-FTIR). Experimental results revealed that the adsorption of AAP by nano-TiO 2 fitted the pseudo-second-order kinetic model, the equilibrium could be explained by the Freundlich isotherm and the treatment process is exothermic. The optimum removal efficiency of AAP (128.89 mg/g (77.33%)) was achieved at pH 4 when 0.03 g of nano-TiO 2 was mixed with 50 mL of 100 mg/L AAP aqueous solution at ambient temperature, 60 min contact time, and a stirring speed of 120 rpm. Ultrasound at 20 kHz and pH 3 was favorable and it resulted in 52.61% and 57.43% removal efficiency with and without the addition of nano-TiO 2 , respectively. The degradation of AAP by ultrasound followed by nano-TiO 2 treatment resulted in approximately 99.50% removal efficiency. This study showed that a sequential ultrasound and nano-TiO 2 treatment process could be employed for the removal of AAP or other emerging water and wastewater contaminants.

  5. A novel 15N tracer approach for the quantification of N2 and N2O emissions from soil incubations in a completely automated laboratory set up

    NASA Astrophysics Data System (ADS)

    Scheer, Clemens; Dannenmann, Michael; Meier, Rudolf

    2015-04-01

    -1soil-1hr-1and N2 emissions ranging from 4.2 to 43 μN kg-1soil-1hr-1for the different treatments. The main end-product of denitrification was N2O for both water contents with N2 accounting for 9% and 13% of the total denitrification losses at 80% and 100%WFPS, respectively. Between 95-100% of the added 15N fertiliser could be recovered. Gross nitrification over the 3 days amounted to 8.6 μN g-1 soil-1 and 4.7 μN g-1 soil-1, denitrification to 4.1 μN g-1 soil-1 and 11.8 μN g-1 soil-1at 80% and 100%WFPS, respectively. The results confirm that the tested method allows for a direct and highly sensitive detection of N2 and N2O fluxes from soils and hence offers a sensitive tool to study denitrification and N turnover in terrestrial agro-ecosystems.

  6. O2(b1Σg+) Quenching by O2, CO2, H2O, and N2 at Temperatures of 300-800 K.

    PubMed

    Zagidullin, M V; Khvatov, N A; Medvedkov, I A; Tolstov, G I; Mebel, A M; Heaven, M C; Azyazov, V N

    2017-10-05

    Rate constants for the removal of O 2 (b 1 Σ g + ) by collisions with O 2 , N 2 , CO 2 , and H 2 O have been determined over the temperature range from 297 to 800 K. O 2 (b 1 Σ g + ) was excited by pulses from a tunable dye laser, and the deactivation kinetics were followed by observing the temporal behavior of the b 1 Σ g + -X 3 Σ g - fluorescence. The removal rate constants for CO 2 , N 2 , and H 2 O were not strongly dependent on temperature and could be represented by the expressions k CO2 = (1.18 ± 0.05) × 10 -17 × T 1.5 × exp[Formula: see text], k N2 = (8 ± 0.3) × 10 -20 × T 1.5 × exp[Formula: see text], and k H2O = (1.27 ± 0.08) × 10 -16 × T 1.5 × exp[Formula: see text] cm 3 molecule -1 s -1 . Rate constants for O 2 (b 1 Σ g + ) removal by O 2 (X), being orders of magnitude lower, demonstrated a sharp increase with temperature, represented by the fitted expression k O2 = (7.4 ± 0.8) × 10 -17 × T 0.5 × exp[Formula: see text] cm 3 molecule -1 s -1 . All of the rate constants measured at room temperature were found to be in good agreement with previously reported values.

  7. N2/O2/H2 Dual-Pump Cars: Validation Experiments

    NASA Technical Reports Server (NTRS)

    OByrne, S.; Danehy, P. M.; Cutler, A. D.

    2003-01-01

    The dual-pump coherent anti-Stokes Raman spectroscopy (CARS) method is used to measure temperature and the relative species densities of N2, O2 and H2 in two experiments. Average values and root-mean-square (RMS) deviations are determined. Mean temperature measurements in a furnace containing air between 300 and 1800 K agreed with thermocouple measurements within 26 K on average, while mean mole fractions agree to within 1.6 % of the expected value. The temperature measurement standard deviation averaged 64 K while the standard deviation of the species mole fractions averaged 7.8% for O2 and 3.8% for N2, based on 200 single-shot measurements. Preliminary measurements have also been performed in a flat-flame burner for fuel-lean and fuel-rich flames. Temperature standard deviations of 77 K were measured, and the ratios of H2 to N2 and O2 to N2 respectively had standard deviations from the mean value of 12.3% and 10% of the measured ratio.

  8. (Carbonato-κO,O')bis-(di-2-pyridyl-amine-κN,N')cobalt(III) bromide.

    PubMed

    Czapik, Agnieszka; Papadopoulos, Christos; Lalia-Kantouri, Maria; Gdaniec, Maria

    2011-04-01

    In the title compound, [Co(CO(3))(C(10)H(9)N(3))(2)]Br, a distorted octa-hedral coordination of the Co(III) atom is completed by four N atoms of the two chelating di-2-pyridyl-amine ligands and two O atoms of the chelating carbonate anion. The di-2-pyridyl-amine ligands are nonplanar and the dihedral angles between the 2-pyridyl groups are 29.11 (9) and 37.15 (12)°. The coordination cation, which has approximate C(2) symmetry, is connected to the bromide ion via an N-H⋯Br(-) hydrogen bond. The ionic pair thus formed is further assembled into a dimer via N-H⋯O inter-actions about an inversion centre. A set of weaker C-H⋯O and C-H⋯Br(-) inter-actions connect the dimers into a three-dimensional network.

  9. Room-temperature aqueous plasma electrolyzing Al2O3 nano-coating on carbon fiber

    NASA Astrophysics Data System (ADS)

    Zhang, Yuping; Meng, Yang; Shen, Yonghua; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2017-10-01

    A novel room-temperature aqueous plasma electrolysis technique has been developed in order to prepared Al2O3 nano-coating on each fiber within a carbon fiber bundle. The microstructure and formation mechanism of the Al2O3 nano-coating were systematically investigated. The oxidation resistance and tensile strength of the Al2O3-coated carbon fiber was measured at elevated temperatures. It showed that the dense Al2O3 nano-coating was relatively uniformly deposited with 80-120 nm in thickness. The Al2O3 nano-coating effectively protected the carbon fiber, evidenced by the slower oxidation rate and significant increase of the burn-out temperature from 800 °C to 950 °C. Although the bare carbon fiber remained ∼25 wt.% after oxidation at 700 °C for 20 min, a full destruction was observed, evidenced by the ∼0 GPa of the tensile strength, compared to ∼1.3 GPa of the Al2O3-coated carbon fiber due to the effective protection from the Al2O3 nano-coating. The formation mechanism of the Al2O3 nano-coating on carbon fiber was schematically established mainly based on the physic-chemical effect in the cathodic plasma arc zone.

  10. Quantifying N2O emissions and production pathways from fresh waste during the initial stage of disposal to a landfill.

    PubMed

    Wang, Xiaojun; Jia, Mingsheng; Zhang, Han; Pan, Songqing; Kao, Chih Ming; Chen, Shaohua

    2017-05-01

    Intensive nitrous oxide (N 2 O) emissions usually occur at the working face of landfills. However, the specific amounts and contributions of the multiple pathways to N 2 O emissions are poorly understood. N 2 O emissions and the mutual conversions of N-species in both open and sealed simulated landfill reactors filled with fresh refuse were examined during a 100-h incubation period, and N 2 O sources were calculated using 15 N isotope labelling. N 2 O peak fluxes were above 70μgNkg -1 waste h -1 for both treatments. The sealed incubation reactors became a N 2 O sink when N 2 O in the ambient environment was sufficient. The total amount of N 2 O emissions under sealed conditions was 2.15±0.56mgNkg -1 waste, which was higher than that under open conditions (1.91±0.34mgNkg -1 waste). The NO 2 - peak appeared prior to the peak in N 2 O flux. The degree and duration of total nitrogen reduction in open incubations were larger and longer than those of sealed incubations and could possibly be due to oxygen supplementation. Denitrification (DF) was a major source of N 2 O generation during these incubations. The contribution of the DF pathway decreased from 89.2% to 61.3% during the open incubations. The effects of nitrification (NF) and nitrification-coupled denitrification (NCD) increased during the increasing phase and the decreasing phase of N 2 O flux, contributing 24.1-37.4% and 31.7-34.4% of total N 2 O emissions, respectively. In sealed treatments, the DF pathway accounted for more than 90% of the total N 2 O emission during the entire incubation. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO{sub 2}/TiN stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chernikova, A. G.; Kuzmichev, D. S.; Negrov, D. V.

    2016-06-13

    We report the possibility of employment of low temperature (≤330 °C) plasma-enhanced atomic layer deposition for the formation of both electrodes and hafnium-oxide based ferroelectric in the metal-insulator-metal structures. The structural and ferroelectric properties of La doped HfO{sub 2}-based layers and its evolution with the change of both La content (2.1, 3.7 and 5.8 at. %) and the temperature of the rapid thermal processing (550–750 °C) were investigated in detail. Ferroelectric properties emerged only for 2.1 and 3.7 at. % of La due to the structural changes caused by the given doping levels. Ferroelectric properties were also found to depend strongly on annealing temperature,more » with the most robust ferroelectric response for lowest La concentration and intermediate 650 °C annealing temperature. The long term wake-up effect and such promising endurance characteristics as 3 × 10{sup 8} switches by bipolar voltage cycles with 30 μs duration and ± 3 MV/cm amplitude without any decrease of remnant polarization value were demonstrated.« less

  12. N and O isotope (δ15 Nα , δ15 Nβ , δ18 O, δ17 O) analyses of dissolved NO3- and NO2- by the Cd-azide reduction method and N2 O laser spectrometry.

    PubMed

    Wassenaar, Leonard I; Douence, Cedric; Altabet, Mark A; Aggarwal, Pradeep K

    2018-02-15

    The nitrogen and oxygen (δ 15 N, δ 18 O, δ 17 O) isotopic compositions of NO 3 - and NO 2 - are important tracers of nutrient dynamics in soil, rain, groundwater and oceans. The Cd-azide method was used to convert NO 3 - or NO 2 - to N 2 O for N and triple-O isotopic analyses by N 2 O laser spectrometry. A protocol for laser-based headspace isotope analyses was compared with isotope ratio mass spectrometry. Lasers provide the ability to directly measure 17 O anomalies which can help discern atmospheric N sources. δ 15 N, δ 18 O and δ 17 O values were measured on N/O stable isotopic reference materials (IAEA, USGS) by conversion to N 2 O using the Cd-azide method and headspace N 2 O laser spectrometry. A 15 N tracer test assessed the position-specific routing of N to the α or β positions in the N 2 O molecule. A data processing algorithm was used to correct for isotopic dependencies on N 2 O concentration, cavity pressure and water content. NO 3 - /NO 2 - nitrogen is routed to the 15 N α position of N 2 O in the azide reaction; hence the δ 15 N α value should be used for N 2 O laser spectrometry results. With corrections for cavity pressure, N 2 O concentration and water content, the δ 15 N α AIR , δ 18 O VSMOW and δ 17 O VSMOW values (‰) of international reference materials were +4.8 ± 0.1, +25.9 ± 0.3, +12.7 ± 0.2 (IAEA NO 3 ), -1.7 ± 0.1, -26.8 ± 0.8, -14.4 ± 1.1 (USGS34) and +2.6 ± 0.1, +57.6 ± 1.2, +51.2 ± 2.0 (USGS35), in agreement with their values and with the isotope ratio mass spectrometry results. The 17 O excess for USGS35 was +21.2 ± 9‰, in good agreement with previous results. The Cd-azide method yielded excellent results for routine determination of δ 15 N, δ 18 O and δ 17 O values (and the 17 O excess) of nitrate or nitrite by laser spectrometry. Disadvantages are the toxicity of Cd-azide chemicals and the lack of automated sampling devices for N 2 O laser spectrometers. The 15 N-enriched tracer test revealed potential

  13. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  14. Improvement of interfacial and electrical properties of Al2O3/ n-Ga0.47In0.53As for III-V impact ionization MOSFETs

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie, A.; Bollaert, S.; Talbo, V.; Mateos, J.; González, T.; Vasallo, B. G.; Wichmann, N.

    2015-10-01

    In this work, Metal - Oxide - Semiconductor Capacitors (MOSCaps) based on Al2O3/ n-Ga0.47In0.53As interface have been studied. In order to have high MOSFETs performance, it is necessary to improve the semiconductor - oxide interface quality. It is observed that the (NH4)2S passivation shows lower interface trap density in the order of 6×1011cm-2.eV-1. Also, it is observed that O2 plasma densification after a passivation in a NH4OH solution improves the electrical behaviour of the charge control. Low interface trap density in the order of 1×1012cm-2.eV-1 was obtained for different treatments presented in this work.

  15. Atmospheric pressure plasma jet treatment of Salmonella Enteritidis inoculated eggshells.

    PubMed

    Moritz, Maike; Wiacek, Claudia; Koethe, Martin; Braun, Peggy G

    2017-03-20

    Contamination of eggshells with Salmonella Enteritidis remains a food safety concern. In many cases human salmonellosis within the EU can be traced back to raw or undercooked eggs and egg products. Atmospheric pressure plasma is a novel decontamination method that can reduce a wide range of pathogens. The aim of this work was to evaluate the possibility of using an effective short time cold plasma treatment to inactivate Salmonella Enteritidis on the eggshell. Therefore, artificially contaminated eggshells were treated with an atmospheric pressure plasma jet under different experimental settings with various exposure times (15-300s), distances from the plasma jet nozzle to the eggshell surface (5, 8 or 12mm), feed gas compositions (Ar, Ar with 0.2, 0.5 or 1.0% O 2 ), gas flow rates (5 and 7slm) and different inoculations of Salmonella Enteritidis (10 1 -10 6 CFU/cm 2 ). Atmospheric pressure plasma could reduce Salmonella Enteritidis on eggshells significantly. Reduction factors ranged between 0.22 and 2.27 log CFU (colony-forming units). Exposure time and, particularly at 10 4 CFU/cm 2 inoculation, feed gas had a major impact on Salmonella reduction. Precisely, longer exposure times led to higher reductions and Ar as feed gas was more effective than ArO 2 mixtures. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Influence of plasma treatment of carbon blacks on electrochemical activity of Pt/carbon blacks catalysts for DMFCs

    NASA Astrophysics Data System (ADS)

    Kim, Seok; Cho, Mi-Hwa; Lee, Jae-Rock; Park, Soo-Jin

    In this work, in order to improve the dispersion of platinum catalysts deposited on carbon materials, the effects of surface plasma treatment of carbon blacks (CBs) were investigated. The surface characteristics of the CBs were determined by fourier transformed-infrared (FT-IR), X-ray photoelectron spectroscopy (XPS), and Boehm's titration method. The electrochemical properties of the plasma-treated CBs-supported Pt (Pt/CBs) catalysts were analyzed by linear sweep voltammetry (LSV) experiments. From the results of FT-IR and acid-base values, N 2-plasma treatment of the CBs at 300 W intensity led to a formation of a free radical on the CBs. The peak intensity increased with increase of the treatment time, due to the formation of new basic functional groups (such as C-N, C dbnd N, -NH 3 +, -NH, and dbnd NH) by the free radical on the CBs. Accordingly, the basic values were enhanced by the basic functional groups. However, after a specific reaction time, N 2-plasma treatment could hardly influence on change of the surface functional groups of CBs, due to the disappearance of free radical. Consequently, it was found that optimal treatment time was 30 s for the best electro activity of Pt/CBs catalysts and the N 2-plasma treated Pt/CBs possessed the better electrochemical properties than the pristine Pt/CBs.

  17. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  18. Uptake of copper and cerium by alfalfa, lettuce and cucumber exposed to nCeO2 and nCuO through the foliage or the roots: Impacts on food quality, physiological and agronomical parameters

    NASA Astrophysics Data System (ADS)

    Hong, Jie

    Nanotechnology is increasingly attracting attention not only for its variety of applications in modern life, but for the potential negative effects that nanomaterials (NMs) can cause in the environment and human health. Studies have shown varied effects of engineered nanoparticles (ENPs) on plants; however, most of these studies focused on the interaction of NPs with plants at root level. The increasing production and use of NPs have also increased the atmospheric amounts of NPs, which could be taken up by plants through their leaves. Cucumbers (Cucumis sativus L.) are broad leaf plants commonly grown both commercially and in home vegetable gardens that can be easily impacted by atmospheric NPs. However, there is limited information about the potential effects of these atmospheric NPs on cucumber. This research was aimed to determine (I) the possible uptake and translocation of cerium (Ce) by cucumber plants exposed to nCeO 2 (cerium dioxide nanoparticles, nanoceria) through the foliage, (II) the impacts of the NPs on physiological parameters of the plants and the effects on the nutritional value and quality of the fruits, and (III) the effects of seven copper compounds/nanoparticles applied to the growth medium of lettuce (Lactuca sativa) and alfalfa (Medicago sativa). For aim I, 15 day-old hydroponically grown cucumber plants were exposed to nCeO2, either as powder at 0.98 and 2.94 g/m3 or suspensions at 20, 40, 80, 160, 320 mg/l. Ce uptake was analyzed by using inductively coupled plasma-optical emission spectroscopy (ICP-OES) and transmission electron microscope (TEM). The activity of three stress enzymes was measured by UV/Vis. Ce was detected in all cucumber tissues and TEM images showed the presence of Ce in roots. Results suggested nCeO2 penetrated plants through leaves and moved to other plant parts. The biochemical assays showed nCeO2 also modified stress enzyme activities. For aim II, 15 day-old soil grown cucumber plants were foliar treated, separately

  19. The quenching effect of hydrogen on the nitrogen in metastable state in atmospheric-pressure N{sub 2}-H{sub 2} microwave plasma torch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shou-Zhe, E-mail: lisz@dlut.edu.cn; Zhang, Xin; Chen, Chuan-Jie

    2014-07-15

    The atmospheric-pressure microwave N{sub 2}-H{sub 2} plasma torch is generated and diagnosed by optical emission spectroscopy. It is found that a large amount of N atoms and NH radicals are generated in the plasma torch and the emission intensity of N{sub 2}{sup +} first negative band is the strongest over the spectra. The mixture of hydrogen in nitrogen plasma torch causes the morphology of the plasma discharge to change with appearance that the afterglow shrinks greatly and the emission intensity of N{sub 2}{sup +} first negative band decreases with more hydrogen mixed into nitrogen plasma. In atmospheric-pressure microwave-induced plasma torch,more » the hydrogen imposes a great influence on the characteristics of nitrogen plasma through the quenching effect of the hydrogen on the metastable state of N{sub 2}.« less

  20. Comparison of N2O Emissions from Soils at Three Temperate Agricultural Sites

    NASA Technical Reports Server (NTRS)

    Frolking, S. E.; Moiser, A. R.; Ojima, D. S.; Li, C.; Parton, W. J.; Potter, C. S.; Priesack, E.; Stenger, R.; Haberbosch, C.; Dorsch, P.; hide

    1997-01-01

    Nitrous oxide (N2O) flux simulations by four models were compared with year-round field measurements from five temperate agricultural sites in three countries. The field sites included an unfertilized, semi-arid rangeland with low N2O fluxes in eastern Colorado, USA; two fertilizer treatments (urea and nitrate) on a fertilized grass ley cut for silage in Scotland; and two fertilized, cultivated crop fields in Germany where N2O loss during the winter was quite high. The models used were daily trace gas versions of the CENTURY model, DNDC, ExpertN, and the NASA-Ames version of the CASA model. These models included similar components (soil physics, decomposition, plant growth, and nitrogen transformations), but in some cases used very different algorithms for these processes. All models generated similar results for the general cycling of nitrogen through the agro-ecosystems, but simulated nitrogen trace gas fluxes were quite different. In most cases the simulated N20 fluxes were within a factor of about 2 of the observed annual fluxes, but even when models produced similar N2O fluxes they often produced very different estimates of gaseous N loss as nitric oxide (NO), dinitrogen (N2), and ammonia (NH3). Accurate simulation of soil moisture appears to be a key requirement for reliable simulation of N2O emissions. All models simulated the general pattern of low background fluxes with high fluxes following fertilization at the Scottish sites, but they could not (or were not designed to) accurately capture the observed effects of different fertilizer types on N2O flux. None of the models were able to reliably generate large pulses of N2O during brief winter thaws that were observed at the two German sites. All models except DNDC simulated very low N2O fluxes for the dry site in Colorado. The US Trace Gas Network (TRAGNET) has provided a mechanism for this model and site intercomparison. Additional intercomparisons are needed with these and other models and additional data

  1. Determination of 5-hydroxy-N-methyl-2-pyrrolidone and 2-hydroxy-N-methylsuccinimide in human plasma and urine using liquid chromatography-electrospray tandem mass spectrometry.

    PubMed

    Carnerup, M A; Akesson, B; Jönsson, B A

    2001-09-15

    A method for simultaneous determination of 5-hydroxy-N-methyl-2-pyrrolidone (5-HNMP) and 2-hydroxy-N-methylsuccinimide (2-HMSI) was developed. These compounds are metabolites from N-methyl-2-pyrrolidone (NMP), a powerful and widely used organic solvent. 5-HNMP and 2-HMSI were purified from plasma and urine by solid-phase extraction using Isolute ENV+ columns, and analysed by liquid chromatography coupled to a mass spectrometer fitted with an atmospheric pressure turbo ion spray ionisation interface in the positive ion mode. The method was validated for plasma and urine concentrations from 0.12 to 25 microg/ml. The recoveries for 5-HNMP and 2-HMSI in plasma were 99 and 98%, respectively, and in urine 111 and 106%, respectively. For 5-HNMP and 2-HMSI, the within-day precision in plasma was 1-4 and 3-6%, respectively, and in urine 2-12 and 3-10%, respectively. The corresponding data for the between-day precision was 5 and 3-6%, respectively, and 4-6 and 7-8%, respectively. The detection limit for 5-HNMP was 4 ng/ml in plasma and 120 ng/ml in urine. For 2-HMSI, it was 5 ng/ml in plasma and 85 ng/ml in urine. The method is applicable for analysis of plasma and urine samples from workers exposed to NMP.

  2. Role of UDP-N-Acetylglucosamine (GlcNAc) and O-GlcNAcylation of Hyaluronan Synthase 2 in the Control of Chondroitin Sulfate and Hyaluronan Synthesis*

    PubMed Central

    Vigetti, Davide; Deleonibus, Sara; Moretto, Paola; Karousou, Eugenia; Viola, Manuela; Bartolini, Barbara; Hascall, Vincent C.; Tammi, Markku; De Luca, Giancarlo; Passi, Alberto

    2012-01-01

    Hyaluronan (HA) is a glycosaminoglycan present in most tissue microenvironments that can modulate many cell behaviors, including proliferation, migration, and adhesive proprieties. In contrast with other glycosaminoglycans, which are synthesized in the Golgi, HA is synthesized at the plasma membrane by one or more of the three HA synthases (HAS1–3), which use cytoplasmic UDP-glucuronic acid and UDP-N-acetylglucosamine as substrates. Previous studies revealed the importance of UDP-sugars for regulating HA synthesis. Therefore, we analyzed the effect of UDP-GlcNAc availability and protein glycosylation with O-linked N-acetylglucosamine (O-GlcNAcylation) on HA and chondroitin sulfate synthesis in primary human aortic smooth muscle cells. Glucosamine treatment, which increases UDP-GlcNAc availability and protein O-GlcNAcylation, increased synthesis of both HA and chondroitin sulfate. However, increasing O-GlcNAcylation by stimulation with O-(2-acetamido-2-deoxy-d-glucopyranosylidene)amino-N-phenylcarbamate without a concomitant increase of UDP-GlcNAc increased only HA synthesis. We found that HAS2, the main synthase in aortic smooth muscle cells, can be O-GlcNAcylated on serine 221, which strongly increased its activity and its stability (t½ >5 h versus ∼17 min without O-GlcNAcylation). S221A mutation prevented HAS2 O-GlcNAcylation, which maintained the rapid turnover rate even in the presence of GlcN and increased UDP-GlcNAc. These findings could explain the elevated matrix HA observed in diabetic vessels that, in turn, could mediate cell dedifferentiation processes critical in vascular pathologies. PMID:22887999

  3. Oxygen vibrations in the series Bi2Sr2Ca{_{n-1}}Cu{n}O{_{4+2 n+y}}

    NASA Astrophysics Data System (ADS)

    Faulques, E.; Dupouy, P.; Lefrant, S.

    1991-06-01

    We present a discussion of the oxygen vibrations in the Bi{2}Sr{2}Ca{n-1}Cu{n}O{4+2 n+y} high T_c superconductors with the aim of interpreting Raman spectra in the case of the non-symmorphic Amaa structure. Group theory shows that the oxygen atoms belonging to the central CuO{2} plane generate a Raman activity for the n=1,3 phases. Consequently, we propose a novel assignment for the lines of weak intensity at 297, 316 and 333 cm^{-1}. It is shown that the two components of the 460 cm^{-1} band may be consistent with the Amma structure. Spectra recorded in crossed polarization exhibit weak lines which could be assigned to B {1g} modes expected for the three phases. Nous présentons une discussion sur les vibrations des atomes d'oxygène dans la série des supraconducteurs Bi{2}Sr{2}Ca{n-1}Cu{n}O{4+2 n+y} dans le but d'interpréter les spectres Raman. L'analyse des modes normaux de vibration de la structure Amaa pour les phases n=1 ou 3 montre que les atomes d'oxygène du plan CuO{2} contenant les centres d'inversion donnent lieu à une activité Raman. En conséquence, nous proposons une nouvelle attribution pour les raies de faible intensité à 297, 316 et 333 cm^{-1}. Nous montrons que le dédoublement de la bande à 460 cm^{-1} pourrait être dû à la structure Amaa. Les spectres enregistrés en polarization croisée montrent de faibles bandes qui peuvent être attribuées aux modes B {1g} attendus pour les trois phases.

  4. Surface Nitrification: A Major Uncertainty in Marine N2O Emissions

    NASA Technical Reports Server (NTRS)

    Zamora, Lauren M.; Oschlies, Andreas

    2014-01-01

    The ocean is responsible for up to a third of total global nitrous oxide (N2O) emissions, but uncertainties in emission rates of this potent greenhouse gas are high (approaching 100%). Here we use a marine biogeochemical model to assess six major uncertainties in estimates of N2O production, thereby providing guidance in how future studies may most effectively reduce uncertainties in current and future marine N2O emissions. Potential surface N2O production from nitrification causes the largest uncertainty in N2O emissions (estimated up to approximately 1.6 Tg N/yr (sup -1) or 48% of modeled values), followed by the unknown oxygen concentration at which N2O production switches to N2O consumption (0.8 Tg N/yr (sup -1)or 24% of modeled values). Other uncertainties are minor, cumulatively changing regional emissions by less than 15%. If production of N2O by surface nitrification could be ruled out in future studies, uncertainties in marine N2O emissions would be halved.

  5. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    NASA Astrophysics Data System (ADS)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  6. A kinetic study of the reactions FeO+ + O, Fe+.N2 + O, Fe+.O2 + O and FeO+ + CO: implications for sporadic E layers in the upper atmosphere.

    PubMed

    Woodcock, K R S; Vondrak, T; Meech, S R; Plane, J M C

    2006-04-21

    These gas-phase reactions were studied by pulsed laser ablation of an iron target to produce Fe(+) in a fast flow tube, with detection of the ions by quadrupole mass spectrometry. Fe(+).N(2) and Fe(+).O(2) were produced by injecting N(2) and O(2), respectively, into the flow tube. FeO(+) was produced from Fe(+) by addition of N(2)O, or by ligand-switching from Fe(+).N(2) following the addition of atomic O. The following rate coefficients were measured: k(FeO(+) + O --> Fe(+) + O(2), 186-294 K) = (3.2 +/- 1.5) x 10(-11); k(Fe(+).N(2) + O --> FeO(+)+ N(2), 294 K) = (4.6 +/- 2.5) x 10(-10); k(Fe(+).O(2) + O --> FeO(+) + O(2), 294 K) = (6.3 +/- 2.7) x 10(-11); and k(FeO(+) + CO --> Fe(+) + CO(2), 294 K) = (1.59 +/- 0.34) x 10(-10) cm(3) molecule(-1) s(-1), where the quoted uncertainties are a combination of the 1sigma standard errors in the kinetic data and the systematic experimental errors. The surprisingly slow reaction between FeO(+) and O is examined using ab initio quantum calculations of the relevant potential energy surfaces. The importance of this reaction for controlling the lifetime of sporadic E layers is then demonstrated using a model of the upper mesosphere and lower thermosphere.

  7. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  8. Triaqua-1κO,2κ2 O-bis­(2,2′-bipyridine)-1κ2 N,N′;2κ2 N,N′-chlorido-1κCl-μ-terephthalato-1:2κ2 O 1:O 4-dicopper(II) nitrate monohydrate

    PubMed Central

    Liu, Yang; Feng, Yong-Lan; Kuang, Dai-Zhi

    2012-01-01

    In the binuclear title compound, [Cu2(C8H4O4)Cl(C10H8N2)2(H2O)3]NO3·H2O, the two crystallographically independent CuII ions have similar coordination environments. One of the CuII ions has a square-pyramidal arrangement, which is defined by a water mol­ecule occupying the apical position, with the equatorial ligators consisting of two N atoms from a 2,2′-bipyridine mol­ecule, one carboxyl­ate O atom from a terephthalate ligand and one O atom from a water mol­ecule. The other CuII ion has a similar coordination environment, except that the apical position is occupied by a chloride ligand instead of a water mol­ecule. An O—H⋯O and O—H⋯Cl hydrogen-bonded three-dimensional network is formed between the components. PMID:22719307

  9. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    NASA Astrophysics Data System (ADS)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  10. TiO2 Nanoparticle-Induced Oxidation of the Plasma Membrane: Importance of the Protein Corona.

    PubMed

    Runa, Sabiha; Lakadamyali, Melike; Kemp, Melissa L; Payne, Christine K

    2017-09-21

    Titanium dioxide (TiO 2 ) nanoparticles, used as pigments and photocatalysts, are widely present in modern society. Inhalation or ingestion of these nanoparticles can lead to cellular-level interactions. We examined the very first step in this cellular interaction, the effect of TiO 2 nanoparticles on the lipids of the plasma membrane. Within 12 h of TiO 2 nanoparticle exposure, the lipids of the plasma membrane were oxidized, determined with a malondialdehyde assay. Lipid peroxidation was inhibited by surface passivation of the TiO 2 nanoparticles, incubation with an antioxidant (Trolox), and the presence of serum proteins in solution. Subsequent experiments determined that serum proteins adsorbed on the surface of the TiO 2 nanoparticles, forming a protein corona, inhibit lipid peroxidation. Super-resolution fluorescence microscopy showed that these serum proteins were clustered on the nanoparticle surface. These protein clusters slow lipid peroxidation, but by 24 h, the level of lipid peroxidation is similar, independent of the protein corona or free serum proteins. Additionally, over 24 h, this corona of proteins was displaced from the nanoparticle surface by free proteins in solution. Overall, these experiments provide the first mechanistic investigation of plasma membrane oxidation by TiO 2 nanoparticles, in the absence of UV light and as a function of the protein corona, approximating a physiological environment.

  11. Photooxidative desulfurization for diesel using Fe / N - TiO2 photocatalyst

    NASA Astrophysics Data System (ADS)

    Khan, Muhammad Saqib; Kait, Chong Fai; Mutalib, Mohd Ibrahim Abdul

    2014-10-01

    A series of N - TiO2 with different mol% N was synthesized via sol-gel method and characterized using thermal gravimetric analyzer and raman spectroscopy. 0.2 wt% Fe was incorporated onto the calcined (200°C) N - TiO2 followed by calcination at 200°C, 250°C and 300°C. Photooxidative desulfurization was conducted in the presence of 0.2wt% Fe / N - TiO2 with different mol% N with and without oxidant (H2O2). Oxidative desulfurization was only achieved when H2O2 was used while without H2O2 no major effect on the sulfur removal. 0.2Fe -30N - H2O2 photocatalysts showed best performance at all calcination temperatures as compared to other mol% N - H2O2 photocatalysts. 16.45% sulfur removal was achieved using photocatalysts calcined at 300 °C.

  12. The source of stratospheric NO and N2O

    NASA Technical Reports Server (NTRS)

    Slanger, T. G.

    1984-01-01

    The photodissociation of O3 was investigated as a possible sources of N2O production in the stratosphere. Photolysis was conducted at 1576 A to generate the excited O2 states that react with N2 to form N2O. At this wavelength, there is a quantum yield of two for prompt production of oygen atoms, which is a consequence of the existence of two photodissociative channels giving comparable yields. One of these channels gives O(D1) and O2(b1sigma(+)subg), with a quantum yield of 0.6, whereas the other results in fragmentation of the O3, with production of three ground state oxygen atoms. The O2(b) is generated with vibrational excitation, and there are comparable populations in levels O to 3. These observations are the first to show O2(b) production from any photodissociative process, and were made under conditions in which the kinetics of vibrationally excited O2(b) can be studied. It appears that O3 photodissociation at 1576 A is not a good system for generating the higher electronic states of O2; it is likely that better results will be obtained at 1930 A.

  13. Effect of calcination environments and plasma treatment on structural, optical and electrical properties of FTO transparent thin films

    NASA Astrophysics Data System (ADS)

    Kafle, Madhav; Kapadi, Ramesh K.; Joshi, Leela Pradhan; Rajbhandari, Armila; Subedi, Deepak P.; Gyawali, Gobinda; Lee, Soo W.; Adhikari, Rajendra; Kafle, Bhim P.

    2017-07-01

    The dependence of the structural, optical and electrical properties of the FTO thin films on the film thickness (276 nm - 546 nm), calcination environment, and low temperature plasma treatment were examined. The FTO thin films, prepared by spray pyrolysis, were calcinated under air followed by either further heat treatment under N2 gas or treatment in low temperature atmospheric plasma. The samples before and after calcination under N2, and plasma treatment will be represented by Sair, SN2 and SPl, respectively, hereafter. The thin films were characterized by measuring the XRD spectra, SEM images, optical transmittance and reflectance, and sheet resistance of the films before and after calcination in N2 environment or plasma treatment. The presence of sharp and narrow multiple peaks in XRD spectra hint us that the films were highly crystalline (polycrystalline). The samples Sair with the thickness of 471 nm showed as high as 92 % transmittance in the visible range. Moreover, from the tauc plot, the optical bandgap Eg values of the Sair found to be noticeably lower than that of the samples SN2. Very surprisingly, the electrical sheet resistance (Rsh) found to decrease following the trend as Rshair > RshN2 > RshPl. The samples exposed to plasma found to possess the lowest RshPl (for film with thickness 546 nm, the RshPl was 17 Ω /sq.).

  14. SnO2/CNT nanocomposite supercapacitors fabricated using scanning atmospheric-pressure plasma jets

    NASA Astrophysics Data System (ADS)

    Xu, Chang-Han; Chiu, Yi-Fan; Yeh, Po-Wei; Chen, Jian-Zhang

    2016-08-01

    SnO2/CNT electrodes for supercapacitors are fabricated by first screen-printing pastes containing SnO2 nanoparticles and CNTs on carbon cloth, following which nitrogen atmospheric pressure plasma jet (APPJ) sintering is performed at various APPJ scan rates. The APPJ scan rates change the time intervals for which the reactive plasma species and the heat of the nitrogen APPJs influence the designated sintering spot on the carbon cloth, resulting in APPJ-sintered SnO2/CNT nanocomposites with different properties. The water contact angle decreases with the APPJ scan rate. The improved wettability can facilitate the penetration of the electrolyte into the nanopores of the SnO2/CNT nanocomposites, thereby improving the charge storage and specific capacitance of the supercapacitors. Among the three tested APPJ scan rates, 1.5, 3, and 6 mm s-1, the SnO2/CNT supercapacitor sintered by APPJ under the lowest APPJ scan rate of 1.5 mm s-1 shows the best specific capacitance of ˜90 F g-1 as evaluated by cyclic voltammetry under a potential scan rate of 2 mV s-1. A high APPJ scan rate may result in low degree of materials activation and sintering, leading to poorer performance of SnO2/CNT supercapacitors. The results suggest the feasibility of an APPJ roll-to-roll process for the fabrication of SnO2/CNT nanocomposite supercapacitors.

  15. Electrically conductive ZnO/GaN distributed Bragg reflectors grown by hybrid plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Hjort, Filip; Hashemi, Ehsan; Adolph, David; Ive, Tommy; Haglund, Àsa

    2017-02-01

    III-nitride-based vertical-cavity surface-emitting lasers have so far used intracavity contacting schemes since electrically conductive distributed Bragg reflectors (DBRs) have been difficult to achieve. A promising material combination for conductive DBRs is ZnO/GaN due to the small conduction band offset and ease of n-type doping. In addition, this combination offers a small lattice mismatch and high refractive index contrast, which could yield a mirror with a broad stopband and a high peak reflectivity using less than 20 DBR-pairs. A crack-free ZnO/GaN DBR was grown by hybrid plasma-assisted molecular beam epitaxy. The ZnO layers were approximately 20 nm thick and had an electron concentration of 1×1019 cm-3, while the GaN layers were 80-110 nm thick with an electron concentration of 1.8×1018 cm-3. In order to measure the resistance, mesa structures were formed by dry etching through the top 3 DBR-pairs and depositing non-annealed Al contacts on the GaN-layers at the top and next to the mesas. The measured specific series resistance was dominated by the lateral and contact contributions and gave an upper limit of 10-3Ωcm2 for the vertical resistance. Simulations show that the ZnO electron concentration and the cancellation of piezoelectric and spontaneous polarization in strained ZnO have a large impact on the vertical resistance and that it could be orders of magnitudes lower than what was measured. This is the first report on electrically conductive ZnO/GaN DBRs and the upper limit of the resistance reported here is close to the lowest values reported for III-nitride-based DBRs.

  16. (CaO)nIrO2 (n = 1, 2, 4) family: Chemical scissors effects of CaO on structural characteristics correlated to physical properties. Ab initio study

    NASA Astrophysics Data System (ADS)

    Matar, Samir F.; Etourneau, Jean

    2017-11-01

    Based on crystal chemistry analysis within Ca-Ir-O ternary, the generic (CaO)nIrO2 formula leading to CaIrO3 for n = 1, Ca2IrO4 for n = 2 and Ca4IrO6 for n = 4 actual chemical compounds show significant structural changes regarding the spatial arrangement of IrO6 octahedra whereby increasing amounts of CaO act as 'chemical scissor' decreasing the dimensionality of stacking octahedra from 3D (IrO2) to 0D (Ca4IrO6). This is accompanied by changes in the electronic structure investigated within density functional theory. Such changes are particularly exhibited by linear increase of Ir density of states at the Fermi level revealing increasing localization of d states with crystal field effects. Eventually only for Ca4IrO6 a magnetic instability occurs in non magnetic configuration. Spin polarized calculations lead to development of small magnitude but finite magnetization on Ir with M 0.50 μB totally polarized along minority spin channel ↓.

  17. Possible ferroelectricity in perovskite oxynitride SrTaO2N epitaxial thin films

    PubMed Central

    Oka, Daichi; Hirose, Yasushi; Kamisaka, Hideyuki; Fukumura, Tomoteru; Sasa, Kimikazu; Ishii, Satoshi; Matsuzaki, Hiroyuki; Sato, Yukio; Ikuhara, Yuichi; Hasegawa, Tetsuya

    2014-01-01

    Compressively strained SrTaO2N thin films were epitaxially grown on SrTiO3 substrates using nitrogen plasma-assisted pulsed laser deposition. Piezoresponse force microscopy measurements revealed small domains (101–102 nm) that exhibited classical ferroelectricity, a behaviour not previously observed in perovskite oxynitrides. The surrounding matrix region exhibited relaxor ferroelectric-like behaviour, with remanent polarisation invoked by domain poling. First-principles calculations suggested that the small domains and the surrounding matrix had trans-type and a cis-type anion arrangements, respectively. These experiments demonstrate the promise of tailoring the functionality of perovskite oxynitrides by modifying the anion arrangements by using epitaxial strain.

  18. Mechanism of thermal electron attachment in N/sub 2/O--CO/sub 2/ mixtures in the gas phase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimamori, H.; Fessenden, R.W.

    1978-12-01

    The attachment of thermal electrons to nitrous oxide in N/sub 2/O--CO/sub 2/ mixtures has been studied at room temperature in the pressure range 5--120 torr. Ionization was by pulse radiolysis and the electron concentration was measured as a function of time by microwave conductivity. Addition of even less than 0.1% CO/sub 2/ to N/sub 2/O causes a marked increase in attachment rate. However, this enhancement soon saturates in that further additions of CO/sub 2/ have less and less effect. Experiments with ternary mixtures including C/sub 2/H/sub 6/ showed a further enhancement which was much larger than the additive effects ofmore » CO/sub 2/ and C/sub 2/H/sub 6/ alone. These observations can be explained by a two step three-body process producing vibrationally excited N/sub 2/O/sup -/* if the rate constant for stabilization of N/sub 2/O/sup -/* by CO/sub 2/ is 4 x 10/sup -30/ cm/sup 6//molecule/sup 2/xsec. The decrease in effectiveness with increased CO/sub 2/ pressure is interpreted as the collisional ionization of a complex ion, (N/sub 2/OxCO/sub 2/)/sup -/*. The nonadditive effect of hydrocarbon results from the rapid reactive destruction of such complexes by collision with the hydrocarbon. A detailed quantitative treatment of the proposed mechanism was successful in explaining most features of the data. In a limited set of experiments, allene : N/sub 2/O mixtures were found to behave much like CO/sub 2/--N/sub 2/O.« less

  19. Determination of Montelukast in Plasma Using β - Cyclodextrins Coated on CoFe2O4 Magnetic Nanoparticles in Luminol-H2O2 Chemiluminescence System Optimized by Doehlert Design.

    PubMed

    Samadi-Maybodi, Abdolraouf; Bakhtiar, Alireza; Fatemi, Mohammad Hossein

    2016-05-01

    A novel chemiluminescence method using β - cyclodextrins coated on CoFe2O4 magnetic nanoparticles is proposed for the chemiluminometric determination of montelukast in plasma. The effect of coated β - cyclodexterinon CoFe2O4 magnetic nanoparticles in the chemiluminescence of luminol-H2O2 system was investigated. It was found that β - cyclodexterin coated on CoFe2O4 magnetic nanoparticles could greatly enhance the chemiluminescence of the luminol-H2O2 system. Doehlert design was applied in order to optimize the number of experiments to be carried out to ascertain the possible interactions between the parameters and their effects on the chemiluminescence emission intensity. This design was selected because the levels of each variable may vary in a very efficient way with few experiments. Doehlert design and response surface methodology have been employed for optimization pH and concentrations of the components. Results showed under the optimized experimental conditions, the relative CL intensity (ΔI) is increased linearly in the concentration range of 0.003-0.586 μgml(-1) of montelukast with limit of detection (LOD) 1.09 × 10(-4) μgml(-1) at S/N ratio of 3, limit of quantitative (LOQ) 3.59 × 10(-4) μgml(-1) and the relative standard deviation 2.63 %. The method has been successfully applied to the determination of montelukast in plasma of human body. Results specified that relative chemiluminescence intensity (ΔI) has good proportional with the montelukast concentration with R(2) = 0.99979. The test of the recovery efficiency for known amounts of montelukast was also performed, the recoveries range obtained from 98.2 to 103.3 %, with RSDs of <4 % indicated that the proposed method was reliable.

  20. Nitrous Oxide (N2O) Emissions in Wheat and Canola Crops under Fertigation Management in the Canadian Prairies

    NASA Astrophysics Data System (ADS)

    Chai, L.; Hernandez Ramirez, G.; Dyck, M. F.; Pauly, D.; Kryzanowski, L.; Middleton, A.; Powers, L. A.; Lohstraeter, G.; Werk, D.

    2016-12-01

    Nitrous oxide (N2O) emissions from agricultural soils contribute significantly to the amount of greenhouse gases released to the atmosphere every year. Farming practices, such as fertigation in which nitrogen fertilizer is added to crops through irrigation water, could increase the risk for N2O losses. To assess the effect of N fertigation rates on N2O production, field chambers were used to collect weekly gas samples throughout the 2015 growing season in wheat (Triticum aestivum) and canola (Brassica Napus) plots in southern Alberta, Canada. Synthetic fertilizer was either added at seeding or both added at seeding and through irrigation water at one early crop growth stage. The 6 fertilizer treatments were: 60, 90 and 120 kg N ha-1 added at seeding in early May, and 30, 60 and 90 kg N ha-1 at seeding plus another 30 kg N ha-1 added through fertigation in mid-June. Controls with no fertilizer were also evaluated, and each treatment was replicated 4 times. In the wheat plots at a fertilization rate of 120 kg N ha-1, irrespective of single or split application, a larger N2O flux was produced compared to the control (P = 0.024). Similarly, in canola, a total N addition of 90 kg N ha-1 also led to larger N2O fluxes than the control (P = 0.035). The use of fertigation to split the N application had no effect on the N2O emissions in canola; however, in wheat, there was a statistical difference between emissions from 90 kg N ha-1 added all at seeding versus 90 kg N ha-1 split between seeding (60) and fertigation (30); splitting the fertilizer resulted in a 62% decrease in the overall N2O emissions (324 g vs. 524 g N2O-N ha-1; P = 0.039). No other N rates resulted in statistically different N2O emissions when N application was split. These results suggest that fertigation can reduce N2O emissions, but only at moderate N rates (90 kg ha-1 yr-1); conversely, when lower (60) or higher (120) rates are split, emissions remain unaffected.

  1. Synthesis and characterization of sodium vanadium oxide gels: the effects of water (n) and sodium (x) content on the electrochemistry of Na(x)V2OnH2O.

    PubMed

    Lee, Chia-Ying; Marschilok, Amy C; Subramanian, Aditya; Takeuchi, Kenneth J; Takeuchi, Esther S

    2011-10-28

    Sodium vanadium oxide gels, Na(x)V(2)O(5)·nH(2)O, of varying sodium content (0.12 < x < 0.32) were prepared by careful control of an ion exchange process. The water content (0.23 > n > 0.01) and interlayer spacing were found to be inversely proportional to the sodium level (x), thus control of sodium (x) content provided a direct, chimie douce approach for control of hydration level (n) and interlayer spacing, without the need for high temperature treatment to affect dehydration. Notably, the use of high temperatures to modify hydration levels can result in crystallization and collapse of the interlayer structure, highlighting the distinct advantage of our novel chimie douce synthesis strategy. Subsequent to synthesis and characterization, results from an electrochemical study of a series of Na(x)V(2)O(5)·nH(2)O samples highlight the significant impact of interlayer water on delivered capacity of the layered materials. Specifically, the sodium vanadium oxide gels with higher sodium content and lower water content provided higher capacities in lithium based cells, where capacity delivered to 2.0 V under C/20 discharge ranged from 170 mAh/g for Na(0.12)V(2)O(5)·0.23H(2)O to 300 mAh/g for Na(0.32)V(2)O(5)·0.01H(2)O. The capacity differences were maintained as the cells were cycled. This journal is © the Owner Societies 2011

  2. Effects of MgO and SiO2 on Plasma-Sprayed Hydroxyapatite Coating: An in Vivo Study in Rat Distal Femoral Defects.

    PubMed

    Ke, Dongxu; Robertson, Samuel F; Dernell, William S; Bandyopadhyay, Amit; Bose, Susmita

    2017-08-09

    Plasma-sprayed hydroxyapatite (HA)-coated titanium implants have been widely used in orthopedic applications due to their inheritance of an excellent mechanical property from titanium and great osteoconductivity from HA. However, the lack of osteoinductivity limits their further applications. In this study, 1 wt % MgO and 0.5 wt % SiO 2 were mixed with HA for making plasma-sprayed coatings on titanium implants. Plasma-sprayed HA- and MgO/SiO 2 -HA-coated titanium implants showed adhesive bond strengths of 25.73 ± 1.92 and 23.44 ± 2.89 MPa, respectively. The presence of MgO and SiO 2 significantly increased the osteogenesis, osseointegration, and bone mineralization of HA-coated titanium implants by the evaluation of their histomorphology after 6, 10, and 14 weeks of implantation in rat distal femoral defects. Implant pushout tests also showed a shear modulus of 149.83 ± 3.69 MPa for MgO/SiO 2 -HA-coated implants after 14 weeks of implantation, compared to 52.68 ± 10.41 MPa for uncoated implants and 83.92 ± 3.68 MPa for pure HA-coated implants; These are differences in the shear modulus of 96% and 56.4%, respectively. This study assesses for the first time the quality of the bone-implant interface of induction plasma-sprayed MgO and SiO 2 binary-doped HA coatings on load-bearing implants compared to bare titanium and pure HA coatings in a quantitative manner. Relating the osseointegration and interface shear modulus to the quality of implant fixation is critical to the advancement and implementation of HA-coated orthopedic implants.

  3. Effects and mechanism of freeze-thawing cycles on the soil N2O fluxes in the temperate semi-arid steppe.

    PubMed

    Wang, Liqin; Qi, Yuchun; Dong, Yunshe; Peng, Qin; Guo, Shufang; He, Yunlong; Li, Zhaolin

    2017-06-01

    High nitrous oxide (N 2 O) emissions during freeze-thawing period (FTP) have been observed in many different ecosystems. However, the knowledge about the dynamic of soil N 2 O emissions and its main driving mechanism during the freeze-thawing processes in grassland ecosystem is still limited. An in-situ experiment was conducted during the FTP on the sites with 0 and 15% surplus of the average rainfall and two levels of N addition (0,10gN/(m 2 ·year)) during growing season (marked as W0N0, W15N0, W0N10, W15N10, respectively) to explore the effects of water and N background on soil N 2 O emissions during FTPs and the relationship between soil N 2 O emissions and environmental factors. The results indicated that water and N treatments conducted during growing season did not show significant effect on the N 2 O effluxes of FTP, but the soil mineral N contents of W0N10 treatment were significantly higher than those of W0N0, W15N0, W15N10 treatments (p<0.05). The soil PLFA concentrations of microbial groups monitored during 2015 spring freeze-thawing period (2015S-FTP) were lower than those during winter freeze-thawing period of 2014 (2014W-FTP), while cumulative soil N 2 O emissions of 2015S-FTP were higher than those of 2014W-FTP. The correlations between soil N 2 O effluxes and most of the measured environmental factors were insignificant, multiple stepwise regression analysis indicated that the soil temperature, soil NH 4 + -N content and air temperature were the major environmental factors which significantly influenced the N 2 O effluxes during 2014W-FTP, and air temperature and soil water content were the significant influencing factors during 2015S-FTP. Copyright © 2016. Published by Elsevier B.V.

  4. Effects of Biochar Addition on CO2 and N2O Emissions following Fertilizer Application to a Cultivated Grassland Soil

    PubMed Central

    Chen, Jingjing; Kim, Hyunjin; Yoo, Gayoung

    2015-01-01

    Carbon (C) sequestration potential of biochar should be considered together with emission of greenhouse gases when applied to soils. In this study, we investigated CO2 and N2O emissions following the application of rice husk biochars to cultivated grassland soils and related gas emissions tos oil C and nitrogen (N) dynamics. Treatments included biochar addition (CHAR, NO CHAR) and amendment (COMPOST, UREA, NO FERT). The biochar application rate was 0.3% by weight. The temporal pattern of CO2 emissions differed according to biochar addition and amendments. CO2 emissions from the COMPOST soils were significantly higher than those from the UREA and NO FERT soils and less CO2 emission was observed when biochar and compost were applied together during the summer. Overall N2O emission was significantly influenced by the interaction between biochar and amendments. In UREA soil, biochar addition increased N2O emission by 49% compared to the control, while in the COMPOST and NO FERT soils, biochar did not have an effect on N2O emission. Two possible mechanisms were proposed to explain the higher N2O emissions upon biochar addition to UREA soil than other soils. Labile C in the biochar may have stimulated microbial N mineralization in the C-limited soil used in our study, resulting in an increase in N2O emission. Biochar may also have provided the soil with the ability to retain mineral N, leading to increased N2O emission. The overall results imply that biochar addition can increase C sequestration when applied together with compost, and might stimulate N2O emission when applied to soil amended with urea. PMID:26020941

  5. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.

  6. Fe/N/C composite in Li-O2 battery: studies of catalytic structure and activity toward oxygen evolution reaction.

    PubMed

    Shui, Jiang-Lan; Karan, Naba K; Balasubramanian, Mahalingam; Li, Shu-You; Liu, Di-Jia

    2012-10-10

    Atomically dispersed Fe/N/C composite was synthesized and its role in controlling the oxygen evolution reaction during Li-O(2) battery charging was studied by use of a tetra(ethylene glycol) dimethyl ether-based electrolyte. Li-O(2) cells using Fe/N/C as the cathode catalyst showed lower overpotentials than α-MnO(2)/carbon catalyst and carbon-only material. Gases evolved during the charge step contained only oxygen for Fe/N/C cathode catalyst, whereas CO(2) was also detected in the case of α-MnO(2)/C or carbon-only material; this CO(2) was presumably generated from electrolyte decomposition. Our results reiterate the catalytic effect in reducing overpotentials, which not only enhances battery efficiency but also improves its lifespan by reducing or eliminating electrolyte decomposition. The structure of the Fe/N/C catalyst was characterized by transmission electron microscopy, scanning transmission electron microscopy, inductively coupled plasma optical emission spectroscopy, and X-ray absorption spectroscopy. Iron was found to be uniformly distributed within the carbon matrix, and on average, Fe was coordinated by 3.3 ± 0.6 and 2.2 ± 0.3 low Z elements (C/N/O) at bond distances of ~1.92 and ~2.09 Å, respectively.

  7. Generating Breathable Air Through Dissociation of N2O

    NASA Technical Reports Server (NTRS)

    Zubrin, Robert; Frankie, Brian

    2006-01-01

    A nitrous oxide-based oxygen-supply system (NOBOSS) is an apparatus in which a breathable mixture comprising 2/3 volume parts of N2 and 1/3 volume part of O2 is generated through dissociation of N2O. The NOBOSS concept can be adapted to a variety of applications in which there are requirements for relatively compact, lightweight systems to supply breathable air. These could include air-supply systems for firefighters, divers, astronauts, and workers who must be protected against biological and chemical hazards. A NOBOSS stands in contrast to compressed-gas and cryogenic air-supply systems. Compressed-gas systems necessarily include massive tanks that can hold only relatively small amounts of gases. Alternatively, gases can be stored compactly in greater quantities and at low pressures when they are liquefied, but then cryogenic equipment is needed to maintain them in liquid form. Overcoming the disadvantages of both compressed-gas and cryogenic systems, the NOBOSS exploits the fact that N2O can be stored in liquid form at room temperature and moderate pressure. The mass of N2O that can be stored in a tank of a given mass is about 20 times the mass of compressed air that can be stored in a tank of equal mass. In a NOBOSS, N2O is exothermically dissociated to N2 and O2 in a main catalytic reactor. In order to ensure the dissociation of N2O to the maximum possible extent, the temperature of the reactor must be kept above 400 C. At the same time, to minimize concentrations of nitrogen oxides (which are toxic), it is necessary to keep the reactor temperature at or below 540 C. To keep the temperature within the required range throughout the reactor and, in particular, to prevent the formation of hot spots that would be generated by local concentrations of the exothermic dissociation reaction, the N2O is introduced into the reactor through an injector tube that features carefully spaced holes to distribute the input flow of N2O widely throughout the reactor. A NOBOSS

  8. Optimization of photoelectrochemical performance in Pt-modified p-Cu2O/n-Cu2O nanocomposite

    NASA Astrophysics Data System (ADS)

    Wang, Yichen; Lou, Zirui; Niu, Wenzhe; Ye, Zhizhen; Zhu, Liping

    2018-04-01

    As it is expected to be one of the most promising materials for utilizing solar energy, Cu2O has attracted considerable attention with respect to the achievement of solar energy conversion. Until now, the photocurrent densities of all planar structure of the Cu2O photocathode have not even come close to the theoretical value of -14.7 mA cm-2 due to the incompatible light absorption and charge carrier diffusion lengths. Here, we have fabricated p-n Cu2O homojunction nanocomposite by multiple steps of electrochemical deposition processing with the optimization of deposition periods. The p-Cu2O/n-Cu2O nanocomposite fabricated by optimized pH (4.9) and deposition time (4 min) exhibited double the photocurrent density of that of the bare p-Cu2O photocathode. And the highest photocurrent density of nanostructured p-n Cu2O nanorod homojunction photocathode with a p-Cu2O blocking layer reached -10.0 mA cm-2 at 0 V versus the reversible hydrogen electrode under simulated AM 1.5G illumination (100 mW cm-2).

  9. Influence of 13 different biochars on N2O production and its sources during rewetting-drying cycles

    NASA Astrophysics Data System (ADS)

    Wrage-Mönnig, Nicole; Fiedler, Sebastian; Fuertes-Mendizábal, Teresa; Estavillo, José-Maria; Ippolito, Jim A.; Borchard, Nils; Cayuela, Maria Luz; Spokas, Kurt; Novak, Jeff; Kammann, Claudia

    2017-04-01

    Biochars have been found to have variable impacts on nitrous oxide (N2O) emissions. The variability has been attributed to differences in soil - biochar properties and microbial communities. While some information exists on biochar and soil properties, the effect of biochars on microbial sources of N2O is still a matter of speculation. In this study, we tested these effects for12 biochars prepared from cypress, loblolly pine and grape wood produced at four different controlled temperatures (350, 500, 700 and 900˚ C), respectively, plus a grapevine Kontiki biochar (600-700˚ C). The biochars were added (2%) to a loamy sand brought to pH 7.1 with CaO. The treatments plus one control were pre-incubated at 40% water holding capacity (WHC) for four days. Then, they were brought to 80% WHC and 15N-nitrate was added (50 mg NO3-N kg-1 soil, 10% enriched in 15N). All treatments were set up with four replicates. In total, three cycles of (re)wetting - drying (80 to 40% WHC, total duration 20 days) were monitored. Samples for analyses of N2O concentrations and stable isotope signatures were taken daily (except for weekends) after closing the incubation vessels for 90 minutes. N2O emissions increased with each addition of water and decreased during drying to background values. Each rewetting led to larger emissions than measured in the previous cycle for all treatments including controls. All biochars decreased total N2O emissions compared to the control treatments. The higher the production temperature of the biochar, the larger usually the emission reduction. Largest effects were found for the grape wood and the Kontiki biochars. Interestingly, the addition of biochars also changed the isotopic signatures of the emitted N2O. Whereas emissions in the controls were enriched to about 5 atom% 15N excess at peak emissions, the enrichment was usually less after addition of biochars (1-5 atom% excess). Again, this effect tended to be larger at higher production temperatures of the

  10. Can conservation tillage reduce N2O emissions on cropland transitioning to organic vegetable production?

    PubMed

    Chen, Guihua; Kolb, Lauren; Cavigelli, Michel A; Weil, Ray R; Hooks, Cerruti R R

    2018-03-15

    Nitrous oxide (N 2 O) is an important greenhouse gas and a catalyst of stratospheric ozone decay. Agricultural soils are the source of 75% of anthropogenic N 2 O emissions globally. Recently, significant attention has been directed at examining effects of conservation tillage on carbon sequestration in agricultural systems. However, limited knowledge is available regarding how these practices impact N 2 O emissions, especially for organic vegetable production systems. In this context, a three-year study was conducted in a well-drained sandy loam field transitioning to organic vegetable production in the Mid-Atlantic coastal plain of USA to investigate impacts of conservation tillage [strip till (ST) and no-till (NT)] and conventional tillage (CT) [with black plastic mulch (CT-BP) and bare-ground (CT-BG)] on N 2 O emissions. Each year, a winter cover crop mixture (forage radish: Raphanus sativus var. longipinnatus, crimson clover: Trifolium incarnatum L., and rye: Secale cereale L.) was grown and flail-mowed in the spring. Nearly 80% of annual N 2 O-nitrogen (N) emissions occurred during the vegetable growing season for all treatments. Annual N 2 O-N emissions were greater in CT-BP than in ST and NT, and greater in CT-BG than in NT, but not different between CT-BG and CT-BP, ST and NT, or CT-BG and ST. Conventional tillage promoted N mineralization and plastic mulch increased soil temperature, which contributed to greater N 2 O-N fluxes. Though water filled porosity in NT was higher and correlated well with N 2 O-N fluxes, annual N 2 O-N emissions were lowest in NT suggesting a lack of substrates for nitrification and denitrification processes. Crop yield was lowest in NT in Year 1 and CT-BP in Year 3 but yield-scaled N 2 O-N emissions were consistently greatest in CT-BP and lowest in NT each year. Our results suggest that for coarse-textured soils in the coastal plain with winter cover crops, conservation tillage practices may reduce N 2 O emissions in organic

  11. Method for synthesizing N.sub.2 O.sub.5

    DOEpatents

    McGuire, Raymond R.; Coon, Clifford L.; Harrar, Jackson E.; Pearson, Richard K.

    1985-01-01

    A method and apparatus for electrochemically synthesizing N.sub.2 O.sub.5 includes oxidizing a solution of N.sub.2 O.sub.4 /HNO.sub.3 at an anode, while maintaining a controlled potential between the N.sub.2 O.sub.4 /HNO.sub.3 solution and the anode. A potential of about 1.35 to 2.0 V vs. SCE is preferred, while a potential of about 1.80 V vs. SCE is most preferred. Thereafter, the N.sub.2 O.sub.5 is reacted with either 1.5-diacetyl-3,7-dinitro-1,3,5,7-tetraazacyclooctane (DADN) or 1,3,5,7-tetraacetyl-1,3,5,7-tetraazacyclooctane (TAT) to form cyclotetramethylenetetraamine (HMX).

  12. Aqua[bis(pyrimidin-2-yl-kappa N)amine](carbonato-kappa 2O,O')copper(II) dihydrate.

    PubMed

    van Albada, Gerard A; Mutikainen, Ilpo; Turpeinen, Urho; Reedijk, Jan

    2002-03-01

    The title mononuclear complex, [Cu(CO(3))(C(8)H(7)N(5))(H(2)O)] x 2H(2)O, was obtained by fixation of CO(2) by a mixture of copper(II) tetrafluoroborate and the ligand bis(pyrimidin-2-yl)amine in ethanol/water. The Cu(II) ion of the complex has a distorted square-pyramidal environment, with a basal plane formed by two N atoms of the ligand and two chelating O atoms of the carbonate group, while the apical position is occupied by the O atom of the coordinating water molecule. In the solid state, hydrogen-bonding interactions are dominant, the most unusual being the Watson-Crick-type coplanar ligand pairing through two N--H...N bonds. Lattice water molecules also participate in hydrogen bonding.

  13. Effects of novel nitrification and urease inhibitors (DCD/TZ and 2-NPT) on N2O emissions from surface applied urea: An incubation study

    NASA Astrophysics Data System (ADS)

    Ni, Kang; Kage, Henning; Pacholski, Andreas

    2018-02-01

    A 41-day incubation trial was conducted to test the single and combined effects of the novel urease (N-(2-Nitrophenyl) phosphoric triamide, 2-NPT) and nitrification inhibitors (mixture of dicyandiamide and 1H-1,2,4-triazole, DCD/TZ) on N2O emissions and underlying soil processes from a North German sandy loam soil. The effects of treatment on N2O emission were determined using static closed chamber incubation and detected using a photo-acoustic gas monitor. The emission processes were strongly related to soil mineral N and pH dynamics, obtained from destructive sampling of replicate incubation chambers. The combined use of urease and nitrification inhibitors slightly increased the reduction of N2O compared with single use of the nitrification inhibitor (69% vs. 61%). The small amount of soil used in the incubation and the depletion of labile carbon by air drying and pre-incubation caused very low initial N2O emissions, and glucose addition significantly stimulated N2O emission by supplying labile carbon. The urease inhibitor significantly reduced simultaneously determined qualitative NH3 emissions in either urea alone (90%) or urea plus nitrification inhibitor treatment (82%). These results highlighted the potential of the combined use of urease and nitrification inhibitors with urea application to mitigate soil NH3 and N2O emissions.

  14. Oceanic N2O emissions in the 21st century

    NASA Astrophysics Data System (ADS)

    Martinez-Rey, J.; Bopp, L.; Gehlen, M.; Tagliabue, A.; Gruber, N.

    2014-12-01

    The ocean is a substantial source of nitrous oxide (N2O) to the atmosphere, but little is known on how this flux might change in the future. Here, we investigate the potential evolution of marine N2O emissions in the 21st century in response to anthropogenic climate change using the global ocean biogeochemical model NEMO-PISCES. We implemented two different parameterizations of N2O production, which differ primarily at low oxygen (O2) conditions. When forced with output from a climate model simulation run under the business-as-usual high CO2 concentration scenario (RCP8.5), our simulations suggest a decrease of 4 to 12% in N2O emissions from 2005 to 2100, i.e., a reduction from 4.03/3.71 to 3.54/3.56 Tg N yr-1 depending on the parameterization. The emissions decrease strongly in the western basins of the Pacific and Atlantic oceans, while they tend to increase above the Oxygen Minimum Zones (OMZs), i.e., in the Eastern Tropical Pacific and in the northern Indian Ocean. The reduction in N2O emissions is caused on the one hand by weakened nitrification as a consequence of reduced primary and export production, and on the other hand by stronger vertical stratification, which reduces the transport of N2O from the ocean interior to the ocean surface. The higher emissions over the OMZ are linked to an expansion of these zones under global warming, which leads to increased N2O production associated primarily with denitrification. From the perspective of a global climate system, the averaged feedback strength associated with the projected decrease in oceanic N2O emissions amounts to around -0.009 W m-2 K-1, which is comparable to the potential increase from terrestrial N2O sources. However, the assesment for a compensation between the terrestrial and marine feedbacks calls for an improved representation of N2O production terms in fully coupled next generation of Earth System Models.

  15. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  16. Air plasma treatment of liquid covered tissue: long timescale chemistry

    NASA Astrophysics Data System (ADS)

    Lietz, Amanda M.; Kushner, Mark J.

    2016-10-01

    Atmospheric pressure plasmas have shown great promise for the treatment of wounds and cancerous tumors. In these applications, the sample is usually covered by a thin layer of a biological liquid. The reactive oxygen and nitrogen species (RONS) generated by the plasma activate and are processed by the liquid before the plasma produced activation reaches the tissue. The synergy between the plasma and the liquid, including evaporation and the solvation of ions and neutrals, is critical to understanding the outcome of plasma treatment. The atmospheric pressure plasma sources used in these procedures are typically repetitively pulsed. The processes activated by the plasma sources have multiple timescales—from a few ns during the discharge pulse to many minutes for reactions in the liquid. In this paper we discuss results from a computational investigation of plasma-liquid interactions and liquid phase chemistry using a global model with the goal of addressing this large dynamic range in timescales. In modeling air plasmas produced by a dielectric barrier discharge over liquid covered tissue, 5000 voltage pulses were simulated, followed by 5 min of afterglow. Due to the accumulation of long-lived species such as ozone and N x O y , the gas phase dynamics of the 5000th discharge pulse are different from those of the first pulse, particularly with regards to the negative ions. The consequences of applied voltage, gas flow, pulse repetition frequency, and the presence of organic molecules in the liquid on the gas and liquid reactive species are discussed.

  17. Effects of N2-O2 and CO2-O2 tensions on growth of fungi isolated from damaged flue-cured tobacco.

    PubMed

    Yang, H; Lucas, G B

    1970-02-01

    Ten fungi, Aspergillus niger, A. flavus, A. ochraceus, A. ruber, A. repens, A. amstelodami, Alternaria tenuis, Penicillium brevi-compactum, Cladosporium herbarum, and Chaetomium dolicotrichum, were isolated from moldy flue-cured tobacco and grown in various mixtures of N(2)-O(2) or CO(2)-O(2). A 1 to 5% concentration of O(2) in an N(2) atmosphere caused the greatest change in growth of the nine species, and a 10 to 20% concentration of O(2) for A. flavus. All species, except A. amstelodami and A. ruber, grew faster in air than in mixtures containing 10% O(2). High O(2) concentrations generally inhibited furrow production in the mycelial mats. In an atmosphere of 5 to 40% O(2) in the N(2) atmosphere, furrows formed in mycelial mats between 5 and 40% O(2) in the species except for A. ruber, A. repens, and A. amstelodami, which produced none in any concentration. As O(2) decreased below 20%, spore production was progressively decreased, colony color faded to white, and cleistothecia formation was suppressed. In CO(2)-O(2) mixtures radial growth of all species increased with each quantitative decrease of CO(2). All species except A. niger grew faster in air than in 10% CO(2). In contrast to N(2)-O(2) mixtures, the fungi formed furrows, sporulation and cleistothecial formation were suppressed, and colony color changed to white in higher O(2) concentrations.

  18. Infrared spectroscopic and theoretical study of the HC2n+1O+ (n = 2-5) cations

    NASA Astrophysics Data System (ADS)

    Jin, Jiaye; Li, Wei; Liu, Yuhong; Wang, Guanjun; Zhou, Mingfei

    2017-06-01

    The carbon chain cations, HC2n+1O+ (n = 2-5), are produced via pulsed laser vaporization of a graphite target in supersonic expansions containing carbon monoxide and hydrogen. The infrared spectra are measured via mass-selected infrared photodissociation spectroscopy of the CO "tagged" [HC2n+1O.CO]+ cation complexes in the 1600-3500 cm-1 region. The geometries and electronic ground states of these cation complexes are determined by their infrared spectra compared to the predications of theoretical calculations. All of the HC2n+1O+ (n = 2-5) core cations are characterized to be linear carbon chain derivatives terminated by hydrogen and oxygen, which have the closed-shell singlet ground states with polyyne-like carbon chain structures.

  19. Catechol-O-methyltransferase gene variants may associate with negative symptom response and plasma concentrations of prolactin in schizophrenia after amisulpride treatment.

    PubMed

    Chen, Chun-Yen; Yeh, Yi-Wei; Kuo, Shin-Chang; Ho, Pei-Shen; Liang, Chih-Sung; Yen, Che-Hung; Lu, Ru-Band; Huang, San-Yuan

    2016-03-01

    Catechol-O-methyltransferase (COMT) enzyme is involved in the pathogenesis of psychotic symptoms and may be associated with a therapeutic response to antipsychotic drugs. The aim of this study was to examine the relationship between COMT variants, plasma prolactin level, and the therapeutic effectiveness of amisulpride treatment in patients with schizophrenia. A 12-week naturalistic study of amisulpride treatment was carried out in 185 Han Chinese patients with schizophrenia. The patients were screened for 14 single-nucleotide polymorphisms of the COMT gene. The Positive and Negative Syndrome Scale (PANSS) was used to assess the improvement of psychopathological symptoms from the baseline to the end point in each subject. For better presentation of time-course changes in response status, a mixed model for repeated-measures (MMRM) analysis of symptom improvement during the 12-week treatment period was conducted. The change in plasma prolactin level after amisulpride treatment was also examined (n=51). No significant differences in the genotype frequencies of the COMT variants investigated were observed between responders and non-responders. Moreover, an MMRM analysis of psychopathological symptom improvement during the 12-week treatment course showed that it depended significantly on COMT variants (rs4680, rs4633, and rs6267), particularly regarding changes in negative symptoms. The increase in plasma prolactin levels observed was influenced by the COMT rs4680 variant and was positively correlated with a reduction in PANSS negative scores. Our results suggest that variation of the COMT gene is associated with treatment response regarding negative symptoms and prolactin changes after amisulpride treatment in patients with schizophrenia. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. 2'-O-[2-[2-(N,N-Dimethylamino)ethoxy]ethyl] Modified Antisense Oligonucleotides: Symbiosis of Charge Interaction Factors and Stereoelectronic Effects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prhavc, M.; Prakash, T.P.; Minasov, G.

    Oligonucleotides with a novel, 2'-O-[2-[2-(N,N-dimethylamino)ethoxy]ethyl] (2'-O-DMAEOE) modification have been synthesized. This modification, a cationic analogue of the 2'-O-(2-methoxyethyl) (2'-O-MOE) modification, exhibits high binding affinity to target RNA (but not to DNA) and exceptional resistance to nuclease degradation. Analysis of the crystal structure of a self-complementary oligonucleotide containing a single 2'-O-DMAEOE modification explains the importance of charge factors and gauche effects on the observed antisense properties. 2'-O-DMAEOE modified oligonucleotides are ideal candidates for antisense drugs.

  1. Toxic effect of Cr(VI) in presence of n-TiO2 and n-Al2O3 particles towards freshwater microalgae.

    PubMed

    Dalai, Swayamprava; Pakrashi, Sunandan; Bhuvaneshwari, M; Iswarya, V; Chandrasekaran, N; Mukherjee, Amitava

    2014-01-01

    The reactivity and toxicity of the soluble toxicants in the presence of the engineered nanomaterials is not well explored. In this study, the probable effects of TiO2 and Al2O3 nanoparticles (n-TiO2, n-Al2O3) on the toxicity of Cr(VI) were assessed with the dominant freshwater algae, Scenedesmus obliquus, in a low range of exposure concentrations (0.05, 0.5 and 1μg/mL). In the presence of 0.05μg/mL n-TiO2, the toxicity of Cr(VI) decreased considerably, which was presumably due to the Cr(VI) adsorption on the nanoparticle surface leading to its aggregation and precipitation. The elevated n-TiO2 concentrations (0.5 and 1μg/mL) did not significantly influence Cr(VI) bio-availability, and a dose dependent toxicity of Cr(VI) was observed. On the other hand, n-Al2O3 did not have any significant effect on the Cr(VI) toxicity. The microscopic observations presented additional information on the morphological changes of the algal cells in the presence of the binary toxicants. The generation of reactive oxygen species (ROS) suggested contribution of oxidative stress on toxicity and LDH release confirmed membrane permeability of algal cells upon stress. Copyright © 2013 Elsevier B.V. All rights reserved.

  2. Plasma treatment of onychomycosis

    NASA Astrophysics Data System (ADS)

    Xiong, Zilan; Roe, Jeff; Grammer, Tim; Him, Yeon-Ho; Graves, David B.

    2015-09-01

    Onychomycosis or fungal infection of the toenail or fingernail is a common affliction. Approximately 10% of the world's adult population is estimated to suffer from onychomycosis. Current treatment options such as topical creams, oral drugs, or laser treatments are generally limited by a variety of problems. We present results for an alternative onychomycosis treatment scheme using atmospheric pressure cold air plasmas. Using thinned cow hoof as a model nail material, we tested the ability of various plasma sources to act through the model nail to eradicate either bacteria or fungus deposited on the opposite side. Following 20 minute exposure to a surface microdischarge (SMD) device operating in room air, we observed a ~ 2 log reduction of E. coli. A similar result was obtained against T. rubrum after 45 min plasma treatment. NOx species concentration penetrating through the model nail as well as uptake into the nail were measured as a function of nail thickness. We propose that these plasma-generated species, or perhaps their reaction products, are responsible for at least part of the observed anti-microbial effect. We also explore the use of ultraviolet light acting in synergy with plasma-generated chemical species.

  3. A chemical stability study of trimethylsilane plasma nanocoatings for coronary stents.

    PubMed

    Jones, John Eric; Yu, Qingsong; Chen, Meng

    2017-01-01

    Trimethylsilane (TMS) plasma nanocoatings were deposited onto stainless steel coupons in direct current (DC) and radio frequency (RF) glow discharges and additional NH 3 /O 2 plasma treatment to tailor the coating surface properties. The chemical stability of the nanocoatings were evaluated after 12 week storage under dry condition (25 °C) and immersion in simulated body fluid (SBF) at 37 °C. It was found that nanocoatings did not impact surface roughness of underlying stainless steel substrates. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopy were used to characterize surface chemistry and compositions. Both DC and RF nanocoatings had Si- and C-rich composition; and the O- and N-contents on the surfaces were substantially increased after NH 3 /O 2 plasma treatment. Contact angle measurements showed that DC-TMS nanocoating with NH 3 /O 2 treatment generated very hydrophilic surfaces. DC-TMS nanocoatings with NH 3 /O 2 treatment showed minimal surface chemistry change after 12 week immersion in SBF. However, nitrogen functionalities on RF-TMS coating with NH 3 /O 2 post treatment were not as stable as in DC case. Cell culture studies revealed that the surfaces with DC coating and NH 3 /O 2 post treatment demonstrated substantially improved proliferation of endothelial cells over the 12 week storage period at both dry and wet conditions, as compared to other coated surfaces. Therefore, DC nanocoatings with NH 3 /O 2 post treatment may be chemically stable for long-term properties, including shelf-life storage and exposure to the bloodstream for coronary stent applications.

  4. Diagnosing ozone recovery using the O3-N2O relationship

    NASA Astrophysics Data System (ADS)

    Butler, A. H.; Gao, R. S.; Maycock, A.; Portmann, R. W.; Thornberry, T. D.; Rosenlof, K. H.; Fahey, D. W.

    2016-12-01

    The ubiquitous compact correlation between collocated values of stratospheric ozone (O3) and the tracer nitrous oxide (N2O) results from the stratospheric photochemical processes that produce ozone and destroy N2O combined with common transport and mixing processes. Changes in the correlation slope under certain circumstances reflect changes in the production and loss balance of ozone. This approach has been used extensively to diagnose and quantify ozone loss in polar spring. Using a coupled atmosphere-ocean model with interactive chemistry (CESM/WACCM), we show that this relationship can be used to diagnose ozone recovery in the lower extratropical stratosphere. We then consider in situ measurements of O3 and N2O from ATTREX, GloPac, and HIPPO as well as satellite measurements from ACE and Aura MLS to consider whether ozone recovery can be detected in observations during the period 2004-2016.

  5. Catalytic performance of V2O5-MoO3/γ-Al2O3 catalysts for partial oxidation of n-hexane1

    NASA Astrophysics Data System (ADS)

    Mahmoudian, R.; Khodadadi, Z.; Mahdavi, Vahid; Salehi, Mohammed

    2016-01-01

    In the current study, a series of V2O5-MoO3 catalyst supported on γ-Al2O3 with various V2O5 and MoO3 loadings was prepared by wet impregnation technique. The characterization of prepared catalysts includes BET surface area, powder X-ray diffraction (XRD), and oxygen chemisorptions. The partial oxidation of n-hexane by air over V2O5-MoO3/γ-Al2O3 catalysts was carried out under flow condition in a fixed bed glass reactor. The effect of V2O5 loading, temperature, MoO3 loading, and n-hexane LHSV on the n-hexane conversion and the product selectivity were investigated. The partial oxygenated products of n-hexane oxidation were ethanol, acetic anhydride, acetic acid, and acetaldehyde. The 10% V2O5-1%MoO3/γ-Al2O3 was found in most active and selective catalyst during partial oxidation of n-hexane. The results indicated that by increasing the temperature, the n-hexane conversion increases as well, although the selectivity of the products passes through a maximum by increasing the temperature.

  6. Measurements of the O+ plus N2 and O+ plus O2 reaction rates from 300 to 900 K

    NASA Technical Reports Server (NTRS)

    Chen, A.; Johnsen, R.; Biondi, M. A.

    1977-01-01

    Rate coefficients for the O(+) + N2 atom transfer and O(+) + O2 charge transfer reactions are determined at thermal energies between 300 K and 900 K difference in a heated drift tube mass spectrometer apparatus. At 300 K the values K(O(+) + N2) = (1.2 plus or minus 0.1) x 10 to the negative 12 power cubic cm/sec and k(O(+) + O2) = (2.1 plus or minus 0.2) x 10 to the negative 11 power cubic cm/sec were obtained, with a 50% difference decrease in the reaction rates upon heating to 700 K. These results are in good agreement with heated flowing afterglow results, but the O(+) + O2 thermal rate coefficients are systematically lower than equivalent Maxwellian rates inferred by conversion of nonthermal drift tube and flow drift data.

  7. Preparation and photoelectrocatalytic performance of N-doped TiO2/NaY zeolite membrane composite electrode material.

    PubMed

    Cheng, Zhi-Lin; Han, Shuai

    2016-01-01

    A novel composite electrode material based on a N-doped TiO2-loaded NaY zeolite membrane (N-doped TiO2/NaY zeolite membrane) for photoelectrocatalysis was presented. X-ray diffraction (XRD), scanning electron microscopy (SEM), UV-visible (UV-vis) and X-ray photoelectron spectroscopy (XPS) characterization techniques were used to analyze the structure of the N-doped TiO2/NaY zeolite membrane. The XRD and SEM results verified that the N-doped TiO2 nanoparticles with the size of ca. 20 nm have been successfully loaded on the porous stainless steel-supported NaY zeolite membrane. The UV-vis result showed that the N-doped TiO2/NaY zeolite membrane exhibited a more obvious red-shift than that of N-TiO2 nanoparticles. The XPS characterization revealed that the doping of N element into TiO2 was successfully achieved. The photoelectrocatalysis performance of the N-doped TiO2/NaY zeolite membrane composite electrode material was evaluated by phenol removal and also the effects of reaction conditions on the catalytic performance were investigated. Owing to exhibiting an excellent catalytic activity and good recycling stability, the N-doped TiO2/NaY zeolite membrane composite electrode material was of promising application for photoelectrocatalysis in wastewater treatment.

  8. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  9. Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications

    NASA Astrophysics Data System (ADS)

    Choi, Kyeong-Keun; Park, Chan-Gyung; Kim, Deok-kee

    2016-01-01

    The electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition were investigated for through-silicon via (TSV) and metal-insulator-metal applications at temperatures below 300 °C. ZrO2 films were able to be conformally deposited on the scallops of 50-µm-diameter, 100-µm-deep TSV holes. The mean breakdown field of 30-nm-thick ZrO2 films on 30-nm-thick Ta(N) increased about 41% (from 2.7 to 3.8 MV/cm) upon H2 plasma treatment. With the plasma treatment, the breakdown field of the film increased and the temperature coefficient of capacitance decreased significantly, probably as a result of the decreased carbon concentration in the film.

  10. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  11. Improvement and mechanism of interfacial adhesion in PBO fiber/bismaleimide composite by oxygen plasma treatment

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Chen, Ping; Mu, Jujie; Yu, Qi; Lu, Chun

    2011-05-01

    The improved interfacial adhesion of PBO fiber-reinforced bismaleimide composite by oxygen plasma processing was investigated in this paper. After treatment, the maximum value of interlaminar shear strength was 57.5 MPa, with an increase of 28.9%. The oxygen concentration of the fiber surface increased, as did the surface roughness, resulting in improvement of the surface wettability. The cleavage and rearrangement of surface bonds created new functional groups O dbnd C sbnd O, N sbnd C dbnd O and N sbnd O, thereby activating the fiber surface. And long-time treatment increased the reaction degree of surface groups while destroyed the newly-created physical structures. The enhancement of adhesion relied primarily on the strengthening of chemical bonding and mechanical interlocking between the fiber and the matrix. The composite rupture planes indicated that the fracture failure shifted from the interface to the matrix or the fiber.

  12. Enhanced plant growth at reduced N2O emissions: 15N dynamics confirm nitrate capture and release of co-composted biochar

    NASA Astrophysics Data System (ADS)

    Kammann, Claudia; Schmidt, Hans-Peter; Williams, Anne; Hagemann, Nikolas; Marhan, Sven; Clough, Tim; Mueller, Christoph

    2017-04-01

    Pyrogenic carbon (biochar) produced from biomass may be used as a soil amendment to sequester biomass-C and to mitigate climate change. Moreover, biochar may increase soil fertility and optimize nutrient cycling in agro-ecosystems. However, according to meta-studies large additions (>10 t ha-1) of pure biochar, may only lead to moderate yield increases. Thus, there are no economic benefits for farmers to use biochar. Recently, it has been suggested that biochar may be used as an on-farm nutrient management tool (feed additive, liquid manure treatment, composting) to deliver small doses of nutrients and biochar to the soil each year. It may also be used as a carrier for (organic) nutrients in small doses (0.5 - 2 t ha-1) in the root zone; recent studies reported considerably increased yields. The mechanisms, however, are not well understood. Co-composted biochar was recently shown to promote plant growth due to its nutrient delivery and release capabilities, particularly nitrate (NO3-). To gain further insights into biochar-nitrogen (N) interactions, we conducted a 15N labelling-tracing study under controlled conditions with treatments consisting of a non-biochar amended control, 2% (wt/wt) of untreated biochar particles (BCpure ,no intrinsic content of nitrate) and a 2% co-composted biochar (BCcomp, 5.3 g NO3-N kg-1 as a result of composting), replicated thrice. Biochars were added to a sandy loam soil in jars (200 g) planted with barley (Hordeum vulgare L.) seedlings. Fertilizer (NH4NO3) was homogenously added with either the ammonium (NH4+) or the nitrate (NO3-) pools 15N labelled (60 atom% 15N) hours before planting the seedlings. Sets of 18 jars were harvested on days 1, 3, 8, 15 and 29 and nitrogen pools were analysed to trace 15N fertilizer fate (soil mineral N, plant biomass, biochar particles, nitrous oxide (N2O) emissions). Interestingly, both BCpure and BCcomp captured fertilizer 15N from the soil matrix within hours of addition, with higher capture of 15

  13. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  14. When the Sun's Away, N2O5 Comes Out to Play: An Updated Analysis of Ambient N2O5 Heterogeneous Chemistry

    NASA Astrophysics Data System (ADS)

    McDuffie, E. E.; Brown, S. S.

    2017-12-01

    The heterogeneous chemistry of N2O5 impacts the budget of tropospheric oxidants, which directly controls air quality at Earth's surface. The reaction between gas-phase N2O5 and aerosol particles occurs largely at night, and is therefore more important during the less-intensively-studied winter season. Though N2O5-aerosol interactions are vital for the accurate understanding and simulation of tropospheric chemistry and air quality, many uncertainties persist in our understanding of how various environmental factors influence the reaction rate and probability. Quantitative and accurate evaluation of these factors directly improves the predictive capabilities of atmospheric models, used to inform mitigation strategies for wintertime air pollution. In an update to last year's presentation, The Wintertime Fate of N2O5: Observations and Box Model Analysis for the 2015 WINTER Aircraft Campaign, this presentation will focus on recent field results regarding new information about N2O5 heterogeneous chemistry and future research directions.

  15. Organically fertilized tea plantation stimulates N2O emissions and lowers NO fluxes in subtropical China

    NASA Astrophysics Data System (ADS)

    Yao, Z.; Wei, Y.; Liu, C.; Zheng, X.; Xie, B.

    2015-07-01

    Tea plantations are rapidly expanding in China and other countries in the tropical and subtropical zones, but so far there are very few studies including direct measurements on nitrogenous gases fluxes from tea plantations. On the basis of 2 year field measurements from 2012 to 2014, we provided an insight into the assessment of annual nitrous oxide (N2O) and nitric oxide (NO) fluxes from Chinese subtropical tea plantations under three practices of conventional urea application, alternative oilcake incorporation and no nitrogen fertilization. Clearly, the N2O and NO fluxes exhibited large intra- and inter-annual variations, and furthermore their temporal variability could be well described by a combination of soil environmental factors including soil mineral N, water-filled pore space and temperature, based on a revised "hole-in-the-pipe" model. Averaged over 2 years, annual background N2O and NO emissions were approximately 4.0 and 1.6 kg N ha-1 yr-1, respectively. Compared to no nitrogen fertilization, both urea and oilcake application significantly stimulated annual N2O and NO emissions, amounting to 14.4-32.7 kg N2O-N ha-1 yr-1 and at least 12.3-19.4 kg NO-N ha-1 yr-1. In comparison with conventional urea treatment, on average, the application of organic fertilizer significantly increased N2O emission by 71 % but decreased NO emission by 22 %. Although the magnitude of N2O and NO fluxes was substantially influenced by N source, the annual direct emission factors of fertilizer N were estimated to be 2.8-5.9, 2.7-4.0 and 6.8-9.1 % for N2O, NO and N2O + NO, respectively, which are significantly higher than those defaults for global upland croplands. This indicated that the rarely determined N2O and NO formation appeared to be a significant pathway in the nitrogen cycle of tea plantations, which are a potential source of national nitrogenous gases inventory.

  16. Organically fertilized tea plantation stimulates N2O emissions and lowers NO fluxes in subtropical China

    NASA Astrophysics Data System (ADS)

    Yao, Z.; Wei, Y.; Liu, C.; Zheng, X.; Xie, B.

    2015-10-01

    Tea plantations are rapidly expanding in China and other countries in the tropical and subtropical zones, but so far there are very few studies including direct measurements of nitrogenous gas fluxes from tea plantations. On the basis of 2-year field measurements from 2012 to 2014, we provided an insight into the assessment of annual nitrous oxide (N2O) and nitric oxide (NO) fluxes from Chinese subtropical tea plantations under three practices of conventional urea application, alternative oilcake incorporation and no nitrogen fertilization. Clearly, the N2O and NO fluxes exhibited large intra- and inter-annual variations, and furthermore, their temporal variability could be well described by a combination of soil environmental factors including soil mineral N, water-filled pore space and temperature, based on a revised "hole-in-the-pipe" model. Averaged over a 2-year study, annual background N2O and NO emissions were approximately 4.0 and 1.6 kg N ha-1 yr-1, respectively. Compared to no nitrogen fertilization, both urea and oilcake application significantly stimulated annual N2O and NO emissions, amounting to 14.4-32.7 kg N2O-N ha-1 yr-1 and at least 12.3-19.4 kg NO-N ha-1 yr-1, respectively. In comparison with conventional urea treatment, on average, the application of organic fertilizer significantly increased N2O emission by 71 % but decreased NO emission by 22 %. Although the magnitude of N2O and NO fluxes was substantially influenced by the source of N, the annual direct emission factors of N fertilizer were estimated to be 2.8-5.9, 2.7-4.0 and 6.8-9.1 % for N2O, NO and N2O+NO, respectively, which are significantly higher than those defaults for global upland croplands. This indicated that the rarely determined N2O and NO formation appeared to be a significant pathway in the nitrogen cycle of tea plantations, which are a potential source of national nitrogenous gases inventory.

  17. Effect of compressive stress on stability of N-doped p-type ZnO

    NASA Astrophysics Data System (ADS)

    Chen, Xingyou; Zhang, Zhenzhong; Yao, Bin; Jiang, Mingming; Wang, Shuangpeng; Li, Binghui; Shan, Chongxin; Liu, Lei; Zhao, Dongxu; Shen, Dezhen

    2011-08-01

    Nitrogen-doped p-type zinc oxide (p-ZnO:N) thin films were fabricated on a-/c-plane sapphire (a-/c-Al2O3) by plasma-assisted molecular beam epitaxy. Hall-effect measurements show that the p-type ZnO:N on c-Al2O3 degenerated into n-type after a preservation time; however, the one grown on a-Al2O3 showed good stability. The conversion of conductivity in the one grown on c-Al2O3 ascribed to the faster disappearance of NO and the growing N2(O), which is demonstrated by x-ray photoelectron spectroscopy (XPS). Compressive stress, caused by lattice misfit, was revealed by Raman spectra and optical absorption spectra, and it was regarded as the root of the instability in ZnO:N.

  18. Closed-loop 15N measurement of N2O and its isotopomers for real-time greenhouse gas tracing

    NASA Astrophysics Data System (ADS)

    Slaets, Johanna; Mayr, Leopold; Heiling, Maria; Zaman, Mohammad; Resch, Christian; Weltin, Georg; Gruber, Roman; Dercon, Gerd

    2016-04-01

    Quantifying sources of nitrous oxide is essential to improve understanding of the global N cycle and to develop climate-smart agriculture, as N2O has a global warming potential 300 times higher than CO2. The isotopic signature and the intramolecular distribution (site preference) of 15N are powerful tools to trace N2O, but the application of these methods is limited as conventional methods cannot provide continuous and in situ data. Here we present a method for closed-loop, real time monitoring of the N2O flux, the isotopic signature and the intramolecular distribution of 15N by using off-axis integrated cavity output spectroscopy (ICOS, Los Gatos Research). The developed method was applied to a fertilizer inhibitor experiment, in which N2O emissions were measured on undisturbed soil cores for three weeks. The treatments consisted of enriched urea-N (100 kg urea-N/ha), the same fertilizer combined with the nitrification inhibitor nitrapyrin (375 g/100 kg urea), and control cores. Monitoring the isotopic signature makes it possible to distinguish emissions from soil and fertilizer. Characterization of site preference could additionally provide a tool to identify different microbial processes leading to N2O emissions. Furthermore, the closed-loop approach enables direct measurement on site and does not require removal of CO2 and H2O. Results showed that 75% of total N2O emissions (total=11 346 μg N2O-N/m2) in the fertilized cores originated from fertilizer, while only 55% of total emissions (total=2 450 μg N2ON/m2) stemmed from fertilizer for the cores treated with nitrapyrin. In the controls, N2O derived from soil was only 40% of the size of the corresponding pool from the fertilized cores, pointing towards a priming effect on the microbial community from the fertilizer and demonstrating the bias that could be introduced by relying on non-treated cores to estimate soil emission rates, rather than using the isotopic signature. The site preference increased linearly

  19. The natural greenhouse effect of atmospheric oxygen (O2) and nitrogen (N2)

    NASA Astrophysics Data System (ADS)

    Höpfner, M.; Milz, M.; Buehler, S.; Orphal, J.; Stiller, G.

    2012-05-01

    The effect of collision-induced absorption by molecular oxygen (O2) and nitrogen (N2) on the outgoing longwave radiation (OLR) of the Earth's atmosphere has been quantified. We have found that on global average under clear-sky conditions the OLR is reduced due to O2 by 0.11 Wm-2 and due to N2 by 0.17 Wm-2. Together this amounts to 15% of the OLR-reduction caused by CH4 at present atmospheric concentrations. Over Antarctica the combined effect of O2 and N2 increases on average to about 38% of CH4 with single values reaching up to 80%. This is explained by less interference of H2O spectral bands on the absorption features of O2 and N2 for dry atmospheric conditions.

  20. Improved oxidative tolerance in suspension-cultured cells of C4-pepctransgenic rice by H2O2 and Ca(2+) under PEG-6000.

    PubMed

    Qian, Baoyun; Li, Xia; Liu, Xiaolong; Wang, Man

    2015-06-01

    To understand the molecular responses of PC (Overexpressing the maize C4-pepc gene, which encodes phosphoenolpyruvate carboxylase (PEPC)), to drought stress at cell level, we analyzed changes in the levels of signaling molecules (hydrogen peroxide (H2O2), calcium ion (Ca(2+)), and nitric oxide (NO)) in suspension-cultured PC and wild-type (WT) rice (Oryza sativa L.) cell under drought stress induced by 20% polyethylene glycol 6000 (PEG-6000). Results demonstrated that PC improved drought tolerance by enhancing antioxidant defense, retaining higher relative water content, survival percentages, and dry weight of cells. In addition, PEPC activity in PC under PEG treatment was strengthened by addition of H2O2 inhibitor, dimethylthiourea (DMTU) and NO synthesis inhibitor, 2-(4-carboxyphenyl)-4,4,5,5-tetramethylimidazoline-1-oxyl-3-oxide (cPTIO), respectively, while that in PC was weakened by addition of free calcium chelator, ethylene glycol-bis(b-aminoethylether)-N,N,N',N'-tetraacetic acid (EGTA) + calcium channel outflow inhibitor, ruthenium red (RR) + plasma membrane channel blocker La(NO3)3, but EGTA + RR did not. Results also showed that NO and Ca(2+) was lying downstream of H2O2 in drought-induced signaling. Calcium ion was also involved in the expression of C4-pepc in PC. These results suggested that PC could improve oxidative tolerance in suspension-cultured cells and the acquisition of this tolerance required downregulation of H2O2 and the entry of extracellular Ca(2+) into cells across the plasma membrane for regulation of PEPC activity and C4-pepc expression. © 2014 Institute of Botany, Chinese Academy of Sciences.