Sample records for nano imprint lithography

  1. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  2. Fabrication of resonant patterns using thermal nano-imprint lithography for thin-film photovoltaic applications.

    PubMed

    Khaleque, Tanzina; Svavarsson, Halldor Gudfinnur; Magnusson, Robert

    2013-07-01

    A single-step, low-cost fabrication method to generate resonant nano-grating patterns on poly-methyl-methacrylate (PMMA; plexiglas) substrates using thermal nano-imprint lithography is reported. A guided-mode resonant structure is obtained by subsequent deposition of thin films of transparent conductive oxide and amorphous silicon on the imprinted area. Referenced to equivalent planar structures, around 25% and 45% integrated optical absorbance enhancement is observed over the 450-nm to 900-nm wavelength range in one- and two-dimensional patterned samples, respectively. The fabricated elements provided have 300-nm periods. Thermally imprinted thermoplastic substrates hold potential for low-cost fabrication of nano-patterned thin-film solar cells for efficient light management.

  3. Solvent influence upon structure & throughput of poly vinyledene fluoride thin film nano-patterns by imprint lithography

    NASA Astrophysics Data System (ADS)

    Sankar, M. S. Ravi; Gangineni, R. B.

    2018-04-01

    This work aims at understanding the solvent influence upon the throughput and structure of poly vinyledene fluoride (PVDF)nano-patterned films. The PVDF thin films are deposited by spin coating method using Dimethylsulfoxide (DMSO), Tetrahydrofuran (THF) and 2-butanone solvents. The nano-patterns are realized by imprinting SONY 700 MB CD aluminum constructions on PVDF thin filmsusing imprint lithography technique under ambient annealing temperature and pressure. Surface morphology &imprint pattern transfer quality is evaluated with Atomic force microscopy (AFM). Raman spectroscopy is used for evaluating the structural evolutions with respect to solvent & patterning.

  4. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  5. Fabrication of 3D nano-structures using reverse imprint lithography

    NASA Astrophysics Data System (ADS)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  6. Fabrication of 3D nano-structures using reverse imprint lithography.

    PubMed

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-Woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures.UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  7. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  8. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  9. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  10. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  11. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  12. Fabrication of flexible grating sensing waveguide based on nano-imprint lithography and micro-replication process

    NASA Astrophysics Data System (ADS)

    Liu, Yueming; Tian, Weijian; Zhang, Shaojun

    2009-05-01

    Soft and flexible grating sensing waveguides is urgently demanded in application of micro-bending sensing and surface distortion sensing in medical catheter and smart skin sensing unit etc. Based on Nano-imprint Lithography and micro-replication process, polymer grating waveguides with core size 4μm×20μm and pitch 0.75μm are fabricated successfully in this paper. This novel grating waveguides is soft and flexible enough for related application and with the bio-medical safe feature when used in human body catheter. Fabricated processes are presented including the fabrication of micro mould and UV-replication process, and relative skills are discussed also in this paper.

  13. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    NASA Astrophysics Data System (ADS)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  14. Design and fabrication of nano-imprint templates using unique pattern transforms and primitives

    NASA Astrophysics Data System (ADS)

    MacDonald, Susan; Mellenthin, David; Rentzsch, Kevin; Kramer, Kenneth; Ellenson, James; Hostetler, Tim; Enck, Ron

    2005-11-01

    Increasing numbers of MEMS, photonic, and integrated circuit manufacturers are investigating the use of Nano-imprint Lithography or Step and Flash Imprint Lithography (SFIL) as a lithography choice for making various devices and products. Their main interests in using these technologies are the lack of aberrations inherent in traditional optical reduction lithography, and the relative low cost of imprint tools. Since imprint templates are at 1X scale, the small sizes of these structures have necessitated the use of high-resolution 50KeV, and 100KeV e-beam lithography tools to build these templates. For MEMS and photonic applications, the structures desired are often circles, arches, and other non-orthogonal shapes. It has long been known that both 50keV, and especially 100keV e-beam lithography tools are extremely accurate, and can produce very high resolution structures, but the trade off is long write times. The main drivers in write time are shot count and stage travel. This work will show how circles and other non-orthogonal shapes can be produced with a 50KeV Variable Shaped Beam (VSB) e-beam lithography system using unique pattern transforms and primitive shapes, while keeping the shot count and write times under control. The quality of shapes replicated into the resist on wafer using an SFIL tool will also be presented.

  15. High Quality 3D Photonics using Nano Imprint Lithography of Fast Sol-gel Materials.

    PubMed

    Bar-On, Ofer; Brenner, Philipp; Siegle, Tobias; Gvishi, Raz; Kalt, Heinz; Lemmer, Uli; Scheuer, Jacob

    2018-05-18

    A method for the realization of low-loss integrated optical components is proposed and demonstrated. This approach is simple, fast, inexpensive, scalable for mass production, and compatible with both 2D and 3D geometries. The process is based on a novel dual-step soft nano imprint lithography process for producing devices with smooth surfaces, combined with fast sol-gel technology providing highly transparent materials. As a concrete example, this approach is demonstrated on a micro ring resonator made by direct laser writing (DLW) to achieve a quality factor improvement from one hundred thousand to more than 3 million. To the best of our knowledge this also sets a Q-factor record for UV-curable integrated micro-ring resonators. The process supports the integration of many types of materials such as light-emitting, electro-optic, piezo-electric, and can be readily applied to a wide variety of devices such as waveguides, lenses, diffractive elements and more.

  16. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Irving, J. W.; Lu, Xiaoming; Zhang, Wei; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2013-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. In previous studies, we have focused on defects such as random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. In this work, we attempted to identify the critical imprint defect types using a mask with NAND Flash-like patterns at dimensions as small as 26nm. The two key defect types identified were line break defects induced by small particulates and airborne contaminants which result in local adhesion failure. After identification, the root cause of the defect was determined, and corrective measures were taken to either eliminate or reduce the defect source. As a result, we have been able to reduce defectivity levels by more than three orders of magnitude in only 12 months and are now achieving defectivity adders as small as 2 adders per lot of wafers.

  17. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  18. Porosity characteristics of ultra-low dielectric insulator films directly patterned by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Ro, Hyun Wook; Jones, Ronald L.; Peng, Huagen; Lee, Hae-Jeong; Lin, Eric K.; Karim, Alamgir; Yoon, Do Y.; Gidley, David W.; Soles, Christopher L.

    2008-03-01

    Direct patterning of low-dielectric constant (low-k) materials via nanoimprint lithography (NIL) has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. We report direct imprinting of sub-100 nm features into a high modulus methylsilsesquioxane-based organosilicate glass (OSG) material. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering (CD-SAXS) and specular X-ray reflectivity (SXR). X-ray porosimetry (XRP) and positron annihilation lifetime spectroscopy (PALS) measurements indicate that imprinting increases the inherent microporosity of the methylsilsequioxane-based OSG material. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.

  19. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  20. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  1. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  2. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  3. Replication of surface nano-structure of the wing of dragonfly ( Pantala Flavescens) using nano-molding and UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Cho, Joong-Yeon; Kim, Gyutae; Kim, Sungwook; Lee, Heon

    2013-07-01

    The hydrophobicity of a dragonfly's wing originates from the naturally occurring nano-structure on its surface. The nano-structure on a dragonfly's wing consists of an array of nano-sized pillars, 100 nm in diameter. We re-create this hydrophobicity on various substrates, such as Si, glass, curved acrylic polymer, and flexible PET film, by replicating the nano-structure using UV curable nano-imprint lithography (NIL) and PDMS molding. The success of the nano-structure duplication was confirmed using scanning electron microscopy (SEM). The hydrophobicity was measured by water-based contact angle measurements. The water contact angle of the replica made of UV cured polymer was 135° ± 2°, which was slightly lower than that of the original dragonfly's wing (145° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (80°). The hydrophobicity was further improved by applying a coating of Teflon-like material.

  4. Fabrication of semiconductor-polymer compound nonlinear photonic crystal slab with highly uniform infiltration based on nano-imprint lithography technique.

    PubMed

    Qin, Fei; Meng, Zi-Ming; Zhong, Xiao-Lan; Liu, Ye; Li, Zhi-Yuan

    2012-06-04

    We present a versatile technique based on nano-imprint lithography to fabricate high-quality semiconductor-polymer compound nonlinear photonic crystal (NPC) slabs. The approach allows one to infiltrate uniformly polystyrene materials that possess large Kerr nonlinearity and ultrafast nonlinear response into the cylindrical air holes with diameter of hundred nanometers that are perforated in silicon membranes. Both the structural characterization via the cross-sectional scanning electron microscopy images and the optical characterization via the transmission spectrum measurement undoubtedly show that the fabricated compound NPC samples have uniform and dense polymer infiltration and are of high quality in optical properties. The compound NPC samples exhibit sharp transmission band edges and nondegraded high quality factor of microcavities compared with those in the bare silicon PC. The versatile method can be expanded to make general semiconductor-polymer hybrid optical nanostructures, and thus it may pave the way for reliable and efficient fabrication of ultrafast and ultralow power all-optical tunable integrated photonic devices and circuits.

  5. Defect reduction of high-density full-field patterns in jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Lovejeet; Luo, Kang; Ye, Zhengmao; Xu, Frank; Haase, Gaddi; Curran, David; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2011-04-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. This work summarizes the results of defect inspections focusing on two key defect types; random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. Non-fill defectivity must always be considered within the context of process throughput. The key limiting throughput step in an imprint process is resist filling time. As a result, it is critical to characterize the filling process by measuring non-fill defectivity as a function of fill time. Repeater defects typically have two main sources; mask defects and particle related defects. Previous studies have indicated that soft particles tend to cause non-repeating defects. Hard particles, on the other hand, can cause either resist plugging or mask damage. In this work, an Imprio 500 twenty wafer per hour (wph) development tool was used to study both defect types. By carefully controlling the volume of inkjetted resist, optimizing the drop pattern and controlling the resist fluid front during spreading, fill times of 1.5 seconds were achieved with non-fill defect levels of approximately 1.2/cm2. Longevity runs were used to study repeater defects and a nickel

  6. Photoluminescence enhancement of silicon quantum dot monolayer by plasmonic substrate fabricated by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Yanagawa, Hiroto; Inoue, Asuka; Sugimoto, Hiroshi; Shioi, Masahiko; Fujii, Minoru

    2017-12-01

    Near-field coupling between a silicon quantum dot (Si-QD) monolayer and a plasmonic substrate fabricated by nano-imprint lithography and having broad multiple resonances in the near-infrared (NIR) window of biological substances was studied by precisely controlling the QDs-substrate distance. A strong enhancement of the NIR photoluminescence (PL) of Si-QDs was observed. Detailed analyses of the PL and PL excitation spectra, the PL decay dynamics, and the reflectance spectra revealed that both the excitation cross-sections and the emission rates are enhanced by the surface plasmon resonances, thanks to the broad multiple resonances of the plasmonic substrate, and that the relative contribution of the two enhancement processes depends strongly on the excitation wavelength. Under excitation by short wavelength photons (405 nm), where enhancement of the excitation cross-section is not expected, the maximum enhancement was obtained when the QDs-substrate distance was around 30 nm. On the other hand, under long wavelength excitation (641 nm), where strong excitation cross-section enhancement is expected, the largest enhancement was obtained when the distance was minimum (around 1 nm). The achievement of efficient excitation of NIR luminescence of Si-QDs by long wavelength photons paves the way for the development of Si-QD-based fluorescence bio-sensing devices with a high bound-to-free ratio.

  7. Nano-imprint gold grating as refractive index sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive indexmore » sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.« less

  8. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  9. Modular Polymer Biosensors by Solvent Immersion Imprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moore, Jayven S.; Xantheas, Sotiris S.; Grate, Jay W.

    2016-01-01

    We recently demonstrated Solvent Immersion Imprint Lithography (SIIL), a rapid benchtop microsystem prototyping technique, including polymer functionalization, imprinting and bonding. Here, we focus on the realization of planar polymer sensors using SIIL through simple solvent immersion without imprinting. We describe SIIL’s impregnation characteristics, including an inherent mechanism that not only achieves practical doping concentrations, but their unexpected 4-fold enhancement compared to the immersion solution. Subsequently, we developed and characterized optical sensors for detecting molecular O2. To this end, a high dynamic range is reported, including its control through the immersion duration, a manifestation of SIIL’s modularity. Overall, SIIL exhibits themore » potential of improving the operating characteristics of polymer sensors, while significantly accelerating their prototyping, as it requires a few seconds of processing and no need for substrates or dedicated instrumentation. These are critical for O2 sensing as probed by way of example here, as well as any polymer permeable reactant.« less

  10. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  11. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  12. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  13. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  14. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  15. Solvent immersion imprint lithography: A high-performance, semi-automated procedure

    PubMed Central

    Liyu, D. A.; Canul, A. J.; Vasdekis, A. E.

    2017-01-01

    We expand upon our recent, fundamental report on solvent immersion imprint lithography (SIIL) and describe a semi-automated and high-performance procedure for prototyping polymer microfluidics and optofluidics. The SIIL procedure minimizes manual intervention through a cost-effective (∼$200) and easy-to-assemble apparatus. We analyze the procedure's performance specifically for Poly (methyl methacrylate) microsystems and report repeatable polymer imprinting, bonding, and 3D functionalization in less than 5 min, down to 8 μm resolutions and 1:1 aspect ratios. In comparison to commercial approaches, the modified SIIL procedure enables substantial cost reductions, a 100-fold reduction in imprinting force requirements, as well as a more than 10-fold increase in bonding strength. We attribute these advantages to the directed polymer dissolution that strictly localizes at the polymer-solvent interface, as uniquely offered by SIIL. The described procedure opens new desktop prototyping opportunities, particularly for non-expert users performing live-cell imaging, flow-through catalysis, and on-chip gas detection. PMID:28798847

  16. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  17. High-density patterned media fabrication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Ramos, Rick; Brooks, Cynthia; Simpson, Logan; Fretwell, John; Carden, Scott; Hellebrekers, Paul; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FIL®) process uses drop dispensing of UV curable resists for high resolution patterning. Several applications, including patterned media, are better, and more economically served by a full substrate patterning process since the alignment requirements are minimal. Patterned media is particularly challenging because of the aggressive feature sizes necessary to achieve storage densities required for manufacturing beyond the current technology of perpendicular recording. In this paper, the key process steps for the application of J-FIL to pattern media fabrication are reviewed with special attention to substrate cleaning, vapor adhesion of the adhesion layer and imprint performance at >300 disk per hour. Also discussed are recent results for imprinting discrete track patterns at half pitches of 24nm and bit patterned media patterns at densities of 1 Tb/in2.

  18. Molecular dynamics modeling framework for overcoming nanoshape retention limits of imprint lithography

    NASA Astrophysics Data System (ADS)

    Cherala, Anshuman; Sreenivasan, S. V.

    2018-12-01

    Complex nanoshaped structures (nanoshape structures here are defined as shapes enabled by sharp corners with radius of curvature <5 nm) have been shown to enable emerging nanoscale applications in energy, electronics, optics, and medicine. This nanoshaped fabrication at high throughput is well beyond the capabilities of advanced optical lithography. While the highest-resolution e-beam processes (Gaussian beam tools with non-chemically amplified resists) can achieve <5 nm resolution, this is only available at very low throughputs. Large-area e-beam processes, needed for photomasks and imprint templates, are limited to 18 nm half-pitch lines and spaces and 20 nm half-pitch hole patterns. Using nanoimprint lithography, we have previously demonstrated the ability to fabricate precise diamond-like nanoshapes with 3 nm radius corners over large areas. An exemplary shaped silicon nanowire ultracapacitor device was fabricated with these nanoshaped structures, wherein the half-pitch was 100 nm. The device significantly exceeded standard nanowire capacitor performance (by 90%) due to relative increase in surface area per unit projected area, enabled by the nanoshape. Going beyond the previous work, in this paper we explore the scaling of these nanoshaped structures to 10 nm half-pitch and below. At these scales a new "shape retention" resolution limit is observed due to polymer relaxation in imprint resists, which cannot be predicted with a linear elastic continuum model. An all-atom molecular dynamics model of the nanoshape structure was developed here to study this shape retention phenomenon and accurately predict the polymer relaxation. The atomistic framework is an essential modeling and design tool to extend the capability of imprint lithography to sub-10 nm nanoshapes. This framework has been used here to propose process refinements that maximize shape retention, and design template assist features (design for nanoshape retention) to achieve targeted nanoshapes.

  19. Simulation of exposure and alignment for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the exposure and alignment processes for nano-imprint lithography with attenuating thin-film molds. Parameters in the design of topographical features of the nano-imprint system and material choices of the components are analyzed. The small feature size limits light transmission through the feature. While little can be done with auxiliary structures to attract light into small holes, the use of an absorbing material with a low real part of the refractive index such as silver helps mitigates the problem. Results on complementary alignment marks shows that the small transmission through the metal layer and the vertical separation of two alignment marks create the leakage equivalent to 1 nm misalignment but satisfactory alignment can be obtained by measuring alignment signals over a +/- 30 nm range.

  20. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Lu, Xiaoming; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2012-07-01

    Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high-end memory devices. Defects occurring during imprinting can generally be broken into two categories; random defects and repeating defects. Examples of random defects include fluid phase imprint defects, such as bubbles, and solid phase imprint defects, such as line collapse. Examples of repeater defects include mask fabrication defects and particle induced defects. Previous studies indicated that soft particles cause nonrepeating defects. Hard particles, on the other hand, can cause either permanent resist plugging or mask damage. In a previous study, two specific defect types were examined; random nonfill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. We attempted to identify the different types of imprint defect types using a mask with line/space patterns at dimensions as small as 26 nm. An Imprio 500 twenty-wafer per hour development tool was used to study the various defect types. The imprint defect density was reduced nearly four orders of magnitude, down to ˜4/cm2 in a period of two years following the availability of low defect imprint masks at 26-nm half-pitch. This reduction was achieved by identifying the root cause of various defects and then taking the appropriate corrective action.

  1. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE PAGES

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.; ...

    2017-05-10

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  2. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  3. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    NASA Astrophysics Data System (ADS)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  4. Single Layer Broadband Anti-Reflective Coatings for Plastic Substrates Produced by Full Wafer and Roll-to-Roll Step-and-Flash Nano-Imprint Lithography

    PubMed Central

    Burghoorn, Marieke; Roosen-Melsen, Dorrit; de Riet, Joris; Sabik, Sami; Vroon, Zeger; Yakimets, Iryna; Buskens, Pascal

    2013-01-01

    Anti-reflective coatings (ARCs) are used to lower the reflection of light on the surface of a substrate. Here, we demonstrate that the two main drawbacks of moth eye-structured ARCs—i.e., the lack of suitable coating materials and a process for large area, high volume applications—can be largely eliminated, paving the way for cost-efficient and large-scale production of durable moth eye-structured ARCs on polymer substrates. We prepared moth eye coatings on polymethylmethacrylate (PMMA) and polycarbonate using wafer-by-wafer step-and-flash nano-imprint lithography (NIL). The reduction in reflection in the visible field achieved with these coatings was 3.5% and 4.0%, respectively. The adhesion of the coating to both substrates was good. The moth eye coating on PMMA demonstrated good performance in three prototypical accelerated ageing tests. The pencil hardness of the moth eye coatings on both substrates was <4B, which is less than required for most applications and needs further optimization. Additionally, we developed a roll-to-roll UV NIL pilot scale process and produced moth eye coatings on polyethylene terephthalate (PET) at line speeds up to two meters per minute. The resulting coatings showed a good replication of the moth eye structures and, consequently, a lowering in reflection of the coated PET of 3.0%. PMID:28788301

  5. SWS grating for UV band filter by nano-imprint

    NASA Astrophysics Data System (ADS)

    Lin, Jian-Shian; Liao, Ke-Hao; Chen, Chang-Tai; Lai, Chieh-Lung; Ko, Cheng-Hao

    2009-05-01

    Regarding to researches on manufacturing process, the fabrication of nano structures on SWS (subwavelength structured) grating are mainly produced by photo lithography. We find that UV light transmission efficiency of PET film significantly drops 50% when we put nano structures on the surface of material. In this paper, we add nano structures on the surface of PET film and create a UV band filter. Decent optical filtering effects can be achieved by combining the characteristics of PET materials with nano structures on their surfaces.

  6. High-Resolution, Large-Area, Nano Imprint Lithography

    DTIC Science & Technology

    2009-08-27

    oxides as the seed layers can provide implication as the general synthetic route for the spontaneous growth of metal - silicide nanowires in large...nano-island array preparation , we have successfully fabricated patterned magnetic recording media as described in Fig. 2. About ~30 nm diameter Si...that we fabricated at UCSD with 5-50 nm diameter magnetic islands was used, since a large- area, hard disk size preparation was necessary, and since a

  7. ZEP520A cold-development technique and tool for ultimate resolution to fabricate 1Xnm bit pattern EB master mold for nano-imprinting lithography for HDD/BPM development

    NASA Astrophysics Data System (ADS)

    Kobayashi, Hideo; Iyama, Hiromasa

    2012-06-01

    Poor solvent developers are effective for resolution enhancement on a polymer-type EB resist such as ZEP520A. Another way is to utilize "cold-development" technique which was accomplished by a dip-development technique usually. We then designed and successfully built a single-wafer spin-development tool for the cold-development down to -10degC in order to dissolve difficulties of the dip-development. The cold-development certainly helped improve ZEP520A resolution and hole CD size uniformity, and achieved 35nm pitch BPM patterns with the standard developer ZED-N50, but not 25nm pitch yet. By employing a poor solvent mixture of iso-Propyl Alcohol (IPA) and Fluoro-Carbon (FC), 25nm pitch BPM patterns were accomplished. However, the cold-development showed almost no improvement on the IPA/FC mixture developer solvent. This paper describes cold-development technique and a tool, as well as its results, for ZEP520A resolution enhancement to fabricate 1Xnm bits (holes) for EB master-mold for Nano-Imprinting Lithography for 1Tbit/inch2 and 25nm pitch Bit Patterned Media development.

  8. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Nannan; Institute of Electronic Engineering, Chinese Academy of Engineering Physics, Mianyang, 621900; Pang, Shucai

    2015-04-15

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided amore » new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics.« less

  9. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  10. Wavelength tunable InGaN/GaN nano-ring LEDs via nano-sphere lithography

    PubMed Central

    Wang, Sheng-Wen; Hong, Kuo-Bin; Tsai, Yu-Lin; Teng, Chu-Hsiang; Tzou, An-Jye; Chu, You-Chen; Lee, Po-Tsung; Ku, Pei-Cheng; Lin, Chien-Chung; Kuo, Hao-Chung

    2017-01-01

    In this research, nano-ring light-emitting diodes (NRLEDs) with different wall width (120 nm, 80 nm and 40 nm) were fabricated by specialized nano-sphere lithography technology. Through the thinned wall, the effective bandgaps of nano-ring LEDs can be precisely tuned by reducing the strain inside the active region. Photoluminescence (PL) and time-resolved PL measurements indicated the lattice-mismatch induced strain inside the active region was relaxed when the wall width is reduced. Through the simulation, we can understand the strain distribution of active region inside NRLEDs. The simulation results not only revealed the exact distribution of strain but also predicted the trend of wavelength-shifted behavior of NRLEDs. Finally, the NRLEDs devices with four-color emission on the same wafer were demonstrated. PMID:28256529

  11. Scalable imprinting of shape-specific polymeric nanocarriers using a release layer of switchable water solubility.

    PubMed

    Agarwal, Rachit; Singh, Vikramjit; Jurney, Patrick; Shi, Li; Sreenivasan, S V; Roy, Krishnendu

    2012-03-27

    There is increasing interest in fabricating shape-specific polymeric nano- and microparticles for efficient delivery of drugs and imaging agents. The size and shape of these particles could significantly influence their transport properties and play an important role in in vivo biodistribution, targeting, and cellular uptake. Nanoimprint lithography methods, such as jet-and-flash imprint lithography (J-FIL), provide versatile top-down processes to fabricate shape-specific, biocompatible nanoscale hydrogels that can deliver therapeutic and diagnostic molecules in response to disease-specific cues. However, the key challenges in top-down fabrication of such nanocarriers are scalable imprinting with biological and biocompatible materials, ease of particle-surface modification using both aqueous and organic chemistry as well as simple yet biocompatible harvesting. Here we report that a biopolymer-based sacrificial release layer in combination with improved nanocarrier-material formulation can address these challenges. The sacrificial layer improves scalability and ease of imprint-surface modification due to its switchable solubility through simple ion exchange between monovalent and divalent cations. This process enables large-scale bionanoimprinting and efficient, one-step harvesting of hydrogel nanoparticles in both water- and organic-based imprint solutions. © 2012 American Chemical Society

  12. One-step sol-gel imprint lithography for guided-mode resonance structures.

    PubMed

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  13. High throughput nanoimprint lithography for semiconductor memory applications

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  14. Spun-wrapped aligned nanofiber (SWAN) lithography for fabrication of micro/nano-structures on 3D objects

    NASA Astrophysics Data System (ADS)

    Ye, Zhou; Nain, Amrinder S.; Behkam, Bahareh

    2016-06-01

    Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for fabrication of multiscale (nano to microscale) structures on 3D objects without restriction on substrate material and geometry. SWAN lithography combines precise deposition of polymeric nanofiber masks, in aligned single or multilayer configurations, with well-controlled solvent vapor treatment and etching processes to enable high throughput (>10-7 m2 s-1) and large-area fabrication of sub-50 nm to several micron features with high pattern fidelity. Using this technique, we demonstrate whole-surface nanopatterning of bulk and thin film surfaces of cubes, cylinders, and hyperbola-shaped objects that would be difficult, if not impossible to achieve with existing methods. We demonstrate that the fabricated feature size (b) scales with the fiber mask diameter (D) as b1.5 ~ D. This scaling law is in excellent agreement with theoretical predictions using the Johnson, Kendall, and Roberts (JKR) contact theory, thus providing a rational design framework for fabrication of systems and devices that require precisely designed multiscale features.Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for

  15. The opportunity and challenge of spin coat based nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Jung, Wooyung; Cho, Jungbin; Choi, Eunhyuk; Lim, Yonghyun; Bok, Cheolkyu; Tsuji, Masatoshi; Kobayashi, Kei; Kono, Takuya; Nakasugi, Tetsuro

    2017-03-01

    Since multi patterning with spacer was introduced in NAND flash memory1, multi patterning with spacer has been a promising solution to overcome the resolution limit. However, the increase in process cost of multi patterning with spacer must be a serious burden to device manufacturers as half pitch of patterns gets smaller.2, 3 Even though Nano Imprint Lithography (NIL) has been considered as one of strong candidates to avoid cost issue of multi patterning with spacer, there are still negative viewpoints; template damage induced from particles between template and wafer, overlay degradation induced from shear force between template and wafer, and throughput loss induced from dispensing and spreading resist droplet. Jet and Flash Imprint Lithography (J-FIL4, 5, 6) has contributed to throughput improvement, but still has these above problems. J-FIL consists of 5 steps; dispense of resist droplets on wafer, imprinting template on wafer, filling the gap between template and wafer with resist, UV curing, and separation of template from wafer. If dispensing resist droplets by inkjet is replaced with coating resist at spin coater, additional progress in NIL can be achieved. Template damage from particle can be suppressed by thick resist which is spin-coated at spin coater and covers most of particles on wafer, shear force between template and wafer can be minimized with thick resist, and finally additional throughput enhancement can be achieved by skipping dispense of resist droplets on wafer. On the other hand, spin-coat-based NIL has side effect such as pattern collapse which comes from high separation energy of resist. It is expected that pattern collapse can be improved by the development of resist with low separation energy.

  16. Roll-to-roll nanopatterning using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Sean; Ganapathisubramanian, Maha; Miller, Mike; Yang, Jack; Choi, Jin; Xu, Frank; Resnick, Douglas J.; Sreenivasan, S. V.

    2012-03-01

    The ability to pattern materials at the nanoscale can enable a variety of applications ranging from high density data storage, displays, photonic devices and CMOS integrated circuits to emerging applications in the biomedical and energy sectors. These applications require varying levels of pattern control, short and long range order, and have varying cost tolerances. Extremely large area R2R manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. The cost of manufacturing is typically driven by speed (or throughput), tool complexity, cost of consumables (materials used, mold or master cost, etc.), substrate cost, and the downstream processing required (annealing, deposition, etching, etc.). In order to achieve low cost nanopatterning, it is imperative to move towards high speed imprinting, less complex tools, near zero waste of consumables and low cost substrates. The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. In this paper we address the key challenges for roll based nanopatterning by introducing a novel concept: Ink Jet based Roll-to-Roll Nanopatterning. To address this challenge, we have introduced a J-FIL based demonstrator product, the LithoFlex 100. Topics that are discussed in the paper include tool design and process performance. In addition, we have used the LithoFlex 100 to fabricate high performance wire grid polarizers on flexible polycarbonate (PC) films. Transmission of better than 80% and extinction ratios on the order of

  17. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  18. Cold-development tool and technique for the ultimate resolution of ZEP520A to fabricate an EB master mold for nano-imprint lithography for 1Tbit/inch2 BPM development

    NASA Astrophysics Data System (ADS)

    Kobayashi, Hideo; Iyama, Hiromasa; Kagatsume, Takeshi; Watanabe, Tsuyoshi

    2012-11-01

    Cold-development is well-known for resolution enhancement on ZEP520A. Dipping a wafer in a developer solvent chilled by a freezer, such a typical method had been employed. But, it is obvious that the dip-development method has several inferiorities such as developer temperature instability, temperature inconsistency between developer and a wafer, water-condensation on drying. We then built a single wafer spin-develop tool, and established a process sequence, to solve those difficulties. And, we tried to see their effect down to -10degC over various developers. In specific, we tried to make hole patterns in hexagonal closest packing in 40nm, 35nm, 30nm, 25nm pitch, and examined holes pattern quality and resolution limit by varying setting temperature from room temperature to -10degC in the cold-development, as well as varying developer chemistry from the standard developer ZED N-50 (n-amyl acetate, 100%) to MiBK and IPA mixture which was a rinsing solvent mixture originally. We also examined the other developer (poor solvent mixture) we designed, N-50 and fluorocarbon (FC) mixture, MiBK and FC mixture, and IPA+FC mixture. This paper describes cold-development tool and technique, and its results down to minus (-) 10degC, for ZEP520A resolution enhancement to obtain 1Xnm bits (holes) in 25nm pitch to fabricate an EB master mold for Nano-Imprinting Lithography for 1Tbit/in2 bit patterned media (BPM) in HDD development and production.

  19. Fabrication of a Ni nano-imprint stamp for an anti-reflective layer using an anodic aluminum oxide template.

    PubMed

    Park, Eun-Mi; Lim, Seung-Kyu; Ra, Senug-Hyun; Suh, Su-Jung

    2013-11-01

    Aluminum anodizing can alter pore diameter, density distribution, periodicity and layer thickness in a controlled way. Because of this property, porous type anodic aluminum oxide (AAO) was used as a template for nano-structure fabrication. The alumina layer generated at a constant voltage increased the pore size from 120 nm to 205 nm according to an increasing process time from 60 min to 150 min. The resulting fabricated AAO templates had pore diameters at or less than 200 nm. Ni was sputtered as a conductive layer onto this AAO template and electroplated using DC and pulse power. Comparing these Ni stamps, those generated from electroplating using on/reverse/off pulsing had an ordered pillar array and maintained the AAO template morphology. This stamp was used for nano-imprinting on UV curable resin coated glass wafer. Surface observations via electron microscopy showed that the nano-imprinted patterned had the same shape as the AAO template. A soft mold was subsequently fabricated and nano-imprinted to form a moth-eye structure on the glass wafer. An analysis of the substrate transmittance using UV-VIS/NIR spectroscopy showed that the transmittance of the substrate with the moth-eye structure was 5% greater that the non-patterned substrate.

  20. Novel organosilicone materials and patterning techniques for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Pina, Carlos Alberto

    Nanoimprint Lithography (NIL) is a high-throughput patterning technique that allows the fabrication of nanostructures with great precision. It has been listed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate technology for future generation Si chip manufacturing. In nanoimprint Lithography a resist material, e.g. a thermoplastic polymer, is placed in contact with a mold and then mechanically deformed under an applied load to transfer the nano-features on the mold surface into the resist. The success of NIL relies heavily in the capability of fabricating nanostructures on different types of materials. Thus, a key factor for NIL implementation in industrial settings is the development of advanced materials suitable as the nanoimprint resist. This dissertation focuses on the engineering of new polymer materials suitable as NIL resist. A variety of silicone-based polymer precursors were synthesized and formulated for NIL applications. High throughput and high yield nanopatterning was successfully achieved. Furthermore, additional capabilities of the developed materials were explored for a range of NIL applications such as their use as flexible, UV-transparent stamps and silicon compatible etching layers. Finally, new strategies were investigated to expand the NIL potentiality. High throughput, non-residual layer imprinting was achieved with the newly developed resist materials. In addition, several strategies were designed for the precise control of nanoscale size patterned structures with multifunctional resist systems by post-imprinting modification of the pattern size. These developments provide NIL with a new set of tools for a variety of additional important applications.

  1. High volume nanoscale roll-based imprinting using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Se Hyun; Miller, Michael; Yang, Shuqiang; Ganapathisubramanian, Maha; Menezes, Marlon; Singh, Vik; Wan, Fen; Choi, Jin; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas J.; Hofemann, Paul; Sreenivasan, S. V.

    2014-03-01

    Extremely large-area roll-to-roll manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. Display applications, including liquid crystal (LCD), organic light emitting diode (OLED) and flexible displays are particularly interesting because of the ability to impact multiple levels in the basic display. Of particular interest are the polarizer, DBEF, thin film transistor and color filter; roll-based imprinting has the opportunity to create high performance components within the display while improving the cost of ownership of the panel. Realization of these devices requires both a scalable imprinting technology and tool. In this paper, we introduce a high volume roll-based nanopatterning system, the LithoFlex 350TM. The LithoFlex 350 uses an inkjet based imprinting process similar to the technology demonstrator tool, the LithoFlex 100, introduced in 2012. The width of the web is 350mm and patterning width is 300mm. The system can be configured either for Plate-to-Roll (P2R) imprinting (in which a rigid template is used to pattern the flexible web material) or for Roll-to-Plate imprinting (R2P) (in which a web based template is used to pattern either wafers or panels). Also described in this paper are improvements to wire grid polarizer devices. By optimizing the deposition, patterning and etch processes, we have been able to create working WGPs with transmittance and extinction ratios as high as 44% and 50,000, respectively.

  2. Duplex-imprinted nano well arrays for promising nanoparticle assembly

    NASA Astrophysics Data System (ADS)

    Li, Xiangping; Manz, Andreas

    2018-02-01

    A large area nano-duplex-imprint technique is presented in this contribution using natural cicada wings as stamps. The glassy wings of the cicada, which are abundant in nature, exhibit strikingly interesting nanopillar structures over their membrane. This technique, with excellent performance despite the nonplanar surface of the wings, combines both top-down and bottom-up nanofabrication techniques. It transitions micro-nanofabrication from a cleanroom environment to the bench. Two different materials, dicing tape with an acrylic layer and a UV optical adhesive, are used to make replications at the same time, thus achieving duplex imprinting. The promise of a large volume of commercial manufacturing of these nanostructure elements can be envisaged through this contribution to speeding up the fabrication process and achieving a higher throughput. The contact angle of the replicated nanowell arrays before and after oxygen plasma was measured. Gold nanoparticles (50 nm) were used to test how the nanoparticles behaved on the untreated and plasma-treated replica surface. The experiments show that promising nanoparticle self-assembly can be obtained.

  3. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint

    PubMed Central

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-01-01

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way. PMID:27698465

  4. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    PubMed

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  5. Nano CaCO₃ imprinted starch hybrid polyethylhexylacrylate\\polyvinylalcohol nanocomposite thin films.

    PubMed

    Prusty, Kalyani; Swain, Sarat K

    2016-03-30

    Starch hybrid polyethylhexylacrylate (PEHA)/polyvinylalcohol (PVA) nanocomposite thin films are prepared by different composition of nano CaCO3 in aqueous medium. The chemical interaction of nano CaCO3 with PEHA in presence of starch and PVA is investigated by Fourier transforms infrared spectroscopy (FTIR). X-ray diffraction (XRD) is used in order to study the change in crystallite size and d-spacing during the formation of nanocomposite thin film. The surface morphology of nanofilms is studied by scanning electron microscope (SEM). The topology and surface roughness of the films is noticed by atomic force microscope (AFM). The tensile strength, thermal stability and thermal conductivity of films are increased with increase in concentrations of CaCO3 nanopowder. The chemical resistance and biodegradable properties of the nanocomposite thin films are also investigated. The growth of bacteria and fungi in starch hybrid PEHA film is reduced substantially with imprint of nano CaCO3. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. Enhanced efficiency of light emitting diodes with a nano-patterned gallium nitride surface realized by soft UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Zhou, Weimin; Min, Guoquan; Song, Zhitang; Zhang, Jing; Liu, Yanbo; Zhang, Jianping

    2010-05-01

    This paper reports a significant enhancement in the extraction efficiency of nano-patterned GaN light emitting diodes (LED) realized by soft UV nanoimprint lithography. The 2 inch soft stamp was fabricated using a replication stamp of anodic alumina oxide (AAO) membrane. The light output power was enhanced by 10.9% compared to that of the LED sample without a nano-patterned surface. Up to 41% enhancement in photoluminescence intensity was obtained from the nano-patterned GaN LED sample. The method is simple, cheap and suitable for mass production.

  7. Molecular Imprinting Techniques Used for the Preparation of Biosensors

    PubMed Central

    Ertürk, Gizem; Mattiasson, Bo

    2017-01-01

    Molecular imprinting is the technology of creating artificial recognition sites in polymeric matrices which are complementary to the template in their size, shape and spatial arrangement of the functional groups. Molecularly imprinted polymers (MIPs) and their incorporation with various transducer platforms are among the most promising approaches for detection of several analytes. There are a variety of molecular imprinting techniques used for the preparation of biomimetic sensors including bulk imprinting, surface imprinting (soft lithography, template immobilization, grafting, emulsion polymerization) and epitope imprinting. This chapter presents an overview of all of these techniques with examples from particular publications. PMID:28165419

  8. Surface imprinting on nano-TiO2 as sacrificial material for the preparation of hollow chlorogenic acid imprinted polymer and its recognition behavior

    NASA Astrophysics Data System (ADS)

    Li, Hui; Li, Gui; Li, Zhiping; Lu, Cuimei; Li, Yanan; Tan, Xianzhou

    2013-01-01

    Surface imprinting chlorogenic acid (CGA) on nano-TiO2 particles as sacrificial support material was successfully performed by using 4-vinylpyridine (4-VP) as functional monomer to obtain a hollow CGA-imprinted polymer (H-MIP1). Fourier transmission infrared spectrometry (FTIR) and scanning electron microscopy (SEM) were utilized for structurally characterizing the polymers obtained and adsorption dynamics and thermodynamic behavior investigated according to different models. Binding selectivity, adsorption capacity and the reusability for this H-MIP1 were also evaluated. This hollow CGA imprinted polymer shows rapid binding dynamics and higher binding capability toward the template molecules. The pseudo first-order kinetic model was shown best to describe the binding process of CGA on the H-MIP1 and Langmuir isotherm model best to fit the experimental adsorption isotherm data. Through adsorption isotherms at different temperatures, thermodynamic parameter values were obtained. Selectivity coefficients for the H-MIP1 toward the template were 2.209, 3.213, 1.746 and 2.353 relative to CA, VA, PCA and GA, respectively. This H-MIP1 was also indicated with a good imprint effect and a high capability to capture CGA from methanol extract of Eucommia ulmoides (E. ulmoides) leaves. Additionally, a good reusability for this imprinted polymer was exhibited during repeated adsorption-desorption use.

  9. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  10. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    NASA Astrophysics Data System (ADS)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  11. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  12. Controlled Chemical Patterns with ThermoChemical NanoLithography (TCNL)

    NASA Astrophysics Data System (ADS)

    Carroll, Keith; Giordano, Anthony; Wang, Debin; Kodali, Vamsi; King, W. P.; Marder, S. R.; Riedo, E.; Curtis, J. E.

    2012-02-01

    Many research areas, both fundamental and applied, rely upon the ability to organize non-trivial assemblies of molecules on surfaces. In this work, we introduce a significant extension of ThermoChemical NanoLithography (TCNL), a high throughput chemical patterning technique that uses temperature-driven chemical reactions localized near the tip of a thermal cantilever. By combining a chemical kinetics based model with experiments, we have developed a protocol for varying the concentration of surface bound molecules. The result is an unprecedented ability to fabricate extremely complex patterns comprised of varying chemical concentrations, as demonstrated by sinusoidal patterns of amine groups with varying pitches (˜5-15 μm) and the replication of Leonardo da Vinci's Mona Lisa with dimensions of ˜30 x 40 μm^2. Programmed control of the chemical reaction rate should have widespread applications for a technique which has already been shown to nanopattern various substrates including graphene nanowires, piezoelectric crystals, and optoelectronic materials.

  13. High performance wire grid polarizers using jet and flashTM imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Sean; Yang, Jack; Miller, Mike; Ganapathisubramanian, Maha; Menezes, Marlon; Choi, Jin; Xu, Frank; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-03-01

    The ability to pattern materials at the nanoscale can enable a variety of applications ranging from high density data storage, displays, photonic devices and CMOS integrated circuits to emerging applications in the biomedical and energy sectors. These applications require varying levels of pattern control, short and long range order, and have varying cost tolerances. Extremely large area roll to roll (R2R) manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. The cost of manufacturing is typically driven by speed (or throughput), tool complexity, cost of consumables (materials used, mold or master cost, etc.), substrate cost, and the downstream processing required (annealing, deposition, etching, etc.). In order to achieve low cost nanopatterning, it is imperative to move towards high speed imprinting, less complex tools, near zero waste of consumables and low cost substrates. The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. In this paper we have developed a roll based J-FIL process and applied it to technology demonstrator tool, the LithoFlex 100, to fabricate large area flexible bilayer wire grid polarizers (WGP) and high performance WGPs on rigid glass substrates. Extinction ratios of better than 10000 were obtained for the glass-based WGPs. Two simulation packages were also employed to understand the effects of pitch, aluminum thickness and pattern defectivity on the optical performance of the WGP devices. It was determined that the

  14. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  15. Enhanced light output from a nitride-based power chip of green light-emitting diodes with nano-rough surface using nanoimprint lithography.

    PubMed

    Huang, H W; Lin, C H; Yu, C C; Lee, B D; Chiu, C H; Lai, C F; Kuo, H C; Leung, K M; Lu, T C; Wang, S C

    2008-05-07

    Enhanced light extraction from a GaN-based power chip (PC) of green light-emitting diodes (LEDs) with a rough p-GaN surface using nanoimprint lithography is presented. At a driving current of 350 mA and with a chip size of 1 mm × 1 mm packaged on transistor outline (TO)-cans, the light output power of the green PC LEDs with nano-rough p-GaN surface is enhanced by 48% when compared with the same device without a rough p-GaN surface. In addition, by examining the radiation patterns, the green PC LED with nano-rough p-GaN surface shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices by using the technique of nanoimprint lithography under suitable nanopattern design.

  16. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  17. Experimental and Modeling Study of Solvent Diffusion in PDMS for Nanoparticle-Polymer Cosuspension Imprint Lithography.

    PubMed

    Gervasio, Michelle; Lu, Kathy; Davis, Richey

    2015-09-15

    This study is the first that focuses on solvent migration in a polydimethylsiloxane (PDMS) stamp during the imprint lithography of ZnO-poly(methyl methacrylate) (PMMA) hybrid suspensions. Using suspensions with varying solids loading levels and ZnO/PMMA ratios, the uptake of the anisole solvent in the stamp is evaluated as a function of time. Laser confocal microscopy is employed as a unique technique to measure the penetration depth of the solvent into the stamp. The suspension solids loading affects the anisole saturation depth in the PDMS stamp. For the suspensions with low solids loading, the experimental data agree with the model for non-Fickian diffusion through a rubbery-elastic polymer. For the suspensions with high solids loading, the data agree more with a sigmoidal diffusion curve, reflecting the rubbery-viscous behavior of a swelling polymer. This difference is due to the degree of swelling in the PDMS. Higher solids loadings induce more swelling because the rate of anisole diffusing into the stamp is increased, likely due to the less dense buildup of the solids as the suspension dries.

  18. Defect reduction of patterned media templates and disks

    NASA Astrophysics Data System (ADS)

    Luo, Kang; Ha, Steven; Fretwell, John; Ramos, Rick; Ye, Zhengmao; Schmid, Gerard; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-05-01

    Imprint lithography has been shown to be an effective technique for the replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require a demonstration of defect levels commensurate with cost-effective device production. This work summarizes the results of defect inspections of hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical based automated inspection tools. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity and scattered light. Defects that have been identified in this manner are further characterized according to the morphology. The imprint process was tested after optimizing both the disk cleaning and adhesion layers processes that precede imprinting. An extended imprint run was performed and both the defect types and trends are reported.

  19. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  20. Performance of Ultrathin Silicon Solar Microcells with Nanostructures of Relief Formed by Soft Imprint Lithography for Broad Band Absorption Enhancement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shir, Daniel J.; Yoon, Jongseung; Chanda, Debashis

    2010-08-11

    Recently developed classes of monocrystalline silicon solar microcells can be assembled into modules with characteristics (i.e., mechanically flexible forms, compact concentrator designs, and high-voltage outputs) that would be impossible to achieve using conventional, wafer-based approaches. This paper presents experimental and computational studies of the optics of light absorption in ultrathin microcells that include nanoscale features of relief on their surfaces, formed by soft imprint lithography. Measurements on working devices with designs optimized for broad band trapping of incident light indicate good efficiencies in energy production even at thicknesses of just a few micrometers. These outcomes are relevant not only tomore » the microcell technology described here but also to other photovoltaic systems that benefit from thin construction and efficient materials utilization.« less

  1. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  2. Patterned media towards Nano-bit magnetic recording: fabrication and challenges.

    PubMed

    Sbiaa, Rachid; Piramanayagam, Seidikkurippu N

    2007-01-01

    During the past decade, magnetic recording density of HDD has doubled almost every 18 months. To keep increasing the recording density, there is a need to make the small bits thermally stable. The most recent method using perpendicular recording media (PMR) will lose its fuel in a few years time and alternatives are sought. Patterned media, where the bits are magnetically separated from each other, offer the possibility to solve many issues encountered by PMR technology. However, implementation of patterned media would involve developing processing methods which offer high resolution (small bits), regular patterns, and high density. All these need to be achieved without sacrificing a high throughput and low cost. In this article, we review some of the ideas that have been proposed in this subject. However, the focus of the paper is on nano-imprint lithography (NIL) as it fulfills most of the needs of HDD as compared to conventional lithography using electron beam, EUV or X-Rays. The latest development of NIL and related technologies and their future prospects for patterned media are also discussed.

  3. Jet and flash imprint defectivity: assessment and reduction for semiconductor applications

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.; Johnson, Steve; Resnick, Douglas J.; Lovell, David

    2011-04-01

    Defectivity has been historically identified as a leading technical roadblock to the implementation of nanoimprint lithography for semiconductor high volume manufacturing. The lack of confidence in nanoimprint's ability to meet defect requirements originates in part from the industry's past experiences with 1X lithography and the shortage in end-user generated defect data. SEMATECH has therefore initiated a defect assessment aimed at addressing these concerns. The goal is to determine whether nanoimprint, specifically Jet and Flash Imprint Lithography from Molecular Imprints, is capable of meeting semiconductor industry defect requirements. At this time, several cycles of learning have been completed in SEMATECH's defect assessment, with promising results. J-FIL process random defectivity of < 0.1 def/cm2 has been demonstrated using a 120nm half-pitch template, providing proof of concept that a low defect nanoimprint process is possible. Template defectivity has also improved significantly as shown by a pre-production grade template at 80nm pitch. Cycles of learning continue on feature sizes down to 22nm.

  4. Direct formation of nano-pillar arrays by phase separation of polymer blend for the enhanced out-coupling of organic light emitting diodes with low pixel blurring.

    PubMed

    Lee, Cholho; Han, Kyung-Hoon; Kim, Kwon-Hyeon; Kim, Jang-Joo

    2016-03-21

    We have demonstrated a simple and efficient method to fabricate OLEDs with enhanced out-coupling efficiencies and with low pixel blurring by inserting nano-pillar arrays prepared through the lateral phase separation of two immiscible polymers in a blend film. By selecting a proper solvent for the polymer and controlling the composition of the polymer blend, the nano-pillar arrays were formed directly after spin-coating of the polymer blend and selective removal of one phase, needing no complicated processes such as nano-imprint lithography. Pattern size and distribution were easily controlled by changing the composition and thickness of the polymer blend film. Phosphorescent OLEDs using the internal light extraction layer containing the nano-pillar arrays showed a 30% enhancement of the power efficiency, no spectral variation with the viewing angle, and only a small increment in pixel blurring. With these advantages, this newly developed method can be adopted for the commercial fabrication process of OLEDs for lighting and display applications.

  5. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  6. All-Printed, Self-Aligned Carbon Nanotube Thin-Film Transistors on Imprinted Plastic Substrates.

    PubMed

    Song, Donghoon; Zare Bidoky, Fazel; Hyun, Woo Jin; Walker, S Brett; Lewis, Jennifer A; Frisbie, C Daniel

    2018-05-09

    We present a self-aligned process for printing thin-film transistors (TFTs) on plastic with single-walled carbon nanotube (SWCNT) networks as the channel material. The SCALE (self-aligned capillarity-assisted lithography for electronics) process combines imprint lithography with inkjet printing. Specifically, inks are jetted into imprinted reservoirs, where they then flow into narrow device cavities due to capillarity. Here, we incorporate a composite high- k gate dielectric and an aligned conducting polymer gate electrode in the SCALE process to enable a smaller areal footprint than prior designs that yields low-voltage SWCNT TFTs with average p-type carrier mobilities of 4 cm 2 /V·s and ON/OFF current ratios of 10 4 . Our work demonstrates the promising potential of the SCALE process to fabricate SWCNT-based TFTs with favorable I- V characteristics on plastic substrates.

  7. Micro and Nano Systems for Space Exploration

    NASA Technical Reports Server (NTRS)

    Manohara, Harish

    2007-01-01

    This slide presentation reviews the use of micro and nano systems in Space exploration. Included are: an explanation of the rationales behind nano and micro technologies for space exploration, a review of how the devices are fabricated, including details on lithography with more information on Electron Beam (E-Beam) lithography, and X-ray lithography, a review of micro gyroscopes and inchworm Microactuator as examples of the use of MicroElectoMechanical (MEMS) technology. Also included is information on Carbon Nanotubes, including a review of the CVD growth process. These micro-nano systems have given rise to the next generation of miniature X-ray Diffraction, X-ray Fluorescence instruments, mass spectrometers, and terahertz frequency vacuum tube oscillators and amplifiers, scanning electron microscopes and energy dispersive x-ray spectroscope. The nanotechnology has also given rise to coating technology, such as silicon nanotip anti-reflection coating.

  8. Study of nanoimprint lithography (NIL) for HVM of memory devices

    NASA Astrophysics Data System (ADS)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  9. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  10. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  11. Soft Nanoimprint Lithography for Direct Printing of Crystalline Metal Oxide Nanostructures

    NASA Astrophysics Data System (ADS)

    Kothari, Rohit; Beaulieu, Michael; Watkins, James

    2015-03-01

    We demonstrate a solution-based soft nanoimprint lithography technique to directly print dimensionally-stable crystalline metal oxide nanostructures. A patterned PDMS stamp is used in combination with a UV/thermal cure step to imprint a resist containing high concentrations of crystalline nanoparticles in an inorganic/organic binder phase. The as-imprinted nanostructures are highly crystalline and therefore undergo little shrinkage (less than 5% in some cases) upon thermal annealing. High aspect ratio nanostructures and sub-100 nm features are easily realized. Residual layer free direct imprinting (no etching) was achieved by choosing the resist with the appropriate surface energy to ensure dewetting at stamp-substrate interface. The technique was further extended to stack the nanostructures by deploying a layer-by-layer imprint strategy. The method is scalable and can produce large area device quality nanostructures in a rapid fashion at a low cost. CeO2, ITO and TiO2 nanopatterns are illustrated for their potential use in fuel cell electrodes, solar cell electrodes and photonic devices, respectively.

  12. Magnetic high throughput screening system for the development of nano-sized molecularly imprinted polymers for controlled delivery of curcumin.

    PubMed

    Piletska, Elena V; Abd, Bashar H; Krakowiak, Agata S; Parmar, Anitha; Pink, Demi L; Wall, Katie S; Wharton, Luke; Moczko, Ewa; Whitcombe, Michael J; Karim, Kal; Piletsky, Sergey A

    2015-05-07

    Curcumin is a versatile anti-inflammatory and anti-cancer agent known for its low bioavailability, which could be improved by developing materials capable of binding and releasing drug in a controlled fashion. The present study describes the preparation of magnetic nano-sized Molecularly Imprinted Polymers (nanoMIPs) for the controlled delivery of curcumin and their high throughput characterisation using microtitre plates modified with magnetic inserts. NanoMIPs were synthesised using functional monomers chosen with the aid of molecular modelling. The rate of release of curcumin from five polymers was studied under aqueous conditions and was found to correlate well with the binding energies obtained computationally. The presence of specific monomers was shown to be significant in ensuring effective binding of curcumin and to the rate of release obtained. Characterisation of the polymer particles was carried out using dynamic light scattering (DLS) technique and scanning electron microscopy (SEM) in order to establish the relationship between irradiation time and particle size. The protocols optimised during this study could be used as a blueprint for the development of nanoMIPs capable of the controlled release of potentially any compound of interest.

  13. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  14. Applying the miniaturization technologies for biosensor design.

    PubMed

    Derkus, Burak

    2016-05-15

    Microengineering technologies give us some opportunities in developing high-tech sensing systems that operate with low volumes of samples, integrates one or more laboratory functions on a single substrate, and enables automation. These millimetric sized devices can be produced for only a few dollars, which makes them promising candidates for mass-production. Besides electron beam lithography, stencil lithography, nano-imprint lithography or dip pen lithography, basic photolithography is the technique which is extensively used for the design of microengineered sensing systems. This technique has some advantages such as easy-to-manufacture, do not require expensive instrumentation, and allow creation of lower micron-sized patterns. In this review, it has been focused on three different type of microengineered sensing devices which are developed using micro/nano-patterning techniques, microfluidic technology, and microelectromechanics system based technology. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. Solar-blind deep-UV band-pass filter (250 - 350 nm) consisting of a metal nano-grid fabricated by nanoimprint lithography.

    PubMed

    Li, Wen-Di; Chou, Stephen Y

    2010-01-18

    We designed, fabricated and demonstrated a solar-blind deep-UV pass filter, that has a measured optical performance of a 27% transmission peak at 290 nm, a pass-band width of 100 nm (from 250 to 350 nm), and a 20dB rejection ratio between deep-UV wavelength and visible wavelength. The filter consists of an aluminum nano-grid, which was made by coating 20 nm Al on a SiO(2) square grid with 190 nm pitch, 30 nm linewidth and 250 nm depth. The performances agree with a rigorous coupled wave analysis. The wavelength for the peak transmission and the pass-bandwidth can be tuned through adjusting the metal nano-grid dimensions. The filter was fabricated by nanoimprint lithography, hence is large area and low cost. Combining with Si photodetectors, the filter offers simple yet effective and low cost solar-blind deep-UV detection at either a single device or large-area complex integrated imaging array level.

  16. Synthesis of nano-sized hydrogen phosphate-imprinted polymer in acetonitrile/water mixture and its use as a recognition element of hydrogen phosphate selective all-solid state potentiometric electrode.

    PubMed

    Alizadeh, Taher; Atayi, Khalil

    2018-02-01

    Herein, a new recipe is introduced for the preparation of hydrogen phosphate ion-imprinted polymer nanoparticles (nano-IIP) in acetonitrile/water (63.5:36.5) using phosphoric acid as the template. The nano-IIP obtained was used as the recognition element of a carbon paste potentiometric sensor. The IIP electrode showed a Nernstian response to hydrogen phosphate anion; whereas, the non-imprinted polymer (NIP)-based electrode had no considerable sensitivity to the anion. The presence of both methacrylic acid and vinyl pyridine in the IIP structure, as well as optimization of the functional monomers-template proportion, was found to be important to observe the sensing capability of the IIP electrode. The nano-IIP electrode showed a dynamic linear range of 1 × 10 -5 -1 × 10 -1  mol L-1, Nernstian slope of 30.6 ± (0.5) mV decade -1 , response time of 25 seconds, and detection limit of 4.0 × 10 -6  mol L -1 . The utility of the electrodes was checked by potentiometric titration of hydrogen phosphate with La 3+ solution. Copyright © 2017 John Wiley & Sons, Ltd.

  17. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing.

    PubMed

    Lai, Fang-I; Yang, Jui-Fu

    2013-05-17

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  18. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing

    PubMed Central

    2013-01-01

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography. PMID:23683526

  19. Replacement of Antibodies in Pseudo-ELISAs: Molecularly Imprinted Nanoparticles for Vancomycin Detection.

    PubMed

    Canfarotta, Francesco; Smolinska-Kempisty, Katarzyna; Piletsky, Sergey

    2017-01-01

    The enzyme-linked immunosorbent assay (ELISA) is a widely employed analytical test used to quantify a given molecule. It relies on the use of specific antibodies, linked to an enzyme, to target the desired molecule. The reaction between the enzyme and its substrate gives rise to the analytical signal that can be quantified. Thanks to their robustness and low cost, molecularly imprinted polymer nanoparticles (nanoMIPs) are a viable alternative to antibodies. Herein, we describe the synthesis of nanoMIPs imprinted for vancomycin and their subsequent application in an ELISA-like format for direct replacement of antibodies.

  20. Large-area metallic photonic lattices for military applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luk, Ting Shan

    2007-11-01

    In this project we developed photonic crystal modeling capability and fabrication technology that is scaleable to large area. An intelligent optimization code was developed to find the optimal structure for the desired spectral response. In terms of fabrication, an exhaustive survey of fabrication techniques that would meet the large area requirement was reduced to Deep X-ray Lithography (DXRL) and nano-imprint. Using DXRL, we fabricated a gold logpile photonic crystal in the <100> plane. For the nano-imprint technique, we fabricated a cubic array of gold squares. These two examples also represent two classes of metallic photonic crystal topologies, the connected networkmore » and cermet arrangement.« less

  1. Synthesis of thermo-responsive bovine hemoglobin imprinted nanoparticles by combining ionic liquid immobilization with aqueous precipitation polymerization.

    PubMed

    Wang, Yongmei; Yang, Chongchong; Sun, Yan; Qiu, Fengtao; Xiang, Yang; Fu, Guoqi

    2018-02-01

    Surface molecular imprinting over functionalized nanoparticles has proved to be an effective approach for construction of artificial nanomaterials for protein recognition. Herein, we report a strategy for synthesis of core-shell protein-imprinted nanoparticles by the functionalization of nano-cores with ionic liquids followed by aqueous precipitation polymerization to build thermo-responsive imprinted polymer nano-shells. The immobilized ionic liquids can form multiple interactions with the protein template. The polymerization process can produce thermo-reversible physical crosslinks, which are advantageous to enhancing imprinting and facilitating template removal. With bovine hemoglobin as a model template, the imprinted nanoparticles showed temperature-sensitivity in both dispersion behaviors and rebinding capacities. Compared with the ionic-liquid-modified core nanoparticles, the imprinted particles exhibited greatly increased selectivity and two orders of magnitude higher binding affinity for the template protein. The imprinted nanoparticles achieved relatively high imprinting factor up to 5.0 and specific rebinding capacity of 67.7 mg/g, respectively. These nanoparticles also demonstrated rapid rebinding kinetics and good reproducibility after five cycles of adsorption-regeneration. Therefore, the presented approach may be viable for the fabrication of high-performance protein-imprinted nanoparticles with temperature sensitivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Interfacial pattern changes of imprinted multilayered material in milli- and microscales

    NASA Astrophysics Data System (ADS)

    Yonekura, Kazuhiro; Tokumaru, Kazuki; Tsumori, Fujio

    2018-06-01

    Nanoimprint lithography (NIL) is a technique that transfers a mold pattern of nanometer order to the surface of a resist material by heating and pressing. NIL is an excellent technology in terms of high productivity, accuracy, and resolution. Recently, NIL has been applied to the processing of different multilayered materials, in which it is possible to process multiple materials simultaneously. In this processing of multilayered materials, it is possible to form an interfacial pattern between the upper layer and the lower layer simultaneously with patterning on the mold surface. This interface pattern can be controlled by the deformation characteristics, initial thickness, and so forth. In this research, we compared the interfacial pattern changes of imprinted multilayered materials in milli- and microscales. For multilayered imprint using multiple materials, it is important to know the flow of the resist and its dependence on the scale. If there is similarity in the relationship produced by the scale on the imprinted samples, a process design with a number of feedbacks could be realized. It also becomes easier to treat structures in the millimeter scale for the experiment. In this study, we employed micropowder imprint (µPI) for multilayered material imprint. A compound sheet of alumina powder and polymer binder was used for imprint. Two similar experiments in different scales, micro- and millimeter scales, were carried out. Results indicate that the interfacial patterns of micro- and millimeter-scale-imprinted samples are similar.

  3. Estrone specific molecularly imprinted polymeric nanospheres: synthesis, characterization and applications for electrochemical sensor development.

    PubMed

    Congur, Gulsah; Senay, Hilal; Turkcan, Ceren; Canavar, Ece; Erdem, Arzum; Akgol, Sinan

    2013-06-28

    The aim of this study is (i) to prepare estrone-imprinted nanospheres (nano-EST-MIPs) and (ii) to integrate them into the electrochemical sensor as a recognition layer. N-methacryloyl-(l)-phenylalanine (MAPA) was chosen as the complexing monomer. Firstly, estrone (EST) was complexed with MAPA and the EST-imprinted poly(2-hyroxyethylmethacrylate-co-N-methacryloyl-(l)-phenylalanine) [EST-imprinted poly(HEMA-MAPA)] nanospheres were synthesized by surfactant- free emulsion polymerization method. The specific surface area of the EST-imprinted poly(HEMA-MAPA) nanospheres was found to be 1275 m2/g with a size of 163.2 nm in diameter. According to the elemental analysis results, the nanospheres contained 95.3 mmole MAPA/g nanosphere. The application of EST specific MIP nanospheres for the development of an electrochemical biosensor was introduced for the first time in our study by using electrochemical impedance spectroscopy (EIS) technique. This nano-MIP based sensor presented a great specificity and selectivity for EST.

  4. Ultrasound-assisted combined with nano-sized molecularly imprinted polymer for selective extraction and pre-concentration of amitriptyline in human plasma with gas chromatography-flame detection.

    PubMed

    Khanahmadzadeh, Salah; Tarigh, Ahmad

    2014-12-01

    A new process was developed for the selective extraction and pre-concentration of amitriptyline (AT) from human plasma using nano-sized molecularly imprinted polymer (MIP) with ultrasound-assisted extraction (UAE). The nano-sized AT imprinted polymer particles were synthesized using suspension polymerization in silicon oil and characterized by Fourier transform infrared (FT-IR) spectroscopy and scanning electron microscope (SEM) methods. With the application of optimized values, linearity values in the ranges of 20-200μgmL(-1) and 35-200μgmL(-1) were obtained for AT with the correlation of determination values (r(2)) 0.998 and 0.995 in water and plasma, respectively. The limits of detections (S/N=3) for AT were found to be 0.7 and 1.2μgmL(-1) in water and plasma, respectively. The enrichment factors of AT in water and plasma were 52 and 40, respectively. The inter-day precisions (%) were in the range of 5.8-9.2%. Relative recovery rates ranged from 82.4% to 92.3%. The method was successfully applied to determine AT in the human plasma samples. Copyright © 2014 Elsevier B.V. All rights reserved.

  5. Nano-Sized Cyclodextrin-Based Molecularly Imprinted Polymer Adsorbents for Perfluorinated Compounds—A Mini-Review

    PubMed Central

    Karoyo, Abdalla H.; Wilson, Lee D.

    2015-01-01

    Recent efforts have been directed towards the design of efficient and contaminant selective remediation technology for the removal of perfluorinated compounds (PFCs) from soils, sediments, and aquatic environments. While there is a general consensus on adsorption-based processes as the most suitable methodology for the removal of PFCs from aquatic environments, challenges exist regarding the optimal materials design of sorbents for selective uptake of PFCs. This article reviews the sorptive uptake of PFCs using cyclodextrin (CD)-based polymer adsorbents with nano- to micron-sized structural attributes. The relationship between synthesis of adsorbent materials and their structure relate to the overall sorption properties. Hence, the adsorptive uptake properties of CD-based molecularly imprinted polymers (CD-MIPs) are reviewed and compared with conventional MIPs. Further comparison is made with non-imprinted polymers (NIPs) that are based on cross-linking of pre-polymer units such as chitosan with epichlorohydrin in the absence of a molecular template. In general, MIPs offer the advantage of selectivity, chemical tunability, high stability and mechanical strength, ease of regeneration, and overall lower cost compared to NIPs. In particular, CD-MIPs offer the added advantage of possessing multiple binding sites with unique physicochemical properties such as tunable surface properties and morphology that may vary considerably. This mini-review provides a rationale for the design of unique polymer adsorbent materials that employ an intrinsic porogen via incorporation of a macrocyclic compound in the polymer framework to afford adsorbent materials with tunable physicochemical properties and unique nanostructure properties. PMID:28347047

  6. A 3D-printed device for polymer nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  7. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  8. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    NASA Astrophysics Data System (ADS)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  9. Exciton-plasmon coupling in two-dimensional plexitonic nano grating

    NASA Astrophysics Data System (ADS)

    Asgari, N.; Hamidi, S. M.

    2018-07-01

    The proximity of metal and semiconductor nanostructures leads to the emergence of new optical features for many tunable applications, which affects the electromagnetic modes in metallic nanostructure and electronic states in semiconductor nanostructure in nanometer scales. Thus, it will create some changes in the transition matrix elements and the absorption and emission properties. Therefore, absorption and emission properties can be designed and controlled by exciton-plasmon interaction. In the present study, Rhodamine-B and 6G were used as organic dyes in Polyvinylpyrrolidone as host medium and two-dimensional crystal as plasmonic ones. To this aim, Nano imprint lithography was used to produce two dimensional crystals and its deposit gold was utilized to harvest plasmonic mold in the proximity of excitonic media. Then, the dispersion relation was measured and the polar diagram was plotted for different coupling regime. Based on the results, this system has a poor capability for overcoming the difficulties of obtaining strong coupling although different figures of merit were observed for increasing coupling strength, which is very useful for designing and constructing new generation of plexitonic structures.

  10. High resolution beam profiling of X-ray free electron laser radiation by polymer imprint development.

    PubMed

    Rösner, Benedikt; Döring, Florian; Ribič, Primož R; Gauthier, David; Principi, Emiliano; Masciovecchio, Claudio; Zangrando, Marco; Vila-Comamala, Joan; De Ninno, Giovanni; David, Christian

    2017-11-27

    High resolution metrology of beam profiles is presently a major challenge at X-ray free electron lasers. We demonstrate a characterization method based on beam imprints in poly (methyl methacrylate). By immersing the imprints formed at 47.8 eV into organic solvents, the regions exposed to the beam are removed similar to resist development in grayscale lithography. This allows for extending the sensitivity of the method by more than an order of magnitude compared to the established analysis of imprints created solely by ablation. Applying the Beer-Lambert law for absorption, the intensity distribution in a micron-sized focus can be reconstructed from one single shot with a high dynamic range, exceeding 10 3 . The procedure described here allows for beam characterization at free electron lasers revealing even faint beam tails, which are not accessible when using ablation imprint methods. We demonstrate the greatly extended dynamic range on developed imprints taken in focus of conventional Fresnel zone plates and spiral zone plates producing beams with a topological charge.

  11. Preparation of magnetic TNT-imprinted polymer nanoparticles and their accumulation onto magnetic carbon paste electrode for TNT determination.

    PubMed

    Alizadeh, Taher

    2014-11-15

    In this study, the TNT-imprinted polymer shell was created on nano-sized Fe3O4 cores in order to construct the nano-sized magnetic molecularly imprinted polymer (nano-MMIP). For this purpose, the surface of the synthesized magnetic nanoparticles was modified with methacrylic acid. The modified particles were then utilized as the core on which the TNT-imprinted polymeric shell was synthesized. The synthesized materials were then characterized by scanning electron microscopy, FT-IR and thermal gravimetric analysis (TGA). The resulting nano-MMIP particles were suspended in TNT solution and then collected on the surface of a carbon paste electrode via a permanent magnet, situated within the CP electrode. The extracted TNT was analyzed on the CP electrode by applying square wave voltammetry (SWV). It was found that the oxidative signal of TNT is much favorable for TNT detection on the resulting magnetic carbon paste electrode. The electrode with nano-MMIP showed distinctly higher signal to TNT, compared to that containing magnetic non-imprinted polymer (MNIP) nanoparticles. All parameters influencing the method performance including extraction pH, extraction time and sorbent amount were evaluated and optimized. The developed method showed a dynamic linear concentration range of 1.0-130.0 nM for TNT measurement. The detection limit of the method was calculated to be 0.5 nM. The method showed appropriate capability for TNT analysis in real water samples. Copyright © 2014. Published by Elsevier B.V.

  12. Imprint process performance for patterned media at densities greater than 1Tb/in2

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Carden, Scott; Hellebrekers, Paul; LaBrake, Dwayne; Resnick, Douglas J.; Melliar-Smith, M.; Sreenivasan, S. V.

    2012-03-01

    The use of bit pattern media beyond densities of 1Tb/in2 requires the ability to pattern dimensions to sub 10nm. This paper describes the techniques used to reach these dimensions with imprint lithography and avoid such challenges as pattern collapse, by developing improved resist materials with higher strength, and utilizing a reverse tone J-FIL/R process.

  13. Fabrication of Achromatic Infrared Wave Plate by Direct Imprinting Process on Chalcogenide Glass

    NASA Astrophysics Data System (ADS)

    Yamada, Itsunari; Yamashita, Naoto; Tani, Kunihiko; Einishi, Toshihiko; Saito, Mitsunori; Fukumi, Kouhei; Nishii, Junji

    2012-07-01

    An achromatic infrared wave plate was fabricated by forming a subwavelength grating on the chalcogenide glass using direct imprint lithography. A low toxic chalcogenide glass (Sb-Ge-Sn-S system) substrate was imprinted with a grating of 1.63-µm depth, a fill factor of 0.7, and 3-µm period using glassy carbon as a mold at 253 °C and 3.8 MPa. Phase retardation of the element reached around 30° at 8.5-10.5 µm wavelengths, and the transmittance exceeded that of a flat substrate over 8 µm wavelength. Fabrication of the mid-infrared wave plate is thereby less expensive than that of conventional crystalline wave plates.

  14. High volume nanoscale roll-based imprinting using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Se Hyun; Miller, Mike; Yang, Shuqiang; Ganapathisubramanian, Maha; Menezes, Marlon; Singh, Vik; Choi, Jin; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    Extremely large-area roll-to-roll (R2R) manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. In order to achieve low-cost nanopatterning, it is imperative to move toward high-speed imprinting, less complex tools, near zero waste of consumables, and low-cost substrates. We have developed a roll-based J-FIL process and applied it to a technology demonstrator tool, the LithoFlex 100, to fabricate large-area flexible bilayer wire-grid polarizers (WGPs) and high-performance WGPs on rigid glass substrates. Extinction ratios of better than 10,000 are obtained for the glass-based WGPs. Two simulation packages are also employed to understand the effects of pitch, aluminum thickness, and pattern defectivity on the optical performance of the WGP devices. It is determined that the WGPs can be influenced by both clear and opaque defects in the gratings; however, the defect densities are relaxed relative to the requirements of a high-density semiconductor device.

  15. Fabrication of 2-inch nano patterned sapphire substrate with high uniformity by two-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Dai, LongGui; Yang, Fan; Yue, Gen; Jiang, Yang; Jia, Haiqiang; Wang, Wenxin; Chen, Hong

    2014-11-01

    Generally, nano-scale patterned sapphire substrate (NPSS) has better performance than micro-scale patterned sapphire substrate (MPSS) in improving the light extraction efficiency of LEDs. Laser interference lithography (LIL) is one of the powerful fabrication methods for periodic nanostructures without photo-masks for different designs. However, Lloyd's mirror LIL system has the disadvantage that fabricated patterns are inevitably distorted, especially for large-area twodimensional (2D) periodic nanostructures. Herein, we introduce two-beam LIL system to fabricate consistent large-area NPSS. Quantitative analysis and characterization indicate that the high uniformity of the photoresist arrays is achieved. Through the combination of dry etching and wet etching techniques, the well-defined NPSS with period of 460 nm were prepared on the whole sapphire substrate. The deviation is 4.34% for the bottom width of the triangle truncated pyramid arrays on the whole 2-inch sapphire substrate, which is suitable for the application in industrial production of NPSS.

  16. An assessment of the process capabilities of nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  17. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  18. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  19. Designed tools for analysis of lithography patterns and nanostructures

    NASA Astrophysics Data System (ADS)

    Dervillé, Alexandre; Baderot, Julien; Bernard, Guilhem; Foucher, Johann; Grönqvist, Hanna; Labrosse, Aurélien; Martinez, Sergio; Zimmermann, Yann

    2017-03-01

    We introduce a set of designed tools for the analysis of lithography patterns and nano structures. The classical metrological analysis of these objects has the drawbacks of being time consuming, requiring manual tuning and lacking robustness and user friendliness. With the goal of improving the current situation, we propose new image processing tools at different levels: semi automatic, automatic and machine-learning enhanced tools. The complete set of tools has been integrated into a software platform designed to transform the lab into a virtual fab. The underlying idea is to master nano processes at the research and development level by accelerating the access to knowledge and hence speed up the implementation in product lines.

  20. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  1. 3D nano-structures for laser nano-manipulation

    PubMed Central

    Seniutinas, Gediminas; Gervinskas, Gediminas; Brasselet, Etienne; Juodkazis, Saulius

    2013-01-01

    Summary The resputtering of gold films from nano-holes defined in a sacrificial PMMA mask, which was made by electron beam lithography, was carried out with a dry plasma etching tool in order to form well-like structures with a high aspect ratio (height/width ≈ 3–4) at the rims of the nano-holes. The extraordinary transmission through the patterns of such nano-wells was investigated experimentally and numerically. By doing numerical simulations of 50-nm and 100-nm diameter polystyrene beads in water and air, we show the potential of such patterns for self-induced back-action (SIBA) trapping. The best trapping conditions were found to be a trapping force of 2 pN/W/μm2 (numerical result) exerted on a 50-nm diameter bead in water. The simulations were based on the analytical Lorentz force model. PMID:24062979

  2. Aluminum Nanowire Arrays via Soft Nanoimprint Lithography

    NASA Astrophysics Data System (ADS)

    Naughton, Michael J.; Nesbitt, Nathan T.; Merlo, Juan M.; Rose, Aaron H.; Calm, Yitzi M.; D'Imperio, Luke A.; Courtney, Dave T.; Shepard, Steve; Kempa, Krzysztof; Burns, Michael J.

    We have previously reported a method to fabricate freestanding, vertically-oriented, and lithographically-ordered Al nanowire arrays via directed assembly, and demonstrated their utility as a plasmonic waveguide. However, the process, a variation on the preparation of anodized aluminum oxide (AAO), involved imprinting Al with a hard stamp, which wore down the stamp and had a low yield of Al NWs. Here we show a new nanoimprint lithography (NIL) technique that uses a soft stamp to pattern a mask on the Al; it provides a greater yield of Al NWs and is less destructive to the stamp, providing a path to applications that require NW arrays over macroscopic areas. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  3. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting.

    PubMed

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-09-23

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132 degrees +/- 2 degrees , which was slightly lower than that of the original cicada wing (138 degrees +/- 2 degrees ), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86 degrees ).

  4. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting

    NASA Astrophysics Data System (ADS)

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-09-01

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132° ± 2°, which was slightly lower than that of the original cicada wing (138° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86°).

  5. Reversible nano-lithography for commercial approaches

    NASA Astrophysics Data System (ADS)

    Park, Jae Hong; Jang, Hyun Ik; Kim, Woo Choong; Yun, Hae S.; Park, Jun Yong; Jeon, Seok Woo; Kim, Hee Yeoun; Ahn, Chi Won

    2016-04-01

    The methodology suggested in this research provides the great possibility of creating nanostructures composed of various materials, such as soft polymer, hard polymer, and metal, as well as Si. Such nanostructures are required for a vast range of optical and display devices, photonic components, physical devices, energy devices including electrodes of secondary batteries, fuel cells, solar cells, and energy harvesters, biological devices including biochips, biomimetic or biosimilar structured devices, and mechanical devices including micro- or nano-scale sensors and actuators.

  6. Rapid Prototyping across the Spectrum: RF to Optical 3D Electromagnetic Structures

    DTIC Science & Technology

    2015-11-17

    34Imprintable, Bendable, and Shape-Conformable Polymer Electrolytes for Versatile-Shaped Lithium - Ion Batteries ," Advanced Materials, vol. 25, pp. 1395-1400...center; and (d) close-up of light aperture etched with a focused ion beam [104] ............ 22 Figure 16: (a) Conformal antenna patterned by...where the features are defined using focused ion beam milling (e.g. fishnet patterns) [20], standard micro-/nano- lithography processes that are

  7. Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3-D arrays on glass substrates

    NASA Astrophysics Data System (ADS)

    Wiles, Kenton B.; Wiles, Natasha S.; Herlihy, Kevin P.; Maynor, Benjamin W.; Rolland, Jason P.; DeSimone, Joseph M.

    2006-03-01

    The fabrication of nanometer size structures and complex devices for microelectronics is of increasing importance so as to meet the challenges of large-scale commercial applications. Soft lithography typically employs elastomeric polydimethylsiloxane (PDMS) molds to replicate micro- and nanoscale features. However, the difficulties of PDMS for nanoscale fabrication include inherent incompatibility with organic liquids and the production of a residual scum or flash layer that link features where the nano-structures meet the substrate. An emerging technologically advanced technique known as Pattern Replication in Non-wetting Templates (PRINT) avoids both of these dilemmas by utilizing photocurable perfluorinated polyether (PFPE) rather than PDMS as the elastomeric molding material. PFPE is a liquid at room temperature that exhibits low modulus and high gas permeability when cured. The highly fluorinated PFPE material allows for resistance to swelling by organic liquids and very low surface energies, thereby preventing flash layer formation and ease of separation of PFPE molds from the substrates. These enhanced characteristics enable easy removal of the stamp from the molded material, thereby minimizing damage to the nanoscale features. Herein we describe that PRINT can be operated in two different modes depending on whether the objects to be molded are to be removed and harvested (i.e. to make shape specific organic particles) or whether scum free objects are desired which are adhered onto the substrate (i.e. for scum free pattern generation using imprint lithography). The former can be achieved using a non-reactive, low surface energy substrate (PRINT: Particle Replication in Non-wetting Templates) and the latter can be achieved using a reactive, low surface energy substrate (PRINT: Pattern Replication in Non-wetting Templates). We show that the PRINT technology can been used to fabricate nano-particle arrays covalently bound to a glass substrate with no scum layer

  8. Fluid management in roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Jain, A.; Bonnecaze, R. T.

    2013-06-01

    The key process parameters of UV roll-to-roll nanoimprint lithography are identified from an analysis of the fluid, curing, and peeling dynamics. The process includes merging of droplets of imprint material, curing of the imprint material from a viscous liquid to elastic solid resist, and pattern replication and detachment of the resist from template. The time and distances on the web or rigid substrate over which these processes occur are determined as function of the physical properties of the uncured liquid, the cured solid, and the roller configuration. The upper convected Maxwell equation is used to model the viscoelastic liquid and to calculate the force on the substrate and the torque on the roller. The available exposure time is found to be the rate limiting parameter and it is O(√Rho /uo), where R is the radius of the roller, ho is minimum gap between the roller and web, and uo is the velocity of the web. The residual layer thickness of the resist should be larger than the gap between the roller and the substrate to ensure complete feature filling and optimal pattern replication. For lower residual layer thickness, the droplets may not merge to form a continuous film for pattern transfer.

  9. Laser Scanning Holographic Lithography for Flexible 3D Fabrication of Multi-Scale Integrated Nano-structures and Optical Biosensors

    PubMed Central

    Yuan, Liang (Leon); Herman, Peter R.

    2016-01-01

    Three-dimensional (3D) periodic nanostructures underpin a promising research direction on the frontiers of nanoscience and technology to generate advanced materials for exploiting novel photonic crystal (PC) and nanofluidic functionalities. However, formation of uniform and defect-free 3D periodic structures over large areas that can further integrate into multifunctional devices has remained a major challenge. Here, we introduce a laser scanning holographic method for 3D exposure in thick photoresist that combines the unique advantages of large area 3D holographic interference lithography (HIL) with the flexible patterning of laser direct writing to form both micro- and nano-structures in a single exposure step. Phase mask interference patterns accumulated over multiple overlapping scans are shown to stitch seamlessly and form uniform 3D nanostructure with beam size scaled to small 200 μm diameter. In this way, laser scanning is presented as a facile means to embed 3D PC structure within microfluidic channels for integration into an optofluidic lab-on-chip, demonstrating a new laser HIL writing approach for creating multi-scale integrated microsystems. PMID:26922872

  10. Nanomanufacturing : nano-structured materials made layer-by-layer.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cox, James V.; Cheng, Shengfeng; Grest, Gary Stephen

    Large-scale, high-throughput production of nano-structured materials (i.e. nanomanufacturing) is a strategic area in manufacturing, with markets projected to exceed $1T by 2015. Nanomanufacturing is still in its infancy; process/product developments are costly and only touch on potential opportunities enabled by growing nanoscience discoveries. The greatest promise for high-volume manufacturing lies in age-old coating and imprinting operations. For materials with tailored nm-scale structure, imprinting/embossing must be achieved at high speeds (roll-to-roll) and/or over large areas (batch operation) with feature sizes less than 100 nm. Dispersion coatings with nanoparticles can also tailor structure through self- or directed-assembly. Layering films structured with thesemore » processes have tremendous potential for efficient manufacturing of microelectronics, photovoltaics and other topical nano-structured devices. This project is designed to perform the requisite R and D to bring Sandia's technology base in computational mechanics to bear on this scale-up problem. Project focus is enforced by addressing a promising imprinting process currently being commercialized.« less

  11. Fabrication of micro/nano hierarchical structures with analysis on the surface mechanics

    NASA Astrophysics Data System (ADS)

    Jheng, Yu-Sheng; Lee, Yeeu-Chang

    2016-10-01

    Biomimicry refers to the imitation of mechanisms and features found in living creatures using artificial methods. This study used optical lithography, colloidal lithography, and dry etching to mimic the micro/nano hierarchical structures covering the soles of gecko feet. We measured the static contact angle and contact angle hysteresis to reveal the behavior of liquid drops on the hierarchical structures. Pulling tests were also performed to measure the resistance of movement between the hierarchical structures and a testing plate. Our results reveal that hierarchical structures at the micro-/nano-scale are considerably hydrophobic, they provide good flow characteristics, and they generate more contact force than do surfaces with micro-scale cylindrical structures.

  12. CO2 laser drives extreme ultraviolet nano-lithography — second life of mature laser technology

    NASA Astrophysics Data System (ADS)

    Nowak, K. M.; Ohta, T.; Suganuma, T.; Fujimoto, J.; Mizoguchi, H.; Sumitani, A.; Endo, A.

    2013-12-01

    It was shown both theoretically and experimentally that nanosecond order laser pulses at 10.6 micron wavelength were superior for driving the Sn plasma extreme ultraviolet (EUV) source for nano-lithography for the reasons of higher conversion efficiency, lower production of debris and higher average power levels obtainable in CO2 media without serious problems of beam distortions and nonlinear effects occurring in competing solid-state lasers at high intensities. The renewed interest in such pulse format, wavelength, repetition rates in excess of 50 kHz and average power levels in excess of 18 kiloWatt has sparked new opportunities for a matured multi-kiloWatt CO2 laser technology. The power demand of EUV source could be only satisfied by a Master-Oscillator-Power-Amplifier system configuration, leading to a development of a new type of hybrid pulsed CO2 laser employing a whole spectrum of CO2 technology, such as fast flow systems and diffusion-cooled planar waveguide lasers, and relatively recent quantum cascade lasers. In this paper we review briefly the history of relevant pulsed CO2 laser technology and the requirements for multi-kiloWatt CO2 laser, intended for the laser-produced plasma EUV source, and present our recent advances, such as novel solid-state seeded master oscillator and efficient multi-pass amplifiers built on planar waveguide CO2 lasers.

  13. Stencil Nano Lithography Based on a Nanoscale Polymer Shadow Mask: Towards Organic Nanoelectronics

    PubMed Central

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E. B.; Hyoung Lee, Wi; Wook Lee, Sang

    2015-01-01

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices. PMID:25959389

  14. Stencil nano lithography based on a nanoscale polymer shadow mask: towards organic nanoelectronics.

    PubMed

    Yun, Hoyeol; Kim, Sangwook; Kim, Hakseong; Lee, Junghyun; McAllister, Kirstie; Kim, Junhyung; Pyo, Sengmoon; Sung Kim, Jun; Campbell, Eleanor E B; Hyoung Lee, Wi; Wook Lee, Sang

    2015-05-11

    A stencil lithography technique has been developed to fabricate organic-material-based electronic devices with sub-micron resolution. Suspended polymethylmethacrylate (PMMA) membranes were used as shadow masks for defining organic channels and top electrodes. Arrays of pentacene field effect transistors (FETs) with various channel lengths from 50 μm down to 500 nm were successfully produced from the same batch using this technique. Electrical transport measurements showed that the electrical contacts of all devices were stable and the normalized contact resistances were much lower than previously studied organic FETs. Scaling effects, originating from the bulk space charge current, were investigated by analyzing the channel-length-dependent mobility and hysteresis behaviors. This novel lithography method provides a reliable means for studying the fundamental transport properties of organic materials at the nanoscale as well as enabling potential applications requiring the fabrication of integrated organic nanoelectronic devices.

  15. Micro/nano-fabrication technologies for cell biology.

    PubMed

    Qian, Tongcheng; Wang, Yingxiao

    2010-10-01

    Micro/nano-fabrication techniques, such as soft lithography and electrospinning, have been well-developed and widely applied in many research fields in the past decade. Due to the low costs and simple procedures, these techniques have become important and popular for biological studies. In this review, we focus on the studies integrating micro/nano-fabrication work to elucidate the molecular mechanism of signaling transduction in cell biology. We first describe different micro/nano-fabrication technologies, including techniques generating three-dimensional scaffolds for tissue engineering. We then introduce the application of these technologies in manipulating the physical or chemical micro/nano-environment to regulate the cellular behavior and response, such as cell life and death, differentiation, proliferation, and cell migration. Recent advancement in integrating the micro/nano-technologies and live cell imaging are also discussed. Finally, potential schemes in cell biology involving micro/nano-fabrication technologies are proposed to provide perspectives on the future research activities.

  16. Micro/nano-fabrication technologies for cell biology

    PubMed Central

    Qian, Tongcheng

    2012-01-01

    Micro/nano-fabrication techniques, such as soft lithography and electrospinning, have been well-developed and widely applied in many research fields in the past decade. Due to the low costs and simple procedures, these techniques have become important and popular for biological studies. In this review, we focus on the studies integrating micro/nano-fabrication work to elucidate the molecular mechanism of signaling transduction in cell biology. We first describe different micro/nano-fabrication technologies, including techniques generating three-dimensional scaffolds for tissue engineering. We then introduce the application of these technologies in manipulating the physical or chemical micro/nano-environment to regulate the cellular behavior and response, such as cell life and death, differentiation, proliferation, and cell migration. Recent advancement in integrating the micro/nano-technologies and live cell imaging are also discussed. Finally, potential schemes in cell biology involving micro/nano-fabrication technologies are proposed to provide perspectives on the future research activities. PMID:20490938

  17. A double-imprinted diffraction-grating sensor based on a virus-responsive super-aptamer hydrogel derived from an impure extract.

    PubMed

    Bai, Wei; Spivak, David A

    2014-02-17

    The detection of viruses is of interest for a number of fields including biomedicine, environmental science, and biosecurity. Of particular interest are methods that do not require expensive equipment or trained personnel, especially if the results can be read by the naked eye. A new "double imprinting" method was developed whereby a virus-bioimprinted hydrogel is further micromolded into a diffraction grating sensor by using imprint-lithography techniques to give a "Molecularly Imprinted Polymer Gel Laser Diffraction Sensor" (MIP-GLaDiS). A simple laser transmission apparatus was used to measure diffraction, and the system can read by the naked eye to detect the Apple Stem Pitting Virus (ASPV) at concentrations as low as 10 ng mL(-1), thus setting the limit of detection of these hydrogels as low as other antigen-binding methods such as ELISA or fluorescence-tag systems. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Metal hierarchical patterning by direct nanoimprint lithography

    PubMed Central

    Radha, Boya; Lim, Su Hui; Saifullah, Mohammad S. M.; Kulkarni, Giridhar U.

    2013-01-01

    Three-dimensional hierarchical patterning of metals is of paramount importance in diverse fields involving photonics, controlling surface wettability and wearable electronics. Conventionally, this type of structuring is tedious and usually involves layer-by-layer lithographic patterning. Here, we describe a simple process of direct nanoimprint lithography using palladium benzylthiolate, a versatile metal-organic ink, which not only leads to the formation of hierarchical patterns but also is amenable to layer-by-layer stacking of the metal over large areas. The key to achieving such multi-faceted patterning is hysteretic melting of ink, enabling its shaping. It undergoes transformation to metallic palladium under gentle thermal conditions without affecting the integrity of the hierarchical patterns on micro- as well as nanoscale. A metallic rice leaf structure showing anisotropic wetting behavior and woodpile-like structures were thus fabricated. Furthermore, this method is extendable for transferring imprinted structures to a flexible substrate to make them robust enough to sustain numerous bending cycles. PMID:23446801

  19. Development of a molecularly imprinted polymer tailored on disposable screen-printed electrodes for dual detection of EGFR and VEGF using nano-liposomal amplification strategy.

    PubMed

    Johari-Ahar, Mohammad; Karami, Pari; Ghanei, Mostafa; Afkhami, Abbas; Bagheri, Hasan

    2018-06-01

    This work demonstrates the development of a gold screen-printed electrode (Au-SPE)-based biosensor modified with a molecularly imprinted polymer and amplified using antibody-conjugated nano-liposomes. The developed biosensor was utilized for dual determination of epidermal growth factor receptor (EGFR) and vascular endothelial growth factor (VEGF) as cancer biomarkers. To prepare this biosensor, Au-SPE was modified with 3,3'-dithiodipropionic acid di(N-hydroxysuccinimide ester) via self-assembly method and then the target proteins (EGFR and VEGF) were covalently attached to the modified SPE. To synthesize the molecularly imprinted polymer, monomers of acrylamide and N,N'-methylenebis(acrylamide) were polymerized around the EGFR and VEGF templates, and to characterize the prepared biosensor, electrochemical impedance spectroscopy was used for analyses of surface changes in the engineered electrodes. To produce reliable electrochemical signals, nano-liposomes which were loaded with Cd(II) and Cu(II) cations and decorated with antibodies specific for EGFR and VEGF were used as an efficient tool for detection of target biomarkers. In the analysis step, potentiometric striping analysis (PSA), as an electrochemical technique, was utilized for sensitive determination of these cations. The limits of detection (LODs) of EGFR and VEGF analyses were found to be 0.01 and 0.005 pg mL -1 with the linear dynamic ranges (LDRs) of 0.05-50000 and 0.01-7000 pg mL -1 , respectively. Moreover, the proposed biosensor was successfully used for sensitive, reproducible, and specific detection of EGFR and VEGF in real samples. Due to the SPE nature of the developed biosensor, we envision that this sensing tool has capability of being integrated with lab-on-a-chip (LOC), microfluidics, and micro total analysis systems. Copyright © 2018 Elsevier B.V. All rights reserved.

  20. Nano Mechanical Machining Using AFM Probe

    NASA Astrophysics Data System (ADS)

    Mostofa, Md. Golam

    Complex miniaturized components with high form accuracy will play key roles in the future development of many products, as they provide portability, disposability, lower material consumption in production, low power consumption during operation, lower sample requirements for testing, and higher heat transfer due to their very high surface-to-volume ratio. Given the high market demand for such micro and nano featured components, different manufacturing methods have been developed for their fabrication. Some of the common technologies in micro/nano fabrication are photolithography, electron beam lithography, X-ray lithography and other semiconductor processing techniques. Although these methods are capable of fabricating micro/nano structures with a resolution of less than a few nanometers, some of the shortcomings associated with these methods, such as high production costs for customized products, limited material choices, necessitate the development of other fabricating techniques. Micro/nano mechanical machining, such an atomic force microscope (AFM) probe based nano fabrication, has, therefore, been used to overcome some the major restrictions of the traditional processes. This technique removes material from the workpiece by engaging micro/nano size cutting tool (i.e. AFM probe) and is applicable on a wider range of materials compared to the photolithographic process. In spite of the unique benefits of nano mechanical machining, there are also some challenges with this technique, since the scale is reduced, such as size effects, burr formations, chip adhesions, fragility of tools and tool wear. Moreover, AFM based machining does not have any rotational movement, which makes fabrication of 3D features more difficult. Thus, vibration-assisted machining is introduced into AFM probe based nano mechanical machining to overcome the limitations associated with the conventional AFM probe based scratching method. Vibration-assisted machining reduced the cutting forces

  1. Highly uniform residual layers for arrays of 3D nanoimprinted cavities in Fabry-Pérot-filter-array-based nanospectrometers

    NASA Astrophysics Data System (ADS)

    Memon, Imran; Shen, Yannan; Khan, Abdullah; Woidt, Carsten; Hillmer, Hartmut

    2016-04-01

    Miniaturized optical spectrometers can be implemented by an array of Fabry-Pérot (FP) filters. FP filters are composed of two highly reflecting parallel mirrors and a resonance cavity. Each filter transmits a small spectral band (filter line) depending on its individual cavity height. The optical nanospectrometer, a miniaturized FP-based spectrometer, implements 3D NanoImprint technology for the fabrication of multiple FP filter cavities in a single process step. However, it is challenging to avoid the dependency of residual layer (RL) thickness on the shape of the printed patterns in NanoImprint. Since in a nanospectrometer the filter cavities vary in height between neighboring FP filters and, thus, the volume of each cavity varies causing that the RL varies slightly or noticeably between different filters. This is one of the few disadvantages of NanoImprint using soft templates such as substrate conformal imprint lithography which is used in this paper. The advantages of large area soft templates can be revealed substantially if the problem of laterally inhomogeneous RLs can be avoided or reduced considerably. In the case of the nanospectrometer, non-uniform RLs lead to random variations in the designed cavity heights resulting in the shift of desired filter lines. To achieve highly uniform RLs, we report a volume-equalized template design with the lateral distribution of 64 different cavity heights into several units with each unit comprising four cavity heights. The average volume of each unit is kept constant to obtain uniform filling of imprint material per unit area. The imprint results, based on the volume-equalized template, demonstrate highly uniform RLs of 110 nm thickness.

  2. Use of Sacrificial Nanoparticles to Remove the Effects of Shot-noise in Contact Holes Fabricated by E-beam Lithography.

    PubMed

    Rananavare, Shankar B; Morakinyo, Moshood K

    2017-02-12

    Nano-patterns fabricated with extreme ultraviolet (EUV) or electron-beam (E-beam) lithography exhibit unexpected variations in size. This variation has been attributed to statistical fluctuations in the number of photons/electrons arriving at a given nano-region arising from shot-noise (SN). The SN varies inversely to the square root of a number of photons/electrons. For a fixed dosage, the SN is larger in EUV and E-beam lithographies than for traditional (193 nm) optical lithography. Bottom-up and top-down patterning approaches are combined to minimize the effects of shot noise in nano-hole patterning. Specifically, an amino-silane surfactant self-assembles on a silicon wafer that is subsequently spin-coated with a 100 nm film of a PMMA-based E-beam photoresist. Exposure to the E-beam and the subsequent development uncover the underlying surfactant film at the bottoms of the holes. Dipping the wafer in a suspension of negatively charged, citrate-capped, 20 nm gold nanoparticles (GNP) deposits one particle per hole. The exposed positively charged surfactant film in the hole electrostatically funnels the negatively charged nanoparticle to the center of an exposed hole, which permanently fixes the positional registry. Next, by heating near the glass transition temperature of the photoresist polymer, the photoresist film reflows and engulfs the nanoparticles. This process erases the holes affected by SN but leaves the deposited GNPs locked in place by strong electrostatic binding. Treatment with oxygen plasma exposes the GNPs by etching a thin layer of the photoresist. Wet-etching the exposed GNPs with a solution of I2/KI yields uniform holes located at the center of indentations patterned by E-beam lithography. The experiments presented show that the approach reduces the variation in the size of the holes caused by SN from 35% to below 10%. The method extends the patterning limits of transistor contact holes to below 20 nm.

  3. Synthesis of lab-in-a-pipette-tip extraction using hydrophilic nano-sized dummy molecularly imprinted polymer for purification and analysis of prednisolone.

    PubMed

    Arabi, Maryam; Ghaedi, Mehrorang; Ostovan, Abbas; Wang, Shaobin

    2016-10-15

    A novel pipette-tip based on nano-sized dummy molecularly imprinted polymer (PT-DMIP) assisted by ultrasonication for the effective enrichment and analysis of prednisolone from urine samples was developed. The PT-DMIP cartridge was prepared by packing the dummy molecularly imprinted polymer at the tip of the micropipette. The polymerization used betamethasone (BM) as the dummy template, 3-aminopropyltrimethoxysilane (APTMS) as the functionalized monomer, tetraethyl orthosilicate (TEOS) as the cross-linker and aluminum ion (Al(3+)) as a dopant to produce Lewis acid sites in the silica matrix for metal coordinative interactions with the analyte. Compared to conventional solid phase extraction (SPE), the PT-DMIP is cost-effective, fast, and easy to handle, while the system is very approachable and reduces the consumption of toxic organic solvent. HPLC-UV analysis revealed successful applicability of the sorbent for highly efficient extraction of perdnisolone from urine matrices. The extraction recovery was investigated and optimum conditions were obtained using central composite design. Good linearity for prednisolone in the range of 0.22-220μgL(-1) with regression coefficients of 0.99 reveals high applicability of the method for trace analysis. Under the optimized conditions, the recoveries are 89.0-96.1 with relative standard deviations (RSD) of less than 9.0%. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. Imprint Characteristics by Photo-Induced Solidification of Liquid Polymer

    NASA Astrophysics Data System (ADS)

    Komuro, Masanori; Taniguchi, Jun; Inoue, Seiji; Kimura, Naoya; Tokano, Yuji; Hiroshima, Hiroshi; Matsui, Shinji

    2000-12-01

    Nanoimprint lithography is an attractive technology for LSIs era below 40-nm critical dimension from the viewpoints of high-throughput and low-cost equipment. In order to avoid a pattern placement error due to thermal expansion in the conventional thermal imprint process, we attempted to replicate the mold pattern onto a liquid polymer, which was solidified using ultra-violet (UV) light irradiation at room temperature. The liquid polymer used here was supplied by TEIJIN SEIKI Co., and termed TSR-820. It was spin coated on slide glass to produce approximately 1.5-μm-thick polymer film. The thickness remained after UV exposure and rinsing in acetone was observed at the dose of 10 J/cm2 and it saturated about a UV exposure dose of 100 J/cm2 with an increase in the exposure dose. The mold fabricated of quartz plate was first pressed onto the polymer film at about 100 kg/cm2 and then the UV light was irradiated using an imprint apparatus developed for this work. After releasing the mold from the film, the substrate was rinsed in acetone to remove the residual liquid polymer. Eventually the minimum feature size of 100-nm line and 300-nm space pattern was successfully replicated in the polymer with good fidelity.

  5. Nano-honeycomb structured transparent electrode for enhanced light extraction from organic light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Shi, Xiao-Bo; Qian, Min; Wang, Zhao-Kui; Liao, Liang-Sheng

    2015-06-01

    A universal nano-sphere lithography method has been developed to fabricate nano-structured transparent electrode, such as indium tin oxide (ITO), for light extraction from organic light-emitting diodes (OLEDs). Perforated SiO2 film made from a monolayer colloidal crystal of polystyrene spheres and tetraethyl orthosilicate sol-gel is used as a template. Ordered nano-honeycomb pits on the ITO electrode surface are obtained by chemical etching. The proposed method can be utilized to form large-area nano-structured ITO electrode. More than two folds' enhancement in both current efficiency and power efficiency has been achieved in a red phosphorescent OLED which was fabricated on the nano-structured ITO substrate.

  6. Molecularly imprinted polymer nanoparticle-based assay (MINA): application for fumonisin B1 determination.

    PubMed

    Munawar, Hasim; Smolinska-Kempisty, Katarzyna; Cruz, Alvaro Garcia; Canfarotta, Francesco; Piletska, Elena; Karim, Khalku; Piletsky, Sergey A

    2018-06-20

    The enzyme-linked immunosorbent assay (ELISA) has been used as a standard tool for monitoring food and animal feed contamination from the carcinogenic fumonisin B1 (FB1). Unfortunately, ELISA is not always efficient due to the instability of the antibody and enzyme components in the immunoassay, the presence of natural enzyme inhibitors in the samples and the high levels of non-specific protein binding. Additionally, the production of antibodies for ELISA can be time-consuming and costly, due to the involvement of animals in the manufacturing process. To overcome these limiting factors, a molecularly imprinted nanoparticle based assay (MINA) has been developed, where the molecularly imprinted nanoparticles (nanoMIPs) replace the primary antibody used in a competitive ELISA. Herein, computational modelling was used to design the nanoMIPs by selecting monomers that specifically interact with FB1. The affinity of the monomers to FB1 was verified by measuring their binding in affinity chromatography experiments. The nanoMIPs were produced by solid phase synthesis and the results showed that nanoMIPs had a hydrodynamic diameter of around 249 ± 29 nm. The assay tested in model samples is highly selective and does not show cross-reactivity with other mycotoxins such as fumonisin B2 (FB2), aflatoxin B1 (AFB1), citrinin (CTT), zearalenone (ZEA), and deoxynivalenol (DON). The MINA allows the detection of FB1 in the concentration range of 10 pM-10 nM with a detection limit of 1.9 pM and a recovery of 108.13-113.76%.

  7. Imprintable, bendable, and shape-conformable polymer electrolytes for versatile-shaped lithium-ion batteries.

    PubMed

    Kil, Eun-Hye; Choi, Keun-Ho; Ha, Hyo-Jeong; Xu, Sheng; Rogers, John A; Kim, Mi Ri; Lee, Young-Gi; Kim, Kwang Man; Cho, Kuk Young; Lee, Sang-Young

    2013-03-13

    A class of imprintable, bendable, and shape-conformable polymer electrolyte with excellent electrochemical performance in a lithium battery system is reported. The material consists of a UV-cured polymer matrix, high-boiling point liquid electrolyte, and Al2 O3 nanoparticles, formulated for use in lithium-ion batteries with 3D-structured electrodes or flexible characteristics. The unique structural design and well-tuned rheological characteristics of the UV-curable electrolyte mixture, in combination with direct UV-assisted nanoimprint lithography, allow the successful fabrication of polymer electrolytes in geometries not accessible with conventional materials. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. New potentiometric sensor based on molecularly imprinted nanoparticles for cocaine detection.

    PubMed

    Smolinska-Kempisty, K; Ahmad, O Sheej; Guerreiro, A; Karim, K; Piletska, E; Piletsky, S

    2017-10-15

    Here we present a potentiometric sensor for cocaine detection based on molecularly imprinted polymer nanoparticles (nanoMIPs) produced by the solid-phase imprinting method. The composition of polymers with high affinity for cocaine was optimised using molecular modelling. Four compositions were selected and polymers prepared using two protocols: chemical polymerisation in water and UV-initiated polymerisation in organic solvent. All synthesised nanoparticles had very good affinity to cocaine with dissociation constants between 0.6nM and 5.3nM. Imprinted polymers produced in organic solvent using acrylamide as a functional monomer demonstrated the highest yield and affinity, and so were selected for further sensor development. For this, nanoparticles were incorporated within a PVC matrix which was then used to prepare an ion-selective membrane integrated with a potentiometric transducer. It was demonstrated that the sensor was able to quantify cocaine in blood serum samples in the range of concentrations between 1nM and 1mM. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Genomic imprinting in Drosophila has properties of both mammalian and insect imprinting.

    PubMed

    Anaka, Matthew; Lynn, Audra; McGinn, Patrick; Lloyd, Vett K

    2009-02-01

    Genomic imprinting is a process that marks DNA, causing a change in gene or chromosome behavior, depending on the sex of the transmitting parent. In mammals, most examples of genomic imprinting affect the transcription of individual or small clusters of genes whereas in insects, genomic imprinting tends to silence entire chromosomes. This has been interpreted as evidence of independent evolutionary origins for imprinting. To investigate how these types of imprinting are related, we performed a phenotypic, molecular, and cytological analysis of an imprinted chromosome in Drosophila melanogaster. Analysis of this chromosome reveals that the imprint results in transcriptional silencing. Yet, the domain of transcriptional silencing is very large, extending at least 1.2 Mb and encompassing over 100 genes, and is associated with decreased somatic polytenization of the entire chromosome. We propose that repression of somatic replication in polytenized cells, as a secondary response to the imprint, acts to extend the size of the imprinted domain to an entire chromosome. Thus, imprinting in Drosophila has properties of both typical mammalian and insect imprinting which suggests that genomic imprinting in Drosophila and mammals is not fundamentally different; imprinting is manifest as transcriptional silencing of a few genes or silencing of an entire chromosome depending on secondary processes such as differences in gene density and polytenization.

  10. Hybrid Energy Cell with Hierarchical Nano/Micro-Architectured Polymer Film to Harvest Mechanical, Solar, and Wind Energies Individually/Simultaneously.

    PubMed

    Dudem, Bhaskar; Ko, Yeong Hwan; Leem, Jung Woo; Lim, Joo Ho; Yu, Jae Su

    2016-11-09

    We report the creation of hybrid energy cells based on hierarchical nano/micro-architectured polydimethylsiloxane (HNMA-PDMS) films with multifunctionality to simultaneously harvest mechanical, solar, and wind energies. These films consist of nano/micro dual-scale architectures (i.e., nanonipples on inverted micropyramidal arrays) on the PDMS surface. The HNMA-PDMS is replicable by facile and cost-effective soft imprint lithography using a nanoporous anodic alumina oxide film formed on the micropyramidal-structured silicon substrate. The HNMA-PDMS film plays multifunctional roles as a triboelectric layer in nanogenerators and an antireflection layer for dye-sensitized solar cells (DSSCs), as well as a self-cleaning surface. This film is employed in triboelectric nanogenerator (TENG) devices, fabricated by laminating it on indium-tin oxide-coated polyethylene terephthalate (ITO/PET) as a bottom electrode. The large effective contact area that emerged from the densely packed hierarchical nano/micro-architectures of the PDMS film leads to the enhancement of TENG device performance. Moreover, the HNMA-PDMS/ITO/PET, with a high transmittance of >90%, also results in highly transparent TENG devices. By placing the HNMA-PDMS/ITO/PET, where the ITO/PET is coated with zinc oxide nanowires, as the top glass substrate of DSSCs, the device is able to add the functionality of TENG devices, thus creating a hybrid energy cell. The hybrid energy cell can successfully convert mechanical, solar, and wind energies into electricity, simultaneously or independently. To specify the device performance, the effects of external pushing frequency and load resistance on the output of TENG devices are also analyzed, including the photovoltaic performance of the hybrid energy cells.

  11. Imprinting self-assembled patterns of lines at a semiconductor surface, using heat, light, or electrons

    PubMed Central

    Harikumar, K. R.; McNab, Iain R.; Polanyi, John C.; Zabet-Khosousi, Amir; Hofer, Werner A.

    2011-01-01

    The fabrication of nano devices at surfaces makes conflicting demands of mobility for self-assembly (SA) and immobility for permanence. The solution proposed in earlier work from this laboratory involved pattern formation in physisorbed molecules by SA, followed by localized reaction to chemically imprint the pattern substantially unchanged, a procedure we termed molecular-scale imprinting (MSI). Here, as proof of generality we extended this procedure, previously applied to imprinting circles on Si(111)-7 × 7, to SA lines of 1-chloropentane (CP) on Si(100)-2 × 1. The physisorbed lines consisted of pairs of CP that grew perpendicular to the Si dimer rows, as shown by scanning tunneling microscopy and ab initio theory. Chemical reaction of these lines with the surface was triggered in separate experiments by three different modes of energization: heat, electrons, or light. In all cases the CP molecules underwent MSI with a Si atom beneath so that the physisorbed lines of CP pairs were imprinted as chemisorbed lines of Cl pairs. PMID:20798058

  12. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  13. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  14. In Vivo Recognition of Human Vascular Endothelial Growth Factor by Molecularly Imprinted Polymers.

    PubMed

    Cecchini, Alessandra; Raffa, Vittoria; Canfarotta, Francesco; Signore, Giovanni; Piletsky, Sergey; MacDonald, Michael P; Cuschieri, Alfred

    2017-04-12

    One of the mechanisms responsible for cancer-induced increased blood supply in malignant neoplasms is the overexpression of vascular endothelial growth factor (VEGF). Several antibodies for VEGF targeting have been produced for both imaging and therapy. Molecularly imprinted polymer nanoparticles, nanoMIPs, however, offer significant advantages over antibodies, in particular in relation to improved stability, speed of design, cost and control over functionalization. In the present study, the successful production of nanoMIPs against human VEGF is reported for the first time. NanoMIPs were coupled with quantum dots (QDs) for cancer imaging. The composite nanoparticles exhibited specific homing toward human melanoma cell xenografts, overexpressing hVEGF, in zebrafish embryos. No evidence of this accumulation was observed in control organisms. These results indicate that nanoMIPs are promising materials which can be considered for advancing molecular oncological research, in particular when antibodies are less desirable due to their immunogenicity or long production time.

  15. High-performance wire-grid polarizers using jet and Flash™ imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Se Hyun; Yang, Shuqiang; Miller, Mike; Ganapathisubramanian, Maha; Menezes, Marlon; Choi, Jin; Xu, Frank; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-07-01

    Extremely large-area roll-to-roll (R2R) manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. In order to achieve low-cost nanopatterning, it is imperative to move toward high-speed imprinting, less complex tools, near zero waste of consumables, and low-cost substrates. We have developed a roll-based J-FIL process and applied it to a technology demonstrator tool, the LithoFlex 100, to fabricate large-area flexible bilayer wire-grid polarizers (WGPs) and high-performance WGPs on rigid glass substrates. Extinction ratios of better than 10,000 are obtained for the glass-based WGPs. Two simulation packages are also employed to understand the effects of pitch, aluminum thickness, and pattern defectivity on the optical performance of the WGP devices. It is determined that the WGPs can be influenced by both clear and opaque defects in the gratings; however, the defect densities are relaxed relative to the requirements of a high-density semiconductor device.

  16. Cell-like features imprinted in the physical nano- and micro-topography of the environment modify the responses to anti-cancer drugs of endometrial cancer cells.

    PubMed

    Tan, Li Hui; Sykes, Peter H; Alkaisi, Maan M; Evans, John J

    2017-02-14

    Topographical features of cells at nanometre resolution were fabricated in polystyrene. The study investigated the effect of physical topography on the response of cancer cells to the common anticancer drugs, paclitaxel and doxorubicin. Human endometrial cancer cells (Ishikawa) were incubated on substrates containing cell-like features that had been fabricated using our bioimprint methodology to create moulds of cells with positive (convex) and negative (concave) topography. Control cultures were performed on flat substrates. Effects of the drugs on caspase-3 expression, proliferating nuclear antigen (PCNA) expression, cell number and vascular endothelial growth factor (VEGF) secretion were determined. Results revealed that the topography influenced the cell responses in a drug-dependent manner i.e. paclitaxel effects were sensitive to topography differently to those of doxorubicin. In addition, function signalling pathways were sensitive to the detailed topography i.e. positive imprint and negative imprint induced distinct response patterns. The results in this study show for the first time that a culture surface with cell-like topography, that has both nano- and micro-resolution, influences endometrial cancer cell responses to chemotherapy drugs. The effects are dependent on the topography and also on the chemotherapy drug. In particular, the platforms described have potential to provide substrates with high physical relevancy on which to undertake preclinical testing of new drugs. The method also allows for use of different cell types to provide cell-specific topography. The results imply that physical architecture of the cancer cell environment may be a suitable prospective target to enhance clinical activity of traditional drugs. Additionally or alternatively we provide compelling support for the notion that understanding the physical component of the nano- and micro-environment may encourage a redirection of drug development. Further, our observation that the

  17. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    fabricated low cost infrared nanoantenna arrays for plasmonically enhanced vibrational biospectroscopy is presented. Detection of immunologically important protein monolayers with thickness as small as 3 nm, and antibody assays are demonstrated using nanoantenna arrays fabricated with reusable nanostencils. The results presented indicate that nanostencil lithography is a promising method for reducing the nano manufacturing cost while enhancing the performance of biospectroscopy tools for biology and medicine. As a single step and low cost nanofabrication technique, NSL could facilitate the manufacturing of biophotonic technologies for real-world applications.

  18. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  19. A photonic crystal ring resonator formed by SOI nano-rods.

    PubMed

    Chiu, Wei-Yu; Huang, Tai-Wei; Wu, Yen-Hsiang; Chan, Yi-Jen; Hou, Chia-Hunag; Chien, Huang Ta; Chen, Chii-Chang

    2007-11-12

    The design, fabrication and measurement of a silicon-on-insulator (SOI) two-dimensional photonic crystal ring resonator are demonstrated in this study. The structure of the photonic crystal is comprised of silicon nano-rods arranged in a hexagonal lattice on an SOI wafer. The photonic crystal ring resonator allows for the simultaneous separation of light at wavelengths of 1.31 and 1.55mum. The device is fabricated by e-beam lithography. The measurement results confirm that a 1.31mum/1.55mum wavelength ring resonator filter with a nano-rod photonic crystal structure can be realized.

  20. Does size matter? Study of performance of pseudo-ELISAs based on molecularly imprinted polymer nanoparticles prepared for analytes of different sizes.

    PubMed

    Cáceres, C; Canfarotta, F; Chianella, I; Pereira, E; Moczko, E; Esen, C; Guerreiro, A; Piletska, E; Whitcombe, M J; Piletsky, S A

    2016-02-21

    The aim of this work is to evaluate whether the size of the analyte used as template for the synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) can affect their performance in pseudo-enzyme linked immunosorbent assays (pseudo-ELISAs). Successful demonstration of a nanoMIPs-based pseudo-ELISA for vancomycin (1449.3 g mol(-1)) was demonstrated earlier. In the present investigation, the following analytes were selected: horseradish peroxidase (HRP, 44 kDa), cytochrome C (Cyt C, 12 kDa) biotin (244.31 g mol(-1)) and melamine (126.12 g mol(-1)). NanoMIPs with a similar composition for all analytes were synthesised by persulfate-initiated polymerisation in water. In addition, core-shell nanoMIPs coated with polyethylene glycol (PEG) and imprinted for melamine were produced in organics and tested. The polymerisation of the nanoparticles was done using a solid-phase approach with the correspondent template immobilised on glass beads. The performance of the nanoMIPs used as replacement for antibodies in direct pseudo-ELISA (for the enzymes) and competitive pseudo-ELISA for the smaller analytes was investigated. For the competitive mode we rely on competition for the binding to the nanoparticles between free analyte and corresponding analyte-HRP conjugate. The results revealed that the best performances were obtained for nanoMIPs synthesised in aqueous media for the larger analytes. In addition, this approach was successful for biotin but completely failed for the smallest template melamine. This problem was solved using nanoMIP prepared by UV polymerisation in an organic media with a PEG shell. This study demonstrates that the preparation of nanoMIP by solid-phase approach can produce material with high affinity and potential to replace antibodies in ELISA tests for both large and small analytes. This makes this technology versatile and applicable to practically any target analyte and diagnostic field.

  1. Hydrophilic/hydrophobic surface modification impact on colloid lithography: Schottky-like defects, dislocation, and ideal distribution

    NASA Astrophysics Data System (ADS)

    Burtsev, Vasilii; Marchuk, Valentina; Kugaevskiy, Artem; Guselnikova, Olga; Elashnikov, Roman; Miliutina, Elena; Postnikov, Pavel; Svorcik, Vaclav; Lyutakov, Oleksiy

    2018-03-01

    Nano-spheres lithography is actually considered as a powerful tool to manufacture various periodic structures with a wide potential in the field of nano- and micro-fabrication. However, during self-assembling of colloid microspheres, various defects and mismatches can appear. In this work the size and quality of single-domains of closed-packed polystyrene (PS), grown up on thin Au layers modified by hydrophilic or hydrophobic functional groups via diazonium chemistry was studied. The effects of the surface modification on the quality and single-domain size of polystyrene (PS) microspheres array were investigated and discussed. Modified surfaces were characterized using the AFM and wettability tests. PS colloidal suspension was deposited using the drop evaporation method. Resulted PS microspheres array was characterized using the SEM, AFM and confocal microscopy technique.

  2. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  3. Genomic Imprinting in Mammals

    PubMed Central

    Barlow, Denise P.

    2014-01-01

    Genomic imprinting affects a subset of genes in mammals and results in a monoallelic, parental-specific expression pattern. Most of these genes are located in clusters that are regulated through the use of insulators or long noncoding RNAs (lncRNAs). To distinguish the parental alleles, imprinted genes are epigenetically marked in gametes at imprinting control elements through the use of DNA methylation at the very least. Imprinted gene expression is subsequently conferred through lncRNAs, histone modifications, insulators, and higher-order chromatin structure. Such imprints are maintained after fertilization through these mechanisms despite extensive reprogramming of the mammalian genome. Genomic imprinting is an excellent model for understanding mammalian epigenetic regulation. PMID:24492710

  4. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    PubMed

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  5. A human imprinting centre demonstrates conserved acquisition but diverged maintenance of imprinting in a mouse model for Angelman syndrome imprinting defects.

    PubMed

    Johnstone, Karen A; DuBose, Amanda J; Futtner, Christopher R; Elmore, Michael D; Brannan, Camilynn I; Resnick, James L

    2006-02-01

    Prader-Willi syndrome (PWS) and Angelman syndrome (AS) are caused by the loss of imprinted gene expression from chromosome 15q11-q13. Imprinted gene expression in the region is regulated by a bipartite imprinting centre (IC), comprising the PWS-IC and the AS-IC. The PWS-IC is a positive regulatory element required for bidirectional activation of a number of paternally expressed genes. The function of the AS-IC appears to be to suppress PWS-IC function on the maternal chromosome through a methylation imprint acquired during female gametogenesis. Here we have placed the entire mouse locus under the control of a human PWS-IC by targeted replacement of the mouse PWS-IC with the equivalent human region. Paternal inheritance of the human PWS-IC demonstrates for the first time that a positive regulatory element in the PWS-IC has diverged. These mice show postnatal lethality and growth deficiency, phenotypes not previously attributed directly to the affected genes. Following maternal inheritance, the human PWS-IC is able to acquire a methylation imprint in mouse oocytes, suggesting that acquisition of the methylation imprint is conserved. However, the imprint is lost in somatic cells, showing that maintenance has diverged. This maternal imprinting defect results in expression of maternal Ube3a-as and repression of Ube3a in cis, providing evidence that Ube3a is regulated by its antisense and creating the first reported mouse model for AS imprinting defects.

  6. Solid-Phase Synthesis of Molecularly Imprinted Polymer Nanoparticles with a Reusable Template - "Plastic Antibodies".

    PubMed

    Poma, Alessandro; Guerreiro, Antonio; Whitcombe, Michael J; Piletska, Elena V; Turner, Anthony P F; Piletsky, Sergey A

    2013-06-13

    Molecularly Imprinted Polymers (MIPs) are generic alternatives to antibodies in sensors, diagnostics and separations. To displace biomolecules without radical changes in infrastructure in device manufacture, MIPs should share their characteristics (solubility, size, specificity and affinity, localized binding domain) whilst maintaining the advantages of MIPs (low-cost, short development time and high stability) hence the interest in MIP nanoparticles. Herein we report a reusable solid-phase template approach (fully compatible with automation) for the synthesis of MIP nanoparticles and their precise manufacture using a prototype automated UV photochemical reactor. Batches of nanoparticles (30-400 nm) with narrow size distributions imprinted with: melamine (d = 60 nm, K d = 6.3 × 10 -8 m), vancomycin (d = 250 nm, K d = 3.4 × 10 -9 m), a peptide (d = 350 nm, K d = 4.8 × 10 -8 m) and proteins have been produced. Our instrument uses a column packed with glass beads, bearing the template. Process parameters are under computer control, requiring minimal manual intervention. For the first time we demonstrate the reliable re-use of molecular templates in the synthesis of MIPs (≥ 30 batches of nanoMIPs without loss of performance). NanoMIPs are produced template-free and the solid-phase acts both as template and affinity separation medium.

  7. Quasi-monodimensional polyaniline nanostructures for enhanced molecularly imprinted polymer-based sensing.

    PubMed

    Berti, Francesca; Todros, Silvia; Lakshmi, Dhana; Whitcombe, Michael J; Chianella, Iva; Ferroni, Matteo; Piletsky, Sergey A; Turner, Anthony P F; Marrazza, Giovanna

    2010-10-15

    Recent advances in nanotechnology have allowed significant progress in utilising cutting-edge techniques associated with nanomaterials and nano-fabrication to expand the scope and capability of biosensors to a new level of novelty and functionality. The aim of this work was the development and characterisation of conductive polyaniline (PANI) nanostructures for applications in electrochemical biosensing. We explore a simple, inexpensive and fast route to grow PANI nanotubes, arranged in an ordered structure directly on an electrode surface, by electrochemical polymerisation using alumina nanoporous membranes as a 'nano-mould'. The deposited nanostructures have been characterised electrochemically and morphologically prior to grafting with a molecularly imprinted polymer (MIP) receptor in order to create a model sensor for catechol detection. In this way, PANI nanostructures resulted in a conductive nanowire system which allowed direct electrical connection between the electrode and the synthetic receptor (MIP). To our knowledge, this is the first example of integration between molecularly imprinted polymers and PANI nanostructured electrodes. The advantages of using nanostructures in this particular biosensing application have been evaluated by comparing the analytical performance of the sensor with an analogous non-nanostructured MIP-sensor for catechol detection that was previously developed. A significantly lower limit of detection for catechol has been obtained (29 nM, one order of magnitude), thus demonstrating that the nanostructures are capable of improving the analytical performance of the sensor. Copyright © 2010 Elsevier B.V. All rights reserved.

  8. Fabrication of nano-gap electrode arrays by the construction and selective chemical etching of nano-crosswire stacks

    NASA Technical Reports Server (NTRS)

    Prokopuk, Nicholas (Inventor); Son, Kyung-Ah (Inventor)

    2008-01-01

    Methods of fabricating nano-gap electrode structures in array configurations, and the structures so produced. The fabrication method involves depositing first and second pluralities of electrodes comprising nanowires using processes such as lithography, deposition of metals, lift-off processes, and chemical etching that can be performed using conventional processing tools applicable to electronic materials processing. The gap spacing in the nano-gap electrode array is defined by the thickness of a sacrificial spacer layer that is deposited between the first and second pluralities of electrodes. The sacrificial spacer layer is removed by etching, thereby leaving a structure in which the distance between pairs of electrodes is substantially equal to the thickness of the sacrificial spacer layer. Electrode arrays with gaps measured in units of nanometers are produced. In one embodiment, the first and second pluralities of electrodes are aligned in mutually orthogonal orientations.

  9. Ecofriendly antiglare film derived from biomass using ultraviolet curing nanoimprint lithography for high-definition display

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Murakami, Gaku; Mori, Yuto; Ichikawa, Takumi; Sekiguchi, Atsushi; Obata, Tsutomu; Yokoyama, Yoshiyuki; Mizuno, Wataru; Sumioka, Junji; Horita, Yuji

    2013-07-01

    Nanopatterning of an ecofriendly antiglare film derived from biomass using an ultraviolet curing nanoimprint lithography is reported. Developed sugar-related organic compounds with liquid glucose and trehalose derivatives derived from biomass produced high-quality imprint images of pillar patterns with a 230-nm diameter. Ecofriendly antiglare film with liquid glucose and trehalose derivatives derived from biomass was indicated to achieve the real refraction index of 1.45 to 1.53 at 350 to 800 nm, low imaginary refractive index of <0.005 and low volumetric shrinkage of 4.8% during ultraviolet irradiation. A distinctive bulky glucose structure in glucose and trehalose derivatives was considered to be effective for minimizing the volumetric shrinkage of resist film during ultraviolet irradiation, in addition to suitable optical properties for high-definition display.

  10. [Parental genome imprinting].

    PubMed

    Babinet, C

    1993-01-01

    Genetical as well as experimental embryology methods have permitted, in recent years, to uncover a very important feature of mammalian embryonic development: it has been shown that female and male genomic complements are differentially imprinted in such a way that contribution of both a maternally and a paternally derived genome are absolutely necessary for the embryo to complete its normal development. Differential genomic imprinting seems therefore to impose some new and essential kind of information to the one already contained in the genomic sequences. The differential imprinting should be imposed on the genetic material during gametogenesis and persist throughout somatic development after fertilization. It should then be erased in the germ cell line and be established again in sperm and egg genomes. The recent discovery of several mouse genes which are imprinted should permit to address the question of the molecular mechanisms of imprinting.

  11. Comparison on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fibre lasers

    NASA Astrophysics Data System (ADS)

    Yang, Chun-Yu; Lin, Yung-Hsiang; Wu, Chung-Lun; Cheng, Chih-Hsien; Tsai, Din-Ping; Lin, Gong-Ru

    2018-06-01

    Comparisons on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fiber lasers (EDFLs) are performed. As opposed to the graphite nano-particles obtained by physically triturating the graphite foil, the tri-layer graphene nano-sheets is obtained by electrochemically exfoliating the graphite foil. To precisely control the size dispersion and the layer number of the exfoliated graphene nano-sheet, both the bias of electrochemical exfoliation and the speed of centrifugation are optimized. Under a threshold exfoliation bias of 3 volts and a centrifugation at 1000 rpm, graphene nano-sheets with an average diameter of 100  ±  40 nm can be obtained. The graphene nano-sheets with an area density of 15 #/µm2 are directly imprinted onto the end-face of a single-mode fiber made patchcord connector inside the EDFL cavity. Such electrochemically exfoliated graphene nano-sheets show comparable saturable absorption with standard single-graphene and perform the self-amplitude modulation better than physically triturated graphite nano-particles. The linear transmittance and modulation depth of the inserted graphene nano-sheets are 92.5% and 53%, respectively. Under the operation with a power gain of 21.5 dB, the EDFL can be passively mode-locked to deliver a pulsewidth of 454.5 fs with a spectral linewidth of 5.6 nm. The time-bandwidth product of 0.31 is close to the transform limit. The Kelly sideband frequency spacing of 1.34 THz is used to calculate the chirp coefficient as  ‑0.0015.

  12. [Neurobiology of imprinting].

    PubMed

    Ohki-Hamazaki, Hiroko

    2012-06-01

    Imprinting is an example of learning and memory acquisition in infancy. In the case of precocial birds, such as geese, ducks, and chickens, the baby birds learn the characteristics of the first moving object that they see within a critical period, and they imprint on it and follow it around. We analyzed the neural basis of this behavior in order to understand the neural mechanism of learning and memory in infancy. Information pertaining to a visual imprinting stimulus is recognized and processed in the visual Wulst, a region that corresponds to the mammalian visual cortex. It is then transmitted to the posterior region of the telencephalon, followed by the core region of the hyperpallium densocellulare (HDCo), periventricular region of the hyperpallium densocellulare (HDPe), and finally, the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. Memory is stored in the IMM. After imprint training, plastic changes are observed in the visual Wulst as well as in the neurons of this circuit. HDCo cells, located at the center of this circuit, express N-methyl-D-aspartate (NMDA) receptors containing the NMDA receptor (NR) 2B subunit; the expression of this receptor increased after the imprint training. Inhibition of this receptor in the cells of the HDCo region leads to failure of imprinting and inactivation of this circuit. Thus, NMDA receptors bearing the NR2B subunit play a critical role in plastic changes in this circuit and in induction of imprinting.

  13. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  14. Electron beam mask writer EBM-9500 for logic 7nm node generation

    NASA Astrophysics Data System (ADS)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  15. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  16. Advanced nano lithography via soft materials-derived and reversible nano-patterning methodology for molding of infrared nano lenses

    NASA Astrophysics Data System (ADS)

    Park, Jae Hong; Jang, Hyun Ik; Park, Jun Yong; Jeon, Seok Woo; Kim, Woo Choong; Kim, Hee Yeoun; Ahn, Chi Won

    2015-03-01

    The methodology suggested in this research provides the great possibility of creating nanostructures composed of various materials, such as soft polymer, hard polymer, and metal, as well as Si. Such nanostructures are required for a vast range of optical and display devices, photonic components, physical devices, energy devices including electrodes of secondary batteries, fuel cells, solar cells, and energy harvesters, biological devices including biochips, biomimetic or biosimilar structured devices, and mechanical devices including micro- or nano-scale sensors and actuators.

  17. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  18. An Unexpected Function of the Prader-Willi Syndrome Imprinting Center in Maternal Imprinting in Mice

    PubMed Central

    Wu, Mei-Yi; Jiang, Ming; Zhai, Xiaodong; Beaudet, Arthur L.; Wu, Ray-Chang

    2012-01-01

    Genomic imprinting is a phenomenon that some genes are expressed differentially according to the parent of origin. Prader-Willi syndrome (PWS) and Angelman syndrome (AS) are neurobehavioral disorders caused by deficiency of imprinted gene expression from paternal and maternal chromosome 15q11–q13, respectively. Imprinted genes at the PWS/AS domain are regulated through a bipartite imprinting center, the PWS-IC and AS-IC. The PWS-IC activates paternal-specific gene expression and is responsible for the paternal imprint, whereas the AS-IC functions in the maternal imprint by allele-specific repression of the PWS-IC to prevent the paternal imprinting program. Although mouse chromosome 7C has a conserved PWS/AS imprinted domain, the mouse equivalent of the human AS-IC element has not yet been identified. Here, we suggest another dimension that the PWS-IC also functions in maternal imprinting by negatively regulating the paternally expressed imprinted genes in mice, in contrast to its known function as a positive regulator for paternal-specific gene expression. Using a mouse model carrying a 4.8-kb deletion at the PWS-IC, we demonstrated that maternal transmission of the PWS-IC deletion resulted in a maternal imprinting defect with activation of the paternally expressed imprinted genes and decreased expression of the maternally expressed imprinted gene on the maternal chromosome, accompanied by alteration of the maternal epigenotype toward a paternal state spread over the PWS/AS domain. The functional significance of this acquired paternal pattern of gene expression was demonstrated by the ability to complement PWS phenotypes by maternal inheritance of the PWS-IC deletion, which is in stark contrast to paternal inheritance of the PWS-IC deletion that resulted in the PWS phenotypes. Importantly, low levels of expression of the paternally expressed imprinted genes are sufficient to rescue postnatal lethality and growth retardation in two PWS mouse models. These findings

  19. An unexpected function of the Prader-Willi syndrome imprinting center in maternal imprinting in mice.

    PubMed

    Wu, Mei-Yi; Jiang, Ming; Zhai, Xiaodong; Beaudet, Arthur L; Wu, Ray-Chang

    2012-01-01

    Genomic imprinting is a phenomenon that some genes are expressed differentially according to the parent of origin. Prader-Willi syndrome (PWS) and Angelman syndrome (AS) are neurobehavioral disorders caused by deficiency of imprinted gene expression from paternal and maternal chromosome 15q11-q13, respectively. Imprinted genes at the PWS/AS domain are regulated through a bipartite imprinting center, the PWS-IC and AS-IC. The PWS-IC activates paternal-specific gene expression and is responsible for the paternal imprint, whereas the AS-IC functions in the maternal imprint by allele-specific repression of the PWS-IC to prevent the paternal imprinting program. Although mouse chromosome 7C has a conserved PWS/AS imprinted domain, the mouse equivalent of the human AS-IC element has not yet been identified. Here, we suggest another dimension that the PWS-IC also functions in maternal imprinting by negatively regulating the paternally expressed imprinted genes in mice, in contrast to its known function as a positive regulator for paternal-specific gene expression. Using a mouse model carrying a 4.8-kb deletion at the PWS-IC, we demonstrated that maternal transmission of the PWS-IC deletion resulted in a maternal imprinting defect with activation of the paternally expressed imprinted genes and decreased expression of the maternally expressed imprinted gene on the maternal chromosome, accompanied by alteration of the maternal epigenotype toward a paternal state spread over the PWS/AS domain. The functional significance of this acquired paternal pattern of gene expression was demonstrated by the ability to complement PWS phenotypes by maternal inheritance of the PWS-IC deletion, which is in stark contrast to paternal inheritance of the PWS-IC deletion that resulted in the PWS phenotypes. Importantly, low levels of expression of the paternally expressed imprinted genes are sufficient to rescue postnatal lethality and growth retardation in two PWS mouse models. These findings

  20. NanoTopoChip: High-throughput nanotopographical cell instruction.

    PubMed

    Hulshof, Frits F B; Zhao, Yiping; Vasilevich, Aliaksei; Beijer, Nick R M; de Boer, Meint; Papenburg, Bernke J; van Blitterswijk, Clemens; Stamatialis, Dimitrios; de Boer, Jan

    2017-10-15

    Surface topography is able to influence cell phenotype in numerous ways and offers opportunities to manipulate cells and tissues. In this work, we develop the Nano-TopoChip and study the cell instructive effects of nanoscale topographies. A combination of deep UV projection lithography and conventional lithography was used to fabricate a library of more than 1200 different defined nanotopographies. To illustrate the cell instructive effects of nanotopography, actin-RFP labeled U2OS osteosarcoma cells were cultured and imaged on the Nano-TopoChip. Automated image analysis shows that of many cell morphological parameters, cell spreading, cell orientation and actin morphology are mostly affected by the nanotopographies. Additionally, by using modeling, the changes of cell morphological parameters could by predicted by several feature shape parameters such as lateral size and spacing. This work overcomes the technological challenges of fabricating high quality defined nanoscale features on unprecedented large surface areas of a material relevant for tissue culture such as PS and the screening system is able to infer nanotopography - cell morphological parameter relationships. Our screening platform provides opportunities to identify and study the effect of nanotopography with beneficial properties for the culture of various cell types. The nanotopography of biomaterial surfaces can be modified to influence adhering cells with the aim to improve the performance of medical implants and tissue culture substrates. However, the necessary knowledge of the underlying mechanisms remains incomplete. One reason for this is the limited availability of high-resolution nanotopographies on relevant biomaterials, suitable to conduct systematic biological studies. The present study shows the fabrication of a library of nano-sized surface topographies with high fidelity. The potential of this library, called the 'NanoTopoChip' is shown in a proof of principle HTS study which

  1. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  2. Selective vancomycin detection using optical fibre long period gratings functionalised with molecularly imprinted polymer nanoparticles.

    PubMed

    Korposh, Sergiy; Chianella, Iva; Guerreiro, Antonio; Caygill, Sarah; Piletsky, Sergey; James, Stephen W; Tatam, Ralph P

    2014-05-07

    An optical fibre long period grating (LPG) sensor modified with molecularly imprinted polymer nanoparticles (nanoMIPs) for the specific detection of antibiotics is presented. The operation of the sensor is based on the measurement of changes in refractive index induced by the interaction of nanoMIPs deposited onto the cladding of the LPG with free vancomycin (VA). The binding of nanoMIPs to vancomycin was characterised by a binding constant of 4.3 ± 0.1 × 10(-8) M. The lowest concentration of analyte measured by the fibre sensor was 10 nM. In addition, the sensor exhibited selectivity, as much smaller responses were obtained for high concentrations (∼700 μM) of other commonly prescribed antibiotics such as amoxicillin, bleomycin and gentamicin. In addition, the response of the sensor was characterised in a complex matrix, porcine plasma, spiked with 10 μM of VA.

  3. Selective vancomycin detection using optical fibre long period gratings functionalised with molecularly imprinted polymer nanoparticles

    PubMed Central

    Korposh, Sergiy; Chianella, Iva; Guerreiro, Antonio; Caygill, Sarah; Piletsky, Sergey; James, Stephen W.; Tatam, Ralph P.

    2015-01-01

    An optical fibre long period grating (LPG) sensor modified with molecularly imprinted polymer nanoparticles (nanoMIPs) for the specific detection of antibiotics is presented. The operation of the sensor is based on the measurement of changes in refractive index induced by the interaction of nanoMIPs deposited onto the cladding of the LPG with free vancomycin (VA). The binding of nanoMIPs to vancomycin was characterised by a binding constant of 4.3±0.1×10−8 M. The lowest concentration of analyte measured by the fibre sensor was 10 nM. In addition, the sensor exhibited selectivity, as much smaller responses were obtained for high concentrations (~ 700 μM) of other commonly prescribed antibiotics such as amoxicillin, bleomycin and gentamicin. In addition, the response of the sensor was characterised in a complex matrix, porcine plasma, spiked with 10 μM of VA. PMID:24634909

  4. High throughput fabrication of large-area plasmonic color filters by soft-X-ray interference lithography.

    PubMed

    Sun, Libin; Hu, Xiaolin; Wu, Qingjun; Wang, Liansheng; Zhao, Jun; Yang, Shumin; Tai, Renzhong; Fecht, Hans-Jorg; Zhang, Dong-Xian; Wang, Li-Qiang; Jiang, Jian-Zhong

    2016-08-22

    Plasmonic color filters in mass production have been restricted from current fabrication technology, which impede their applications. Soft-X-ray interference lithography (XIL) has recently generated considerable interest as a newly developed technique for the production of periodic nano-structures with resolution theoretically below 4 nm. Here we ameliorate XIL by adding an order sorting aperture and designing the light path properly to achieve perfect-stitching nano-patterns and fast fabrication of large-area color filters. The fill factor of nanostructures prepared on ultrathin Ag films can largely affect the transmission minimum of plasmonic color filters. By changing the fill factor, the color can be controlled flexibly, improving the utilization efficiency of the mask in XIL simultaneously. The calculated data agree well with the experimental results. Finally, an underlying mechanism has been uncovered after systematically analyzing the localized surface plasmon polaritons (LSPPs) coupling in electric field distribution.

  5. Molecularly imprinted polymer nanoparticles-based electrochemical sensor for determination of diazinon pesticide in well water and apple fruit samples.

    PubMed

    Motaharian, Ali; Motaharian, Fatemeh; Abnous, Khalil; Hosseini, Mohammad Reza Milani; Hassanzadeh-Khayyat, Mohammad

    2016-09-01

    In this research, an electrochemical sensor based on molecularly imprinted polymer (MIP) nanoparticles for selective and sensitive determination of diazinon (DZN) pesticides was developed. The nanoparticles of diazinon imprinted polymer were synthesized by suspension polymerization and then used for modification of carbon paste electrode (CPE) composition in order to prepare the sensor. Cyclic voltammetry (CV) and square wave voltammetry (SWV) methods were applied for electrochemical measurements. The obtained results showed that the carbon paste electrode modified by MIP nanoparticles (nano-MIP-CP) has much higher adsorption ability for diazinon than the CPE based non-imprinted polymer nanoparticles (nano-NIP-CP). Under optimized extraction and analysis conditions, the proposed sensor exhibited excellent sensitivity (95.08 μA L μmol(-1)) for diazinon with two linear ranges of 2.5 × 10(-9) to 1.0 × 10(-7) mol L(-1) (R (2) = 0.9971) and 1.0 × 10(-7) to 2.0 × 10(-6) mol L(-1) (R (2) = 0.9832) and also a detection limit of 7.9 × 10(-10) mol.L(-1). The sensor was successfully applied for determination of diaznon in well water and apple fruit samples with recovery values in the range of 92.53-100.86 %. Graphical abstract Procedure for preparation of electrochemical sensor based on MIP nanoparticles for determination of diazinon.

  6. Investigation of radiation damage tolerance in interface-containing metallic nano structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greer, Julia R.

    The proposed work seeks to conduct a basic study by applying experimental and computational methods to obtain quantitative influence of helium sink strength and proximity on He bubble nucleation and growth in He-irradiated nano-scale metallic structures, and the ensuing deformation mechanisms and mechanical properties. We utilized a combination of nano-scale in-situ tension and compression experiments on low-energy He-irradiated samples combined with site-specific microstructural characterization and modeling efforts. We also investigated the mechanical deformation of nano-architected materials, i.e. nanolattices which are comprised of 3-dimensional interwoven networks of hollow tubes, with the wall thickness in the nanometer range. This systematic approach willmore » provide us with critical information for identifying key factors that govern He bubble nucleation and growth upon irradiation as a function of both sink strength and sink proximity through an experimentally-confirmed physical understanding. As an outgrowth of these efforts, we performed irradiations with self-ions (Ni 2+) on Ni-Al-Zr metallic glass nanolattices to assess their resilience against radiation damage rather than He-ion implantation. We focused our attention on studying individual bcc/fcc interfaces within a single nano structure (nano-pillar or a hollow tube): a single Fe (bcc)-Cu (fcc) boundary per pillar oriented perpendicular to the pillar axes, as well as pure bcc and fcc nano structures. Additional interfaces of interest include bcc/bcc and metal/metallic glass all within a single nano-structure volume. The model material systems are: (1) pure single crystalline Fe and Cu, (2) a single Fe (bcc)-Cu (fcc) boundary per nano structure (3) a single metal–metallic glass, all oriented non-parallel to the loading direction so that their fracture strength can be tested. A nano-fabrication approach, which involves e-beam lithography and templated electroplating, as well as two

  7. CHAIRMAN'S FOREWORD: First International Symposium on Advanced Nanodevices and Nanotechnology

    NASA Astrophysics Data System (ADS)

    Aoyagi, Yoshinobu; Goodnick, Stephen M.

    2008-03-01

    This volume of Journal of Physics: Conference Series contains selected papers from the First International Symposium on Advanced Nanodevices and Nanotechnology. This conference is a merging of the two previous series New Phenomena in Mesoscopic Structures and the Surfaces and Interfaces of Mesoscopic Devices. This year's conference was held 2-7 December 2007 at the Waikoloa Beach Marriott on the Kohala coast of the big island of Hawaii. The scope of ISANN spans nano-fabrication through complex phase coherent mesoscopic systems including nano-transistors and nano-scale characterization. Topics of interest included: Nano-scale fabrication (high-resolution electron lithography, FIB nano-patterning SFM lithography, SFM stimulated growth, novel patterning, nano-imprint lithography, special etching, and SAMs) Nano-characterization (SFM characterization, BEEM, optical studies of nanostructures, tunneling, properties of discrete impurities, phase coherence, noise, THz studies, electro-luminescence in small structures) Nano-devices (ultra-scaled FETs, quantum SETs, RTDs, ferromagnetic, and spin devices, superlattice arrays, IR detectors with quantum dots and wires, quantum point contacts, non-equilibrium transport, simulation, ballistic transport, molecular electronic devices, carbon nanotubes, spin selection devices, spin-coupled quantum dots, nano-magnetics) Quantum coherent transport (quantum Hall effect, ballistic quantum systems, quantum computing implementations and theory, magnetic spin systems, quantum NEMs) Mesoscopic structures (quantum wires and dots, chaos, non-equilibrium transport, instabilities, nano-electro-mechanical systems, mesoscopic Josephson effects, phase coherence and breaking, Kondo effect) Systems of nano-devices (QCAs, systolic SET processors, quantum neural nets, adaptive effects in circuits, molecular circuits, NEMs) Nanomaterials (nanotubes, nanowires, organic and molecular materials, self-assembled nanowires, organic devices) Nano

  8. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  9. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  10. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  11. Efficient fabrication method of nano-grating for 3D holographic display with full parallax views.

    PubMed

    Wan, Wenqiang; Qiao, Wen; Huang, Wenbin; Zhu, Ming; Fang, Zongbao; Pu, Donglin; Ye, Yan; Liu, Yanhua; Chen, Linsen

    2016-03-21

    Without any special glasses, multiview 3D displays based on the diffractive optics can present high resolution, full-parallax 3D images in an ultra-wide viewing angle. The enabling optical component, namely the phase plate, can produce arbitrarily distributed view zones by carefully designing the orientation and the period of each nano-grating pixel. However, such 3D display screen is restricted to a limited size due to the time-consuming fabricating process of nano-gratings on the phase plate. In this paper, we proposed and developed a lithography system that can fabricate the phase plate efficiently. Here we made two phase plates with full nano-grating pixel coverage at a speed of 20 mm2/mins, a 500 fold increment in the efficiency when compared to the method of E-beam lithography. One 2.5-inch phase plate generated 9-view 3D images with horizontal-parallax, while the other 6-inch phase plate produced 64-view 3D images with full-parallax. The angular divergence in horizontal axis and vertical axis was 1.5 degrees, and 1.25 degrees, respectively, slightly larger than the simulated value of 1.2 degrees by Finite Difference Time Domain (FDTD). The intensity variation was less than 10% for each viewpoint, in consistency with the simulation results. On top of each phase plate, a high-resolution binary masking pattern containing amplitude information of all viewing zone was well aligned. We achieved a resolution of 400 pixels/inch and a viewing angle of 40 degrees for 9-view 3D images with horizontal parallax. In another prototype, the resolution of each view was 160 pixels/inch and the view angle was 50 degrees for 64-view 3D images with full parallax. As demonstrated in the experiments, the homemade lithography system provided the key fabricating technology for multiview 3D holographic display.

  12. Micro/nano electro mechanical systems for practical applications

    NASA Astrophysics Data System (ADS)

    Esashi, Masayoshi

    2009-09-01

    Silicon MEMS as electrostatically levitated rotational gyroscope, 2D optical scanner and wafer level packaged devices as integrated capacitive pressure sensor and MEMS switch are described. MEMS which use non-silicon materials as diamond, PZT, conductive polymer, CNT (carbon nano tube), LTCC with electrical feedthrough, SiC (silicon carbide) and LiNbO3 for multi-probe data storage, multi-column electron beam lithography system, probe card for wafer-level burn-in test, mould for glass press moulding and SAW wireless passive sensor respectively are also described.

  13. Solid-Phase Synthesis of Molecularly Imprinted Polymer Nanoparticles with a Reusable Template – “Plastic Antibodies”

    PubMed Central

    Poma, Alessandro; Guerreiro, Antonio; Whitcombe, Michael J.; Piletska, Elena V.; Turner, Anthony P.F.; Piletsky, Sergey A.

    2016-01-01

    Molecularly Imprinted Polymers (MIPs) are generic alternatives to antibodies in sensors, diagnostics and separations. To displace biomolecules without radical changes in infrastructure in device manufacture, MIPs should share their characteristics (solubility, size, specificity and affinity, localized binding domain) whilst maintaining the advantages of MIPs (low-cost, short development time and high stability) hence the interest in MIP nanoparticles. Herein we report a reusable solid-phase template approach (fully compatible with automation) for the synthesis of MIP nanoparticles and their precise manufacture using a prototype automated UV photochemical reactor. Batches of nanoparticles (30-400 nm) with narrow size distributions imprinted with: melamine (d = 60 nm, Kd = 6.3 × 10−8 m), vancomycin (d = 250 nm, Kd = 3.4 × 10−9 m), a peptide (d = 350 nm, Kd = 4.8 × 10−8 m) and proteins have been produced. Our instrument uses a column packed with glass beads, bearing the template. Process parameters are under computer control, requiring minimal manual intervention. For the first time we demonstrate the reliable re-use of molecular templates in the synthesis of MIPs (≥ 30 batches of nanoMIPs without loss of performance). NanoMIPs are produced template-free and the solid-phase acts both as template and affinity separation medium. PMID:26869870

  14. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  15. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  16. Modification of symmetrically substituted phthalocyanines using click chemistry: phthalocyanine nanostructures by nanoimprint lithography.

    PubMed

    Chen, Xiaochun; Thomas, Jayan; Gangopadhyay, Palash; Norwood, Robert A; Peyghambarian, N; McGrath, Dominic V

    2009-09-30

    Phthalocyanines (Pcs) are commonly applied to advanced technologies such as optical limiting, photodynamic therapy (PDT), organic field-effect transistors (OFETs), and organic photovoltaic (OPV) devices, where they are used as the p-type layer. An approach to Pc structural diversity and the incorporation of a functional group that allows fabrication of solvent resistant Pc nanostructures formed by using a newly developed nanoimprint by melt processing (NIMP) technique, a variant of standard nanoimprint lithography (NIL), is reported. Copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC), a click chemistry reaction, serves as an approach to structural diversity in Pc macrocycles. We have prepared octaalkynyl Pc 1b and have modified this Pc using the CuAAC reaction to yield four Pc derivatives 5a-5d with different peripheral substituents on the macrocycle. One of these derivatives, 5c, has photo-cross-linkable cinnamate residues, and we have demonstrated the fabrication of robust cross-linked photopatterned and imprinted nanostructures from this material.

  17. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  18. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  19. Molecularly imprinted polymer doped with Hectorite for selective recognition of sinomenine hydrochloride.

    PubMed

    Zhang, W; Fu, H L; Li, X Y; Zhang, H; Wang, N; Li, W; Zhang, X X

    2016-01-01

    In this work, a new and facile method was introduced to prepare molecularly imprinted polymers (MIPs) based on nano clay hectorite (Hec) for sinomenine hydrochloride (SM) analysis. Hec was firstly dissolved in distilled water in order to swell adequately, followed by a common precipitation polymerization with SM as the template, methacrylic acid as monomer, ethylene glycol dimethacrylate as a crosslinker and 2,2-azobisisobutyronitrile as an initiator. Hec@SM-MIPs were characterized by Fourier transform infrared spectrometer, transmission electron microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy and X-ray diffraction. The maximum binding capacity of Hec@SM-MIPs, SM-MIPs and non-imprinted polymers (NIPs) (Hec@NIPs) was 57.4, 16.8 and 11.6 mg/g, respectively. The reason for this result may be that Hec@SM-MIPs have more binding sites and imprinted cavities for template molecule. Equilibrium data were described by the Langmuir and Freundlich isotherm models. The results showed that the Hec@SM-MIPs adsorption data correlated better with the Langmuir equation than the Freundlich equation under the studied concentration range. In vitro drug release experiment, Hec@SM-MIPs have a better ability to control SM release than SM-MIPs. Therefore, Hec@SM-MIPs were successfully applied to extraction of SM and used as the materials for drug delivery system.

  20. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  1. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  2. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  3. Direct nanoimprint lithography of Al2O3 using a chelated monomer-based precursor

    NASA Astrophysics Data System (ADS)

    Ganesan, Ramakrishnan; Safari Dinachali, Saman; Lim, Su Hui; Saifullah, M. S. M.; Tit Chong, Wee; Lim, Andrew H. H.; Jie Yong, Jin; San Thian, Eng; He, Chaobin; Low, Hong Yee

    2012-08-01

    Nanostructuring of Al2O3 is predominantly achieved by the anodization of aluminum film and is limited to obtaining porous anodized aluminum oxide (AAO). One of the main restrictions in developing approaches for direct fabrication of various types of Al2O3 patterns, such as lines, pillars, holes, etc, is the lack of a processable aluminum-containing resist. In this paper, we demonstrate a stable precursor prepared by reacting aluminum tri-sec-butoxide with 2-(methacryloyloxy)ethyl acetoacetate, a chelating monomer, which can be used for large area direct nanoimprint lithography of Al2O3. Chelation in the precursor makes it stable against hydrolysis whilst the presence of a reactive methacrylate group renders it polymerizable. The precursor was mixed with a cross-linker and their in situ thermal free-radical co-polymerization during nanoimprinting rigidly shaped the patterns, trapped the metal atoms, reduced the surface energy and strengthened the structures, thereby giving a ˜100% yield after demolding. The imprinted structures were heat-treated, leading to the loss of organics and their subsequent shrinkage. Amorphous Al2O3 patterns with line-widths as small as 17 nm were obtained. Our process utilizes the advantages of sol-gel and methacrylate routes for imprinting and at the same time alleviates the disadvantages associated with both these methods. With these benefits, the chelating monomer route may be the harbinger of the universal scheme for direct nanoimprinting of metal oxides.

  4. Sub-10-nm suspended nano-web formation by direct laser writing

    NASA Astrophysics Data System (ADS)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  5. Molecular LEGO by domain-imprinting of cytochrome P450 BM3.

    PubMed

    Jetzschmann, K J; Yarman, A; Rustam, L; Kielb, P; Urlacher, V B; Fischer, A; Weidinger, I M; Wollenberger, U; Scheller, F W

    2018-04-01

    Electrosynthesis of the MIP nano-film after binding of the separated domains or holo-cytochrome BM3 via an engineered anchor should result in domain-specific cavities in the polymer layer. Both the two domains and the holo P450 BM3 have been bound prior polymer deposition via a N-terminal engineered his6-anchor to the electrode surface. Each step of MIP preparation was characterized by cyclic voltammetry of the redox-marker ferricyanide. Rebinding after template removal was evaluated by quantifying the suppression of the diffusive permeability of the signal for ferricyanide and by the NADH-dependent reduction of cytochrome c by the reductase domain (BMR). The working hypothesis is verified by the discrimination of the two domains by the respective MIPs: The holoenzyme P450 BM3 was ca. 5.5 times more effectively recognized by the film imprinted with the oxidase domain (BMO) as compared to the BMR-MIP or the non-imprinted polymer (NIP). Obviously, a cavity is formed during the imprinting process around the his 6 -tag-anchored BMR which cannot accommodate the broader BMO or the P450 BM3. The affinity of the MIP towards P450 BM3 is comparable with that to the monomer in solution. The his 6 -tagged P450 BM3 binds (30 percent) stronger which shows the additive effect of the interaction with the MIP and the binding to the electrode. Copyright © 2018. Published by Elsevier B.V.

  6. Molecularly Imprinted Biodegradable Nanoparticles

    NASA Astrophysics Data System (ADS)

    Gagliardi, Mariacristina; Bertero, Alice; Bifone, Angelo

    2017-01-01

    Biodegradable polymer nanoparticles are promising carriers for targeted drug delivery in nanomedicine applications. Molecu- lar imprinting is a potential strategy to target polymer nanoparticles through binding of endogenous ligands that may promote recognition and active transport into specific cells and tissues. However, the lock-and-key mechanism of molecular imprinting requires relatively rigid cross-linked structures, unlike those of many biodegradable polymers. To date, no fully biodegradable molecularly imprinted particles have been reported in the literature. This paper reports the synthesis of a novel molecularly- imprinted nanocarrier, based on poly(lactide-co-glycolide) (PLGA) and acrylic acid, that combines biodegradability and molec- ular recognition properties. A novel three-arm biodegradable cross-linker was synthesized by ring-opening polymerization of glycolide and lactide initiated by glycerol. The resulting macromer was functionalized by introduction of end-functions through reaction with acryloyl chloride. Macromer and acrylic acid were used for the synthesis of narrowly-dispersed nanoparticles by radical polymerization in diluted conditions in the presence of biotin as template molecule. The binding capacity of the imprinted nanoparticles towards biotin and biotinylated bovine serum albumin was twentyfold that of non-imprinted nanoparti- cles. Degradation rates and functional performances were assessed in in vitro tests and cell cultures, demonstrating effective biotin-mediated cell internalization.

  7. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  8. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  9. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  10. Nanoimprint system development and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  11. Nano-patterned SU-8 surface using nanosphere-lithography for enhanced neuronal cell growth

    NASA Astrophysics Data System (ADS)

    Kim, Eunhee; Yoo, Seung-Jun; Kim, Eunjung; Kwon, Tae-Hwan; Zhang, Li; Moon, Cheil; Choi, Hongsoo

    2016-04-01

    Mimicking the nanoscale surface texture of the extracellular matrix can affect the regulation of cellular behavior, including adhesion, differentiation, and neurite outgrowth. In this study, SU-8-based polymer surfaces with well-ordered nanowell arrays were fabricated using nanosphere lithography with polystyrene nanoparticles. We show that the SU-8 surface with nanowells resulted in similar neuronal development of rat pheochromocytoma (PC12) cells compared with an unpatterned poly-L-lysine (PLL)-coated SU-8 surface. Additionally, even after soaking the substrate in cell culture medium for two weeks, cells on the nanowell SU-8 surface showed long-term neurite outgrowth compared to cells on the PLL-coated SU-8 surface. The topographical surface modification of the nanowell array demonstrates potential as a replacement for cell adhesive material coatings such as PLL, for applications requiring long-term use of polymer-based implantable devices.

  12. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  13. Molecular scale modeling of polymer imprint nanolithography.

    PubMed

    Chandross, Michael; Grest, Gary S

    2012-01-10

    We present the results of large-scale molecular dynamics simulations of two different nanolithographic processes, step-flash imprint lithography (SFIL), and hot embossing. We insert rigid stamps into an entangled bead-spring polymer melt above the glass transition temperature. After equilibration, the polymer is then hardened in one of two ways, depending on the specific process to be modeled. For SFIL, we cross-link the polymer chains by introducing bonds between neighboring beads. To model hot embossing, we instead cool the melt to below the glass transition temperature. We then study the ability of these methods to retain features by removing the stamps, both with a zero-stress removal process in which stamp atoms are instantaneously deleted from the system as well as a more physical process in which the stamp is pulled from the hardened polymer at fixed velocity. We find that it is necessary to coat the stamp with an antifriction coating to achieve clean removal of the stamp. We further find that a high density of cross-links is necessary for good feature retention in the SFIL process. The hot embossing process results in good feature retention at all length scales studied as long as coated, low surface energy stamps are used.

  14. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  15. Evaluation of Prismo imprint.

    DOT National Transportation Integrated Search

    2009-05-01

    The purpose of this research project is to evaluate the constructability and performance of Prismo Imprint synthetic overlays (manufacturer later changed to Ennis Paint, Inc.). Imprint is being evaluated as an alternative to brick pavers. This produc...

  16. Sporadic imprinting defects in Prader-Willi syndrome and Angelman syndrome: implications for imprint-switch models, genetic counseling, and prenatal diagnosis.

    PubMed Central

    Buiting, K; Dittrich, B; Gross, S; Lich, C; Färber, C; Buchholz, T; Smith, E; Reis, A; Bürger, J; Nöthen, M M; Barth-Witte, U; Janssen, B; Abeliovich, D; Lerer, I; van den Ouweland, A M; Halley, D J; Schrander-Stumpel, C; Smeets, H; Meinecke, P; Malcolm, S; Gardner, A; Lalande, M; Nicholls, R D; Friend, K; Schulze, A; Matthijs, G; Kokkonen, H; Hilbert, P; Van Maldergem, L; Glover, G; Carbonell, P; Willems, P; Gillessen-Kaesbach, G; Horsthemke, B

    1998-01-01

    The Prader-Willi syndrome (PWS) and the Angelman syndrome (AS) are caused by the loss of function of imprinted genes in proximal 15q. In approximately 2%-4% of patients, this loss of function is due to an imprinting defect. In some cases, the imprinting defect is the result of a parental imprint-switch failure caused by a microdeletion of the imprinting center (IC). Here we describe the molecular analysis of 13 PWS patients and 17 AS patients who have an imprinting defect but no IC deletion. Heteroduplex and partial sequence analysis did not reveal any point mutations of the known IC elements, either. Interestingly, all of these patients represent sporadic cases, and some share the paternal (PWS) or the maternal (AS) 15q11-q13 haplotype with an unaffected sib. In each of five PWS patients informative for the grandparental origin of the incorrectly imprinted chromosome region and four cases described elsewhere, the maternally imprinted paternal chromosome region was inherited from the paternal grandmother. This suggests that the grandmaternal imprint was not erased in the father's germ line. In seven informative AS patients reported here and in three previously reported patients, the paternally imprinted maternal chromosome region was inherited from either the maternal grandfather or the maternal grandmother. The latter finding is not compatible with an imprint-switch failure, but it suggests that a paternal imprint developed either in the maternal germ line or postzygotically. We conclude (1) that the incorrect imprint in non-IC-deletion cases is the result of a spontaneous prezygotic or postzygotic error, (2) that these cases have a low recurrence risk, and (3) that the paternal imprint may be the default imprint. PMID:9634532

  17. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  18. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  19. Solid-phase synthesis of molecularly imprinted nanoparticles.

    PubMed

    Canfarotta, Francesco; Poma, Alessandro; Guerreiro, Antonio; Piletsky, Sergey

    2016-03-01

    Molecularly imprinted polymers (MIPs) are synthetic materials, generally based on acrylic or methacrylic monomers, that are polymerized in the presence of a specific target molecule called the 'template' and capable of rebinding selectively to this target molecule. They have the potential to be low-cost and robust alternatives to biomolecules such as antibodies and receptors. When prepared by traditional synthetic methods (i.e., with free template in solution), their usefulness has been limited by high binding site heterogeneity, the presence of residual template and the fact that the production methods are complex and difficult to standardize. To overcome some of these limitations, we developed a method for the synthesis of MIP nanoparticles (nanoMIPs) using an innovative solid-phase approach, which relies on the covalent immobilization of the template molecules onto the surface of a solid support (glass beads). The obtained nanoMIPs are virtually free of template and demonstrate high affinity for the target molecule (e.g., melamine and trypsin in our published work). Because of an affinity separation step performed on the solid phase after polymerization, poor binders and unproductive polymer are removed, so the final product has more uniform binding characteristics. The overall protocol, starting from the immobilization of the template onto the solid phase and including the purification and characterization of the nanoparticles, takes up to 1 week.

  20. Molecular Imprinting of Macromolecules for Sensor Applications.

    PubMed

    Saylan, Yeşeren; Yilmaz, Fatma; Özgür, Erdoğan; Derazshamshir, Ali; Yavuz, Handan; Denizli, Adil

    2017-04-19

    Molecular recognition has an important role in numerous living systems. One of the most important molecular recognition methods is molecular imprinting, which allows host compounds to recognize and detect several molecules rapidly, sensitively and selectively. Compared to natural systems, molecular imprinting methods have some important features such as low cost, robustness, high recognition ability and long term durability which allows molecularly imprinted polymers to be used in various biotechnological applications, such as chromatography, drug delivery, nanotechnology, and sensor technology. Sensors are important tools because of their ability to figure out a potentially large number of analytical difficulties in various areas with different macromolecular targets. Proteins, enzymes, nucleic acids, antibodies, viruses and cells are defined as macromolecules that have wide range of functions are very important. Thus, macromolecules detection has gained great attention in concerning the improvement in most of the studies. The applications of macromolecule imprinted sensors will have a spacious exploration according to the low cost, high specificity and stability. In this review, macromolecules for molecularly imprinted sensor applications are structured according to the definition of molecular imprinting methods, developments in macromolecular imprinting methods, macromolecular imprinted sensors, and conclusions and future perspectives. This chapter follows the latter strategies and focuses on the applications of macromolecular imprinted sensors. This allows discussion on how sensor strategy is brought to solve the macromolecules imprinting.

  1. Molecular Imprinting of Macromolecules for Sensor Applications

    PubMed Central

    Saylan, Yeşeren; Yilmaz, Fatma; Özgür, Erdoğan; Derazshamshir, Ali; Yavuz, Handan; Denizli, Adil

    2017-01-01

    Molecular recognition has an important role in numerous living systems. One of the most important molecular recognition methods is molecular imprinting, which allows host compounds to recognize and detect several molecules rapidly, sensitively and selectively. Compared to natural systems, molecular imprinting methods have some important features such as low cost, robustness, high recognition ability and long term durability which allows molecularly imprinted polymers to be used in various biotechnological applications, such as chromatography, drug delivery, nanotechnology, and sensor technology. Sensors are important tools because of their ability to figure out a potentially large number of analytical difficulties in various areas with different macromolecular targets. Proteins, enzymes, nucleic acids, antibodies, viruses and cells are defined as macromolecules that have wide range of functions are very important. Thus, macromolecules detection has gained great attention in concerning the improvement in most of the studies. The applications of macromolecule imprinted sensors will have a spacious exploration according to the low cost, high specificity and stability. In this review, macromolecules for molecularly imprinted sensor applications are structured according to the definition of molecular imprinting methods, developments in macromolecular imprinting methods, macromolecular imprinted sensors, and conclusions and future perspectives. This chapter follows the latter strategies and focuses on the applications of macromolecular imprinted sensors. This allows discussion on how sensor strategy is brought to solve the macromolecules imprinting. PMID:28422082

  2. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  3. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor

    PubMed Central

    2014-01-01

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carried out using MODDE 9.0 software. The factors chosen in the model were the amount of functional monomers in the polymerization mixture, irradiation time, temperature during polymerization, and elution temperature. In general, it could be concluded that the irradiation time is the most important and the temperature was the least important factor which influences the yield of nanoparticles. Overall, the response surface methodology proved to be an effective tool in reducing time required for optimization of complex experimental conditions. PMID:24685151

  4. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor.

    PubMed

    Muzyka, Kateryna; Karim, Khalku; Guerreiro, Antonio; Poma, Alessandro; Piletsky, Sergey

    2014-03-31

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carried out using MODDE 9.0 software. The factors chosen in the model were the amount of functional monomers in the polymerization mixture, irradiation time, temperature during polymerization, and elution temperature. In general, it could be concluded that the irradiation time is the most important and the temperature was the least important factor which influences the yield of nanoparticles. Overall, the response surface methodology proved to be an effective tool in reducing time required for optimization of complex experimental conditions.

  5. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor

    NASA Astrophysics Data System (ADS)

    Muzyka, Kateryna; Karim, Khalku; Guerreiro, Antonio; Poma, Alessandro; Piletsky, Sergey

    2014-03-01

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carried out using MODDE 9.0 software. The factors chosen in the model were the amount of functional monomers in the polymerization mixture, irradiation time, temperature during polymerization, and elution temperature. In general, it could be concluded that the irradiation time is the most important and the temperature was the least important factor which influences the yield of nanoparticles. Overall, the response surface methodology proved to be an effective tool in reducing time required for optimization of complex experimental conditions.

  6. Genomic imprinting and dermatological disease.

    PubMed

    Millington, G W M

    2006-09-01

    Imprinting is the process whereby genetic alleles responsible for a phenotype are derived from one parent only. It is an epigenetic phenomenon resulting from DNA methylation or modification of protruding histones. When imprinted genes are disrupted, syndromes with characteristic patterns of inheritance and multisystem phenotype occur. Those detailed in this article have some quite characteristic cutaneous features and patterns of inheritance. These diseases include Beckwith-Wiedmann, Silver-Russell, Prader-Willi, McCune-Albright and Angelman syndromes, Albright's hereditary osteodystrophy, and progressive osseous heteroplasia. In the case of Von Hippel-Lindau syndrome, hypomelanosis of Ito and dermatopathia pigmentosa reticularis, imprinting may play a part in the inheritance. With neurofibromatosis type 1, a nonimprinted condition, the expression of the phenotype could be affected by interaction with imprinted gene loci. Imprinted genes could also play a part in the polygenetic inheritance of more common diseases also, as atopic eczema and psoriasis may have predominantly maternal and paternal modes of transmission, respectively.

  7. Imprinting Disorders and Assisted Reproductive Technology

    PubMed Central

    Owen, Carter M.; Segars, James H.

    2009-01-01

    Worldwide use of assisted reproductive technology (ART) accounts for an estimated 1 to 3% of births. Since 2002, a series of reports have suggested an increased risk of imprinting disorders (Beckwith-Wiedemann syndrome and Angelman syndrome) in children conceived by ART. Definitive conclusions are difficult to substantiate due to the rarity of imprinting disorders and the variability in ART protocols. Despite these limitations, there is biological plausibility for alteration in nongenomic inheritance caused by ART. Animal studies have shown that ART procedures can alter normal imprinting, specifically DNA methylation patterns. Collectively, studies suggest an association between ART and loss of maternal methylation. More recent reports examined a possible association between ART and global hypomethylation of DNA. Three other imprinting disorders (Silver-Russell syndrome, maternal hypomethylation syndrome, and retinoblastoma) have also been implicated, but there is insufficient evidence to establish an association of these syndromes with ART. Based on current evidence, the absolute risk of imprinting disorders after ART remains small and does not warrant routine screening. Large prospective studies are needed to better understand the risks associated with imprinting disorders, imprinting defects, and ART. PMID:19711252

  8. 21 CFR 206.10 - Code imprint required.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 4 2010-04-01 2010-04-01 false Code imprint required. 206.10 Section 206.10 Food...: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required... imprint that, in conjunction with the product's size, shape, and color, permits the unique identification...

  9. Preparation and recognition performance of creatinine-imprinted material prepared with novel surface-imprinting technique.

    PubMed

    Gao, Baojiao; Li, Yanbin; Zhang, Zhenguo

    2010-08-01

    By adopting the novel surface molecular imprinting technique put forward by us not long ago, a creatinine molecule-imprinted material with high performance was prepared. The functional macromolecule polymethacrylic acid (PMAA) was first grafted on the surfaces of micron-sized silica gel particles in the manner of "grafting from" using 3-methacryloxypropyltrimethoxysilane (MPS) as intermedia, resulting in the grafted particles PMAA/SiO(2). Subsequently, the molecular imprinting was carried out towards the grafted macromolecule PMAA using creatinine as template and with ethylene glycol diglycidyl ether (EGGE) as crosslinker by right of the intermolecular hydrogen bonding and electrostatic interaction between the grafted PMAA and creatinine molecules. Finally, the creatinine-imprinted material MIP-PMAA/SiO(2) was obtained. The binding character of MIP-PMAA/SiO(2) for creatinine was investigated in depth with both batch and column methods and using N-hydroxysuccinimide and creatine as two contrast substances, whose chemical structures are similar to creatinine to a certain degree. The experimental results show that the surface-imprinted material MIP-PMAA/SiO(2) has excellent binding affinity and high recognition selectivity for creatinine. Before imprinting, PMAA/SiO(2) particles nearly has not recognition selectivity for creatinine, and the selectivity coefficients of PMAA/SiO(2) for creatinine relative to N-hydroxysuccinimide and creatine are only 1.23 and 1.30, respectively. However, after imprinting, the selectivity coefficients of MIP-PMAA/SiO(2) for creatinine in respect to N-hydroxysuccinimide and creatine are remarkably enhanced to 11.64 and 12.87, respectively, displaying the excellent recognition selectivity and binding affinity towards creatinine molecules. Copyright 2010 Elsevier B.V. All rights reserved.

  10. Polymer X-ray refractive nano-lenses fabricated by additive technology.

    PubMed

    Petrov, A K; Bessonov, V O; Abrashitova, K A; Kokareva, N G; Safronov, K R; Barannikov, A A; Ershov, P A; Klimova, N B; Lyatun, I I; Yunkin, V A; Polikarpov, M; Snigireva, I; Fedyanin, A A; Snigirev, A

    2017-06-26

    The present work demonstrates the potential applicability of additive manufacturing to X-Ray refractive nano-lenses. A compound refractive lens with a radius of 5 µm was produced by the two-photon polymerization induced lithography. It was successfully tested at the X-ray microfocus laboratory source and a focal spot of 5 μm was measured. An amorphous nature of polymer material combined with the potential of additive technologies may result in a significantly enhanced focusing performance compared to the best examples of modern X-ray compound refractive lenses.

  11. Global survey of genomic imprinting by transcriptome sequencing.

    PubMed

    Babak, Tomas; Deveale, Brian; Armour, Christopher; Raymond, Christopher; Cleary, Michele A; van der Kooy, Derek; Johnson, Jason M; Lim, Lee P

    2008-11-25

    Genomic imprinting restricts gene expression to a paternal or maternal allele. To date, approximately 90 imprinted transcripts have been identified in mouse, of which the majority were detected after intense interrogation of clusters of imprinted genes identified by phenotype-driven assays in mice with uniparental disomies [1]. Here we use selective priming and parallel sequencing to measure allelic bias in whole transcriptomes. By distinguishing parent-of-origin bias from strain-specific bias in embryos derived from a reciprocal cross of mice, we constructed a genome-wide map of imprinted transcription. This map was able to objectively locate over 80% of known imprinted loci and allowed the detection and confirmation of six novel imprinted genes. Even in the intensely studied embryonic day 9.5 developmental stage that we analyzed, more than half of all imprinted single-nucleotide polymorphisms did not overlap previously discovered imprinted transcripts; a large fraction of these represent novel noncoding RNAs within known imprinted loci. For example, a previously unnoticed, maternally expressed antisense transcript was mapped within the Grb10 locus. This study demonstrates the feasibility of using transcriptome sequencing for mapping of imprinted gene expression in physiologically normal animals. Such an approach will allow researchers to study imprinting without restricting themselves to individual loci or specific transcripts.

  12. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  13. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  14. Stepwise molding, etching, and imprinting to form libraries of nanopatterned substrates.

    PubMed

    Zhao, Zhi; Cai, Yangjun; Liao, Wei-Ssu; Cremer, Paul S

    2013-06-04

    Herein, we describe a novel colloidal lithographic strategy for the stepwise patterning of planar substrates with numerous complex and unique designs. In conjunction with colloidal self-assembly, imprint molding, and capillary force lithography, reactive ion etching was used to create complex libraries of nanoscale features. This combinatorial strategy affords the ability to develop an exponentially increasing number of two-dimensional nanoscale patterns with each sequential step in the process. Specifically, dots, triangles, circles, and lines could be assembled on the surface separately and in combination with each other. Numerous architectures are obtained for the first time with high uniformity and reproducibility. These hexagonal arrays were made from polystyrene and gold features, whereby each surface element could be tuned from the micrometer size scale down to line widths of ~35 nm. The patterned area could be 1 cm(2) or even larger. The techniques described herein can be combined with further steps to make even larger libraries. Moreover, these polymer and metal features may prove useful in optical, sensing, and electronic applications.

  15. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  16. Thiolated polyethylene oxide as a non-fouling element for nano-patterned bio-devices

    NASA Astrophysics Data System (ADS)

    Lisboa, Patrícia; Valsesia, Andrea; Colpo, Pascal; Gilliland, Douglas; Ceccone, Giacomo; Papadopoulou-Bouraoui, Andri; Rauscher, Hubert; Reniero, Fabiano; Guillou, Claude; Rossi, François

    2007-03-01

    This work describes the synthesis of a thiolated polyethylene oxide that self-assembles on gold to create a non-fouling surface. Thiolated polyethylene oxide was synthesised by reacting 16-mercaptohexadecanoic acid with polyethylene glycol mono methyl ether. The coverage of the thiolated polyethylene oxide on gold was studied by cyclic voltammetry, and the modified surfaces were characterised by X-ray photoelectron spectroscopy and ellipsometry. Protein resistance was assessed using quartz crystal microbalance. Results showed a non-fouling character produced by the thiolated polyethylene oxide. The synthesised product was used as the passivation layer on nano-patterned surfaces consisting of arrayed nano-spots, fabricated by plasma based colloidal lithography. The specific adsorption of anti-bovine serum albumin in the mercaptohexadecanoic acid spots was verified by atomic force microscopy.

  17. A novel 2D silicon nano-mold fabrication technique for linear nanochannels over a 4 inch diameter substrate

    PubMed Central

    Yin, Zhifu; Qi, Liping; Zou, Helin; Sun, Lei

    2016-01-01

    A novel low-cost 2D silicon nano-mold fabrication technique was developed based on Cu inclined-deposition and Ar+ (argon ion) etching. With this technique, sub-100 nm 2D (two dimensional) nano-channels can be etched economically over the whole area of a 4 inch n-type <100> silicon wafer. The fabricating process consists of only 4 steps, UV (Ultraviolet) lithography, inclined Cu deposition, Ar+ sputter etching, and photoresist & Cu removing. During this nano-mold fabrication process, we investigated the influence of the deposition angle on the width of the nano-channels and the effect of Ar+ etching time on their depth. Post-etching measurements showed the accuracy of the nanochannels over the whole area: the variation in width is 10%, in depth it is 11%. However, post-etching measurements also showed the accuracy of the nanochannels between chips: the variation in width is 2%, in depth it is 5%. With this newly developed technology, low-cost and large scale 2D nano-molds can be fabricated, which allows commercial manufacturing of nano-components over large areas. PMID:26752559

  18. Direct replacement of antibodies with molecularly imprinted polymer (MIP) nanoparticles in ELISA – development of a novel assay for vancomycin

    PubMed Central

    Chianella, Iva; Guerreiro, Antonio; Moczko, Ewa; Caygill, J. Sarah; Piletska, Elena V.; Perez De Vargas Sansalvador, Isabel M.; Whitcombe, Michael J.; Piletsky, Sergey A.

    2016-01-01

    A simple and straightforward technique for coating microplate wells with molecularly imprinted polymer nanoparticles (nanoMIPs) to develop ELISA type assays is presented here for the first time. NanoMIPs were synthesized by a solid phase approach with immobilized vancomycin (template) and characterized using Biacore 3000, dynamic light scattering and electron microscopy. Immobilization, blocking and washing conditions were optimized in microplate format. The detection of vancomycin was achieved in competitive binding experiments with a HRP-vancomycin conjugate. The assay was capable of measuring vancomycin in buffer and in blood plasma within the range 0.001-70 nM with a detection limit of 0.0025 nM (2.5 pM). The sensitivity of the assay was three orders of magnitude better than a previously described ELISA based on antibodies. In these experiments nanoMIPs have shown high affinity and minimal interference from blood plasma components. Immobilized nanoMIPs were stored for 1 month at room temperature without any detrimental effects to their binding properties. The high affinity of nanoMIPs and the lack of a requirement for cold chain logistics make them an attractive alternative to traditional antibodies used in ELISA. PMID:23947402

  19. Direct replacement of antibodies with molecularly imprinted polymer nanoparticles in ELISA--development of a novel assay for vancomycin.

    PubMed

    Chianella, Iva; Guerreiro, Antonio; Moczko, Ewa; Caygill, J Sarah; Piletska, Elena V; De Vargas Sansalvador, Isabel M Perez; Whitcombe, Michael J; Piletsky, Sergey A

    2013-09-03

    A simple and straightforward technique for coating microplate wells with molecularly imprinted polymer nanoparticles (nanoMIPs) to develop assays similar to the enzyme-linked immunosorbent assay (ELISA) is presented here for the first time. NanoMIPs were synthesized by a solid-phase approach with an immobilized vancomycin (template) and characterized using Biacore 3000, dynamic light scattering, and electron microscopy. Immobilization, blocking, and washing conditions were optimized in microplate format. The detection of vancomycin was achieved in competitive binding experiments with a horseradish peroxidase-vancomycin conjugate. The assay was capable of measuring vancomycin in buffer and in blood plasma within the range of 0.001-70 nM with a detection limit of 0.0025 nM (2.5 pM). The sensitivity of the assay was 3 orders of magnitude better than a previously described ELISA based on antibodies. In these experiments, nanoMIPs have shown high affinity and minimal interference from blood plasma components. Immobilized nanoMIPs were stored for 1 month at room temperature without any detrimental effects to their binding properties. The high affinity of nanoMIPs and the lack of a requirement for cold chain logistics make them an attractive alternative to traditional antibodies used in ELISA.

  20. Seed development and genomic imprinting in plants.

    PubMed

    Köhler, Claudia; Grossniklaus, Ueli

    2005-01-01

    Genomic imprinting refers to an epigenetic phenomenon where the activity of an allele depends on its parental origin. Imprinting at individual genes has only been described in mammals and seed plants. We will discuss the role imprinted genes play in seed development and compare the situation in plants with that in mammals. Interestingly, many imprinted genes appear to control cell proliferation and growth in both groups of organisms although imprinting in plants may also be involved in the cellular differentiation of the two pairs of gametes involved in double fertilization. DNA methylation plays some role in the control of parent-of-origin-specific expression in both mammals and plants. Thus, although imprinting evolved independently in mammals and plants, there are striking similarities at the phenotypic and possibly also mechanistic level.

  1. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  2. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  3. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  4. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    NASA Astrophysics Data System (ADS)

    Liang, Rongning; Wang, Tiantian; Zhang, Huan; Yao, Ruiqing; Qin, Wei

    2018-03-01

    Nowadays, it is still difficult for molecularly imprinted polymer (MIPs) to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  5. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  6. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  7. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  8. Preparation of molecularly imprinted polymers specific to glycoproteins, glycans and monosaccharides via boronate affinity controllable-oriented surface imprinting.

    PubMed

    Xing, Rongrong; Wang, Shuangshou; Bie, Zijun; He, Hui; Liu, Zhen

    2017-05-01

    Molecularly imprinted polymers (MIPs) are materials that are designed to be receptors for a template molecule (e.g., a protein). They are made by polymerizing the polymerizable reagents in the presence of the template; when the template is removed, the material can be used for many applications that would traditionally use antibodies. Thus, MIPs are biomimetic of antibodies and in this capacity have found wide applications, such as sensing, separation and diagnosis. However, many imprinting approaches are uncontrollable, and facile imprinting approaches widely applicable to a large variety of templates remain limited. We developed an approach called boronate affinity controllable-oriented surface imprinting, which allows for easy and efficient preparation of MIPs specific to glycoproteins, glycans and monosaccharides. This approach relies on immobilization of a template (glycoprotein, glycan or monosaccharide) on a boronic-acid-functionalized substrate through boronate affinity interaction, followed by self-polymerization of biocompatible monomer(s) to form an imprinting layer on the substrate with appropriate thickness. Imprinting in this approach is performed in a controllable manner, permitting the thickness of the imprinting layer to be fine-tuned according to the molecular size of the template by adjusting the imprinting time. This not only simplifies the imprinting procedure but also makes the approach widely applicable to a large range of sugar-containing biomolecules. MIPs prepared by this approach exhibit excellent binding properties and can be applied to complex real samples. The MIPs prepared by this protocol have been used in affinity separation, disease diagnosis and bioimaging. The entire protocol, including preparation, property characterization and performance evaluation, takes ∼3-8 d, depending on the type of substrate and template used.

  9. Variation and Defect Tolerance for Nano Crossbars

    NASA Astrophysics Data System (ADS)

    Tunc, Cihan

    With the extreme shrinking in CMOS technology, quantum effects and manufacturing issues are getting more crucial. Hence, additional shrinking in CMOS feature size seems becoming more challenging, difficult, and costly. On the other hand, emerging nanotechnology has attracted many researchers since additional scaling down has been demonstrated by manufacturing nanowires, Carbon nanotubes as well as molecular switches using bottom-up manufacturing techniques. In addition to the progress in manufacturing, developments in architecture show that emerging nanoelectronic devices will be promising for the future system designs. Using nano crossbars, which are composed of two sets of perpendicular nanowires with programmable intersections, it is possible to implement logic functions. In addition, nano crossbars present some important features as regularity, reprogrammability, and interchangeability. Combining these features, researchers have presented different effective architectures. Although bottom-up nanofabrication can greatly reduce manufacturing costs, due to low controllability in the manufacturing process, some critical issues occur. Bottom- up nanofabrication process results in high variation compared to conventional top- down lithography used in CMOS technology. In addition, an increased failure rate is expected. Variation and defect tolerance methods used for conventional CMOS technology seem inadequate for adapting to emerging nano technology because the variation and the defect rate for emerging nano technology is much more than current CMOS technology. Therefore, variations and defect tolerance methods for emerging nano technology are necessary for a successful transition. In this work, in order to tolerate variations for crossbars, we introduce a framework that is established based on reprogrammability and interchangeability features of nano crossbars. This framework is shown to be applicable for both FET-based and diode-based nano crossbars. We present a

  10. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  11. [Werkgartner's muzzle imprint mark--a literature study].

    PubMed

    Geserick, Gunther; Vendura, Klaus; Wirth, Ingo

    2009-01-01

    Since Werkgartner described and correctly interpreted the muzzle imprint mark around the gunshot entrance wound in 1922, this finding has been generally accepted as a sign of a contact shot. In further studies, it could finally be clarified that the muzzle imprint mark is caused by the expansive power of the powder gases with pressure on and abrasion of the skin at the muzzle (weapon imprint). Its shape depends on the firearm, the ammunition and the anatomical conditions, but does not require a bullet. Examinations under a magnifying glass microscope and histological investigations can complete the macroscopic findings. Occasionally, the muzzle imprint mark requires a certain "drying period" in order to become clearly visible. In rare cases, muzzle imprint marks also form on textiles perforated by the projectile. Characteristically shaped muzzled imprint marks can provide clues to the type of the firearm and its position at the time of discharge.

  12. Study on Light Extraction from GaN-based Green Light-Emitting Diodes Using Anodic Aluminum Oxide Pattern and Nanoimprint Lithography

    PubMed Central

    Jiang, Shengxiang; Feng, Yulong; Chen, Zhizhong; Zhang, Lisheng; Jiang, Xianzhe; Jiao, Qianqian; Li, Junze; Chen, Yifan; Li, Dongsan; Liu, Lijian; Yu, Tongjun; Shen, Bo; Zhang, Guoyi

    2016-01-01

    An anodic aluminum oxide (AAO) patterned sapphire substrate, with the lattice constant of 520 ± 40 nm, pore dimension of 375 ± 50 nm, and height of 450 ± 25 nm was firstly used as a nanoimprint lithography (NIL) stamp and imprinted onto the surface of the green light-emitting diode (LED). A significant light extraction efficiency (LEE) was improved by 116% in comparison to that of the planar LED. A uniform broad protrusion in the central area and some sharp lobes were also obtained in the angular resolution photoluminescence (ARPL) for the AAO patterned LED. The mechanism of the enhancement was correlated to the fluctuations of the lattice constant and domain orientation of the AAO-pattern, which enabled the extraction of more guided modes from the LED device. PMID:26902178

  13. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  14. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    PubMed

    Paliwal, Anupam; Temkin, Alexis M; Kerkel, Kristi; Yale, Alexander; Yotova, Iveta; Drost, Natalia; Lax, Simon; Nhan-Chang, Chia-Ling; Powell, Charles; Borczuk, Alain; Aviv, Abraham; Wapner, Ronald; Chen, Xiaowei; Nagy, Peter L; Schork, Nicholas; Do, Catherine; Torkamani, Ali; Tycko, Benjamin

    2013-08-01

    Allele-specific DNA methylation (ASM) is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons), one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated) while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq) in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs), each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS) peaks near CTCF binding sites with ASM.

  15. Comparative Anatomy of Chromosomal Domains with Imprinted and Non-Imprinted Allele-Specific DNA Methylation

    PubMed Central

    Kerkel, Kristi; Yale, Alexander; Yotova, Iveta; Drost, Natalia; Lax, Simon; Nhan-Chang, Chia-Ling; Powell, Charles; Borczuk, Alain; Aviv, Abraham; Wapner, Ronald; Chen, Xiaowei; Nagy, Peter L.; Schork, Nicholas; Do, Catherine; Torkamani, Ali; Tycko, Benjamin

    2013-01-01

    Allele-specific DNA methylation (ASM) is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons), one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated) while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq) in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs), each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS) peaks near CTCF binding sites with ASM. PMID:24009515

  16. Dopamine-imprinted monolithic column for capillary electrochromatography.

    PubMed

    Aşır, Süleyman; Sarı, Duygu; Derazshamshir, Ali; Yılmaz, Fatma; Şarkaya, Koray; Denizli, Adil

    2017-11-01

    A dopamine-imprinted monolithic column was prepared and used in capillary electrochromatography as stationary phase for the first time. Dopamine was selectively separated from aqueous solution containing the competitor molecule norepinephrine, which is similar in size and shape to the template molecule. Morphology of the dopamine-imprinted column was observed by scanning electron microscopy. The influence of the organic solvent content of mobile phase, applied pressure and pH of the mobile phase on the recognition of dopamine by the imprinted monolithic column has been evaluated, and the imprinting effect in the dopamine-imprinted monolithic polymer was verified. Developed dopamine-imprinted monolithic column resulted in excellent separation of dopamine from structurally related competitor molecule, norepinephrine. Separation was achieved in a short period of 10 min, with the electrophoretic mobility of 5.81 × 10 -5  m 2 V -1 s -1 at pH 5.0 and 500 mbar pressure. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Innovative method to suppress local geometry distortions for fabrication of interdigitated electrode arrays with nano gaps

    NASA Astrophysics Data System (ADS)

    Partel, S.; Urban, G.

    2016-03-01

    In this paper we present a method to optimize the lithography process for the fabrication of interdigitated electrode arrays (IDA) for a lift-off free electrochemical biosensor. The biosensor is based on amperometric method to allow a signal amplification by redox cycling. We already demonstrated a method to fabricate IDAs with nano gaps with conventional mask aligner lithography and two subsequent deposition processes. By decreasing the distance down to the nanometer range the linewidth variation is becoming the most critical factor and can result in a short circuit of the electrodes. Therefore, the light propagation and the resist pattern of the mask aligner lithography process are simulated to optimize the lithography process. To optimize the outer finger structure assistant features (AsFe) were introduced. The AsFe allow an optimization of the intensity distribution at the electrode fingers. Hence, the periodicity is expanded and the outer structure of the IDA is practically a part of the periodic array. The better CD uniformity can be obtained by adding three assistant features which generate an equal intensity distributions for the complete finger pattern. Considering a mask optimization of the outer structures would also be feasible. However, due to the strong impact of the gap between mask and wafer at contact lithography it is not practicable. The better choice is to create the same intensity distribution for all finger structures. With the introduction of the assistant features large areas with electrode gap sizes in the sub 100 nm region are demonstrated.

  18. Molecularly Imprinted Nanomaterials for Sensor Applications

    PubMed Central

    Irshad, Muhammad; Iqbal, Naseer; Mujahid, Adnan; Afzal, Adeel; Hussain, Tajamal; Sharif, Ahsan; Ahmad, Ejaz; Athar, Muhammad Makshoof

    2013-01-01

    Molecular imprinting is a well-established technology to mimic antibody-antigen interaction in a synthetic platform. Molecularly imprinted polymers and nanomaterials usually possess outstanding recognition capabilities. Imprinted nanostructured materials are characterized by their small sizes, large reactive surface area and, most importantly, with rapid and specific analysis of analytes due to the formation of template driven recognition cavities within the matrix. The excellent recognition and selectivity offered by this class of materials towards a target analyte have found applications in many areas, such as separation science, analysis of organic pollutants in water, environmental analysis of trace gases, chemical or biological sensors, biochemical assays, fabricating artificial receptors, nanotechnology, etc. We present here a concise overview and recent developments in nanostructured imprinted materials with respect to various sensor systems, e.g., electrochemical, optical and mass sensitive, etc. Finally, in light of recent studies, we conclude the article with future perspectives and foreseen applications of imprinted nanomaterials in chemical sensors. PMID:28348356

  19. A Molecular- and Nano-Electronics Test (MONET) platform fabricated using extreme ultraviolet lithography.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dentinger, Paul M.; Cardinale, Gregory F.; Hunter, Luke L.

    2003-12-01

    We describe the fabrication and characterization of an electrode array test structure, designed for electrical probing of molecules and nanocrystals. We use Extreme Ultraviolet Lithography (EUVL) to define the electrical test platform features. As fabricated, the platform includes nominal electrode gaps of 0 nm, 40 nm, 60 nm, and 80 nm. Additional variation in electrode gap is achieved by controlling the exposure conditions, such as dose and focus. To enable EUVL based nanofabrication, we develop a novel bi-level photoresist process. The bi-level photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresistmore » top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a function of photoresist pre-bake temperature, and using this data, optimize a metal lift-off process. Reliable fabrication of 700 Angstrom thick Au structures with sub-1000 Angstrom critical dimensions is achieved, even without the use of a Au adhesion layer, such as Ti. Several test platforms are used to characterize electrical properties of organic molecules deposited as self assembled monolayers.« less

  20. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  1. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  2. Neuronal plasticity and multisensory integration in filial imprinting.

    PubMed

    Town, Stephen Michael; McCabe, Brian John

    2011-03-10

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus.

  3. Neuronal Plasticity and Multisensory Integration in Filial Imprinting

    PubMed Central

    Town, Stephen Michael; McCabe, Brian John

    2011-01-01

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus. PMID:21423770

  4. Improved multi-beam laser interference lithography system by vibration analysis model

    NASA Astrophysics Data System (ADS)

    Lin, Te Hsun; Yang, Yin-Kuang; Mai, Hsuan-Ying; Fu, Chien-Chung

    2017-03-01

    This paper has developed the multi-beam laser interference lithography (LIL) system for nano/micro pattern sapphire substrate process (PSS/NPSS). However, the multi-beam LIL system is very sensitive to the light source and the vibration. When there is a vibration source in the exposure environment, the standing wave distribution on the substrate will be affected by the vibration and move in a certain angle. As a result, Moiré fringe defects occur on the exposure result. In order to eliminate the effect of the vibration, we use the software ANSYS to analyze the resonant frequencies of our multi-beam LIL system. Therefore, we need to design new multi-beam LIL system to raise the value of resonant frequencies. The new design of the multi-beam LIL system has higher resonant frequencies and successfully eliminates the bending and rotating effect of the resonant frequencies. As a result, the new multi-beam LIL system can fabricate large area and defects free period structures.

  5. A Novel Class of Helical Nanostructures: Paradigms for their Design and Synthesis Using Imprint Lithography and Carbon Nanotubes

    NASA Astrophysics Data System (ADS)

    Smalling, David

    2006-03-01

    This research focuses on the use of solenoid-like configurations which are both microscopic and macroscopic, to make energy conversions between electrical energy and other forms. Numerous conceptual models are to be evaluated for the construction of an environment in which a charged particle could be allowed travel along a helical path of extremely small pitch and comparatively large large radius. The two main types of solenoid designs discussed, are lithographically synthesized solenoids and coiled carbon nano tube solenoids. For future identification the family of structures described will be referred to as Zeta (ζ) Structures. In the case of a ζ solenoid, the objective would be to create a solenoid whose general structure is macroscopic but comprises a conductive trace which is on the nano-scale, In the case of such a solenoid the current flowing I and the magnetic flux B would be related approximately by B 1.256x10^3I. Such a situation gives rise to a very fascinating relationship between B and I. This means that if a current of say 1 ampere was made to flow through the solenoid, a magnetic flux of 1250 Tesla would be generated. The defining characteristic of ζ structures is their overall macroscopic dimensions which comprise high level nano-scale repetition. This research discusses theoretical propositions for the development of a class sub micron structures defined by a unique helical foundation, to be used for the generation of magnetic fields.

  6. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  7. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  8. Neural basis of imprinting behavior in chicks.

    PubMed

    Nakamori, Tomoharu; Maekawa, Fumihiko; Sato, Katsushige; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2013-01-01

    Newly hatched chicks memorize the characteristics of the first moving object they encounter, and subsequently show a preference for it. This "imprinting" behavior is an example of infant learning and is elicited by visual and/or auditory cues. Visual information of imprinting stimuli in chicks is first processed in the visual Wulst (VW), a telencephalic area corresponding to the mammalian visual cortex, congregates in the core region of the hyperpallium densocellulare (HDCo) cells, and transmitted to the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. The imprinting memory is stored in the IMM, and activities of IMM neurons are altered by imprinting. Imprinting also induces functional and structural plastic changes of neurons in the circuit that links the VW and the IMM. Of these neurons, the activity of the HDCo cells is strongly influenced by imprinting. Expression and modulation of NR2B subunit-containing N-methyl-D-aspartate (NMDA) receptors in the HDCo cells are crucial for plastic changes in this circuit as well as the process of visual imprinting. Thus, elucidation of cellular and molecular mechanisms underlying the plastic changes that occurred in the HDCo cells may provide useful knowledge about infant learning. © 2012 The Authors Development, Growth & Differentiation © 2012 Japanese Society of Developmental Biologists.

  9. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  10. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  11. Role of ART in Imprinting Disorders

    PubMed Central

    Eroglu, Ali; Layman, Lawrence C.

    2013-01-01

    Assisted reproductive technologies (ART) offer revolutionary infertility treatments for millions of childless couples around the world. Currently, ART accounts for 1 to 3% of annual births in industrialized countries and continues to expand rapidly. Except for an increased incidence of premature births, these technologies are considered safe. However, new evidence published during the past decade has suggested an increased incidence of imprinting disorders in children conceived by ART. Specifically, an increased risk was reported for Beckwith-Wiedemann syndrome (BWS), Angelman syndrome (AS), Silver-Russell syndrome, and retinoblastoma. In contrast, some studies have found no association between ART and BWS, AS, Prader-Willi syndrome, transient neonatal diabetes mellitus, and retinoblastoma. The variability in ART protocols and the rarity of imprinting disorders complicate determining the causative relationship between ART and an increased incidence of imprinting disorders. Nevertheless, compelling experimental data from animal studies also suggest a link between increased imprinting disorders and ART. Further comprehensive, appropriately powered studies are needed to better address the magnitude of the risk for ART-associated imprinting disorders. Large longitudinal studies are particularly critical to evaluate long-term effects of ART not only during the perinatal period but also into adulthood. An important consideration is to determine if the implicated association between ART and imprinting disorders is actually related to the procedures or to infertility itself. PMID:22549709

  12. Imprinted gene expression in fetal growth and development.

    PubMed

    Lambertini, L; Marsit, C J; Sharma, P; Maccani, M; Ma, Y; Hu, J; Chen, J

    2012-06-01

    Experimental studies showed that genomic imprinting is fundamental in fetoplacental development by timely regulating the expression of the imprinted genes to overlook a set of events determining placenta implantation, growth and embryogenesis. We examined the expression profile of 22 imprinted genes which have been linked to pregnancy abnormalities that may ultimately influence childhood development. The study was conducted in a subset of 106 placenta samples, overrepresented with small and large for gestational age cases, from the Rhode Island Child Health Study. We investigated associations between imprinted gene expression and three fetal development parameters: newborn head circumference, birth weight, and size for gestational age. Results from our investigation show that the maternally imprinted/paternally expressed gene ZNF331 inversely associates with each parameter to drive smaller fetal size, while paternally imprinted/maternally expressed gene SLC22A18 directly associates with the newborn head circumference promoting growth. Multidimensional Scaling analysis revealed two clusters within the 22 imprinted genes which are independently associated with fetoplacental development. Our data suggest that cluster 1 genes work by assuring cell growth and tissue development, while cluster 2 genes act by coordinating these processes. Results from this epidemiologic study offer solid support for the key role of imprinting in fetoplacental development. Copyright © 2012 Elsevier Ltd. All rights reserved.

  13. Background and survey of bioreplication techniques.

    PubMed

    Pulsifer, Drew Patrick; Lakhtakia, Akhlesh

    2011-09-01

    Bioreplication is the direct reproduction of a biological structure in order to realize at least one specific functionality. Current bioreplication techniques include the sol-gel technique, atomic layer deposition, physical vapor deposition, and imprint lithography and casting. The combined use of a focused ion beam and a scanning electron microscope could develop into a bioreplication technique as well. Some of these techniques are more suitable for reproducing surface features, others for bulk three-dimensional structures. Industrial upscaling appears possible only for imprint lithography and casting (which can be replaced by stamping).

  14. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  15. Proper reprogramming of imprinted and non-imprinted genes in cloned cattle gametogenesis.

    PubMed

    Kaneda, Masahiro; Watanabe, Shinya; Akagi, Satoshi; Inaba, Yasushi; Geshi, Masaya; Nagai, Takashi

    2017-11-01

    Epigenetic abnormalities in cloned animals are caused by incomplete reprogramming of the donor nucleus during the nuclear transfer step (first reprogramming). However, during the second reprogramming step that occurs only in the germline cells, epigenetic errors not corrected during the first step are repaired. Consequently, epigenetic abnormalities in the somatic cells of cloned animals should be erased in their spermatozoa or oocytes. This is supported by the fact that offspring from cloned animals do not exhibit defects at birth or during postnatal development. To test this hypothesis in cloned cattle, we compared the DNA methylation level of two imprinted genes (H19 and PEG3) and three non-imprinted genes (XIST, OCT4 and NANOG) and two repetitive elements (Satellite I and Satellite II) in blood and sperm DNAs from cloned and non-cloned bulls. We found no differences between cloned and non-cloned bulls. We also analyzed the DNA methylation levels of four repetitive elements (Satellite I, Satellite II, Alpha-satellite and Art2) in oocytes recovered from cloned and non-cloned cows. Again, no significant differences were observed between clones and non-clones. These results suggested that imprinted and non-imprinted genes and repetitive elements were properly reprogramed during gametogenesis in cloned cattle; therefore, they contributed to the soundness of cloned cattle offspring. © 2017 Japanese Society of Animal Science.

  16. Preparations, Properties, and Applications of Periodic Nano Arrays using Anodized Aluminum Oxide and Di-block Copolymer

    NASA Astrophysics Data System (ADS)

    Noh, Kunbae

    2011-12-01

    Self-ordered arrangements observed in various materials systems such as anodic aluminum oxide, polystyrene nanoparticles, and block copolymer are of great interest in terms of providing new opportunities in nanofabrication field where lithographic techniques are broadly used in general. Investigations on self-assembled nano arrays to understand how to obtain periodic nano arrays in an efficient yet inexpensive way, and how to realize advanced material and device systems thereof, can lead to significant impacts on science and technology for many forefront device applications. In this thesis, various aspects of periodic nano-arrays have been discussed including novel preparations, properties and applications of anodized aluminum oxide (AAO) and PS-b-P4VP (S4VP) di-block copolymer self-assembly. First, long-range ordered AAO arrays have been demonstrated. Nanoimprint lithography (NIL) process allowed a faithful pattern transfer of the imprint mold pattern onto Al thin film, and interesting self-healing and pattern tripling phenomena were observed, which could be applicable towards fabrication of the NIL master mold having highly dense pattern over large area, useful for fabrication of a large-area substrate for predictable positioning of arrayed devices. Second, S4VP diblock copolymer self-assembly and S4VP directed AAO self-assembly have been demonstrated in the Al thin film on Si substrate. Such a novel combination of two dissimilar self-assembly techniques demonstrated a potential as a versatile tool for nanopatterning formation on a Si substrate, capable of being integrated into Si process technology. As exemplary applications, vertically aligned Ni nanowires have been synthesized into an S4VP-guided AAO membrane on a Si substrate in addition to anti-dot structured [Co/Pd]n magnetic multilayer using S4VP self assembly. Third, a highly hexagonally ordered, vertically parallel aluminum oxide nanotube array was successfully fabricated via hard anodization technique

  17. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  18. The landscape of genomic imprinting across diverse adult human tissues

    PubMed Central

    Baran, Yael; Subramaniam, Meena; Biton, Anne; Tukiainen, Taru; Tsang, Emily K.; Rivas, Manuel A.; Pirinen, Matti; Gutierrez-Arcelus, Maria; Smith, Kevin S.; Kukurba, Kim R.; Zhang, Rui; Eng, Celeste; Torgerson, Dara G.; Urbanek, Cydney; Li, Jin Billy; Rodriguez-Santana, Jose R.; Burchard, Esteban G.; Seibold, Max A.; MacArthur, Daniel G.; Montgomery, Stephen B.; Zaitlen, Noah A.; Lappalainen, Tuuli

    2015-01-01

    Genomic imprinting is an important regulatory mechanism that silences one of the parental copies of a gene. To systematically characterize this phenomenon, we analyze tissue specificity of imprinting from allelic expression data in 1582 primary tissue samples from 178 individuals from the Genotype-Tissue Expression (GTEx) project. We characterize imprinting in 42 genes, including both novel and previously identified genes. Tissue specificity of imprinting is widespread, and gender-specific effects are revealed in a small number of genes in muscle with stronger imprinting in males. IGF2 shows maternal expression in the brain instead of the canonical paternal expression elsewhere. Imprinting appears to have only a subtle impact on tissue-specific expression levels, with genes lacking a systematic expression difference between tissues with imprinted and biallelic expression. In summary, our systematic characterization of imprinting in adult tissues highlights variation in imprinting between genes, individuals, and tissues. PMID:25953952

  19. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  20. Automatic hammering of nano-patterns on special polymer film by using a vibrating AFM tip

    PubMed Central

    2012-01-01

    Complicated nano-patterns with linewidth less than 18 nm can be automatically hammered by using atomic force microscopy (AFM) tip in tapping mode with high speed. In this study, the special sample was thin poly(styrene-ethylene/butylenes-styrene) (SEBS) block copolymer film with hexagonal spherical microstructures. An ordinary silicon tip was used as a nano-hammer, and the entire hammering process is controlled by a computer program. Experimental results demonstrate that such structure-tailored thin films enable AFM tip hammering to be performed on their surfaces. Both imprinted and embossed nano-patterns can be generated by using a vibrating tip with a larger tapping load and by using a predefined program to control the route of tip movement as it passes over the sample’s surface. Specific details for the fabrication of structure-tailored SEBS film and the theory for auto-hammering patterns were presented in detail. PMID:22889045

  1. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  2. Molecularly Imprinted Polymers: Present and Future Prospective

    PubMed Central

    Vasapollo, Giuseppe; Sole, Roberta Del; Mergola, Lucia; Lazzoi, Maria Rosaria; Scardino, Anna; Scorrano, Sonia; Mele, Giuseppe

    2011-01-01

    Molecular Imprinting Technology (MIT) is a technique to design artificial receptors with a predetermined selectivity and specificity for a given analyte, which can be used as ideal materials in various application fields. Molecularly Imprinted Polymers (MIPs), the polymeric matrices obtained using the imprinting technology, are robust molecular recognition elements able to mimic natural recognition entities, such as antibodies and biological receptors, useful to separate and analyze complicated samples such as biological fluids and environmental samples. The scope of this review is to provide a general overview on MIPs field discussing first general aspects in MIP preparation and then dealing with various application aspects. This review aims to outline the molecularly imprinted process and present a summary of principal application fields of molecularly imprinted polymers, focusing on chemical sensing, separation science, drug delivery and catalysis. Some significant aspects about preparation and application of the molecular imprinting polymers with examples taken from the recent literature will be discussed. Theoretical and experimental parameters for MIPs design in terms of the interaction between template and polymer functionalities will be considered and synthesis methods for the improvement of MIP recognition properties will also be presented. PMID:22016636

  3. Molecularly Imprinted Membranes

    PubMed Central

    Trotta, Francesco; Biasizzo, Miriam; Caldera, Fabrizio

    2012-01-01

    Although the roots of molecularly imprinted polymers lie in the beginning of 1930s in the past century, they have had an exponential growth only 40–50 years later by the works of Wulff and especially by Mosbach. More recently, it was also proved that molecular imprinted membranes (i.e., polymer thin films) that show recognition properties at molecular level of the template molecule are used in their formation. Different procedures and potential application in separation processes and catalysis are reported. The influences of different parameters on the discrimination abilities are also discussed. PMID:24958291

  4. The landscape of genomic imprinting across diverse adult human tissues.

    PubMed

    Baran, Yael; Subramaniam, Meena; Biton, Anne; Tukiainen, Taru; Tsang, Emily K; Rivas, Manuel A; Pirinen, Matti; Gutierrez-Arcelus, Maria; Smith, Kevin S; Kukurba, Kim R; Zhang, Rui; Eng, Celeste; Torgerson, Dara G; Urbanek, Cydney; Li, Jin Billy; Rodriguez-Santana, Jose R; Burchard, Esteban G; Seibold, Max A; MacArthur, Daniel G; Montgomery, Stephen B; Zaitlen, Noah A; Lappalainen, Tuuli

    2015-07-01

    Genomic imprinting is an important regulatory mechanism that silences one of the parental copies of a gene. To systematically characterize this phenomenon, we analyze tissue specificity of imprinting from allelic expression data in 1582 primary tissue samples from 178 individuals from the Genotype-Tissue Expression (GTEx) project. We characterize imprinting in 42 genes, including both novel and previously identified genes. Tissue specificity of imprinting is widespread, and gender-specific effects are revealed in a small number of genes in muscle with stronger imprinting in males. IGF2 shows maternal expression in the brain instead of the canonical paternal expression elsewhere. Imprinting appears to have only a subtle impact on tissue-specific expression levels, with genes lacking a systematic expression difference between tissues with imprinted and biallelic expression. In summary, our systematic characterization of imprinting in adult tissues highlights variation in imprinting between genes, individuals, and tissues. © 2015 Baran et al.; Published by Cold Spring Harbor Laboratory Press.

  5. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  6. DNA Based Molecular Scale Nanofabrication

    DTIC Science & Technology

    2015-12-04

    structure. We developed a method to produce nanoscale patterns on SAM. (d) Studied the molecular imprinting of DNA origami structure using polymer...to produce nanoscale patterns on SAM. (d) Studied the molecular imprinting of DNA origami structure using polymer substrates. Developed a high... imprinting using DNA nanostructure templates. Soft lithography uses polymeric stamps with certain features to transfer the pattern for printing

  7. Causal imprinting in causal structure learning.

    PubMed

    Taylor, Eric G; Ahn, Woo-Kyoung

    2012-11-01

    Suppose one observes a correlation between two events, B and C, and infers that B causes C. Later one discovers that event A explains away the correlation between B and C. Normatively, one should now dismiss or weaken the belief that B causes C. Nonetheless, participants in the current study who observed a positive contingency between B and C followed by evidence that B and C were independent given A, persisted in believing that B causes C. The authors term this difficulty in revising initially learned causal structures "causal imprinting." Throughout four experiments, causal imprinting was obtained using multiple dependent measures and control conditions. A Bayesian analysis showed that causal imprinting may be normative under some conditions, but causal imprinting also occurred in the current study when it was clearly non-normative. It is suggested that causal imprinting occurs due to the influence of prior knowledge on how reasoners interpret later evidence. Consistent with this view, when participants first viewed the evidence showing that B and C are independent given A, later evidence with only B and C did not lead to the belief that B causes C. Copyright © 2012 Elsevier Inc. All rights reserved.

  8. Causal Imprinting in Causal Structure Learning

    PubMed Central

    Taylor, Eric G.; Ahn, Woo-kyoung

    2012-01-01

    Suppose one observes a correlation between two events, B and C, and infers that B causes C. Later one discovers that event A explains away the correlation between B and C. Normatively, one should now dismiss or weaken the belief that B causes C. Nonetheless, participants in the current study who observed a positive contingency between B and C followed by evidence that B and C were independent given A, persisted in believing that B causes C. The authors term this difficulty in revising initially learned causal structures “causal imprinting.” Throughout four experiments, causal imprinting was obtained using multiple dependent measures and control conditions. A Bayesian analysis showed that causal imprinting may be normative under some conditions, but causal imprinting also occurred in the current study when it was clearly non-normative. It is suggested that causal imprinting occurs due to the influence of prior knowledge on how reasoners interpret later evidence. Consistent with this view, when participants first viewed the evidence showing that B and C are independent given A, later evidence with only B and C did not lead to the belief that B causes C. PMID:22859019

  9. NMDA receptor antagonists extend the sensitive period for imprinting.

    PubMed

    Parsons, C H; Rogers, L J

    2000-03-01

    Filial imprinting in the domestic chick occurs during a sensitive period of development. The exact timing of this period can vary according to the methods used to measure imprinting. Using our imprinting paradigm, we have shown that normal, dark-reared chicks lose the ability to imprint after the second day post-hatching. Further, we reported that chicks treated 10 h after hatching with a mixture of the noncompetitive NMDA receptor antagonist ketamine (55 mg/kg) and the alpha(2)-adrenergic receptor agonist xylazine (6 mg/kg) were able to imprint on day 8 after hatching, whereas controls treated with saline did not imprint. We now show that the effect of the ketamine-xylazine mixture can be mimicked by treating chicks with ketamine alone or with another noncompetitive NMDA receptor antagonist, MK-801 (5 mg/kg). Treating chicks with a single dose of ketamine (55 mg/kg) or with a single dose of xylazine (6 mg/kg) failed to produce the effect on the sensitive period. However, prolonging the action of ketamine by treating chicks with two doses of ketamine (at 10 and 12 h after hatching) did allow imprinting on day 8. In contrast, prolonging the action of xylazine had no effect on the sensitive period for imprinting. Chicks treated with MK-801 were also able to imprint on day 8. Thus, we have evidence that the NMDA receptor system is involved in the mechanisms that control the sensitive period for imprinting.

  10. Enhanced molecular recognition for imprinted monolithic column containing polyhedral oligomeric silsesquioxanes by dendritic effect of mesoporous molecular sieve scaffolds.

    PubMed

    Yang, Fang-Fang; Li, Zai-Xuan; Xu, Yu-Jing; Huang, Yan-Ping; Liu, Zhao-Sheng

    2018-06-07

    The dendritic effect of nano mesoporous molecular sieve was first used to enhance molecular recognition of molecularly imprinted polymers (MIPs)-based polyhedral oligomeric silsesquioxanes (POSS). In this study, the MIPs were made using S-naproxen (S-NAP) as template molecule, 4-vinylpyridine (4-VP) as functional monomer, ethylene glycol dimethacrylate as cross-linker, 1-butyl-3-methylimidazoliumtetrafluoroborate ([BMIM]BF 4 )/DMSO as binary porogens, 1-propylmethacrylate-heptaisobutyl substituted as POSS monomer, and mesoporous molecular sieve (Mobil composition of matter No. 41, MCM-41) as dendritic scaffold. The influence of synthesis parameters on the imprinting effect, including the content of POSS monomer and derivatized MCM-41-MPS, the ratio of template to monomer, and the ratio of binary porogens were also investigated, respectively. The morphology of the polymers was characterized by scanning electron microscopy, nitrogen adsorption, and X-ray powder diffraction. The results showed that POSS&MCM-41-MPS MIP had a stronger imprinting effect with an imprinting factor 6.86, which is approximately 2.4, 2.3, and 3 times than that of POSS MIP, MCM-41-MPS MIP, and conventional MIP, respectively. The increase of affinity might be attributed to impediment of the chain motion of polymer due to improved POSS aggregation and the dipole interaction between the POSS units by introduce of MCM-41-MPS as scaffolds. The resulting POSS&MCM-41-MPS MIP was used as adsorbent for the enrichment of S-NAP in solid-phase extraction with a high recovery of 97.65% and the value of RSD was 0.94%.

  11. Cell-Imprinted Substrates Modulate Differentiation, Redifferentiation, and Transdifferentiation.

    PubMed

    Bonakdar, Shahin; Mahmoudi, Morteza; Montazeri, Leila; Taghipoor, Mojtaba; Bertsch, Arnaud; Shokrgozar, Mohammad Ali; Sharifi, Shahriar; Majidi, Mohammad; Mashinchian, Omid; Hamrang Sekachaei, Mohammad; Zolfaghari, Pegah; Renaud, Philippe

    2016-06-08

    Differentiation of stem cells into mature cells through the use of physical approaches is of great interest. Here, we prepared smart nanoenvironments by cell-imprinted substrates based on chondrocytes, tenocytes, and semifibroblasts as templates and demonstrated their potential for differentiation, redifferentiation, and transdifferentiation. Analysis of shape and upregulation/downregulation of specific genes of stem cells, which were seeded on these cell-imprinted substrates, confirmed that imprinted substrates have the capability to induce specific shapes and molecular characteristics of the cell types that were used as templates for cell-imprinting. Interestingly, immunofluorescent staining of a specific protein in chondrocytes (i.e., collagen type II) confirmed that adipose-derived stem cells, semifibroblasts, and tenocytes can acquire the chondrocyte phenotype after a 14 day culture on chondrocyte-imprinted substrates. In summary, we propose that common polystyrene tissue culture plates can be replaced by this imprinting technique as an effective and promising way to regulate any cell phenotype in vitro with significant potential applications in regenerative medicine and cell-based therapies.

  12. Potential roles for transposable elements in creating imprinted expression.

    PubMed

    Anderson, Sarah N; Springer, Nathan M

    2018-04-01

    Changes in gene expression can have profound effects on phenotype. Nature has provided many complex patterns of gene regulation such as imprinting. Imprinted genes exhibit differences in the expression of the maternal and paternal alleles, even though they reside in the same nucleus with access to the same trans-acting factors. Significant attention has been focused on the potential reasons that imprinted expression could be beneficial and stabilized by selection. However, less attention has focused on understanding how imprinted expression might arise or decay. We discuss the evidence for frequent turnover of imprinted expression based on evolutionary analyses in plants and the potential role for transposable elements (TEs) in creating imprinted expression patterns. Copyright © 2018 Elsevier Ltd. All rights reserved.

  13. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  14. Pollen-imprinted polyurethanes for QCM allergen sensors.

    PubMed

    Jenik, Michael; Seifner, Alexandra; Lieberzeit, Peter; Dickert, Franz L

    2009-05-01

    Molecularly imprinted polymers for detecting plant pollen were designed as artificial recognition materials for quartz crystal microbalances in the gaseous phase. Imprints of birch (diameter, 25 mum) and nettle (diameter, 15 mum) pollen can be generated by polydimethylsiloxane stamping technique as proven by atomic force microscopy. If pollen grains are able to access the cavities and thus are incorporated, the resulting sensors display Sauerbrey-like negative frequency shifts. Non-Sauerbrey behaviour can be observed as soon as pollen is prevented from entering the selective hollows: this results in grain mobility on the electrode surface leading to frequency increases. Access to the cavities is determined by the diameter ratio between pollen grains and imprints as can be revealed during cross-selectivity measurements of nettle and birch pollen imprinted layers. When the amount of pollen grains on the electrode surface exceeds the number of available imprints, the excess particles move freely, resulting in positive, non-Sauerbrey frequency shifts.

  15. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  16. Imprinting can cause a maladaptive preference for infectious conspecifics.

    PubMed

    Stephenson, Jessica F; Reynolds, Michael

    2016-04-01

    Recognizing and associating with specific individuals, such as conspecifics or kin, brings many benefits. One mechanism underlying such recognition is imprinting: the long-term memory of cues encountered during development. Typically, juveniles imprint on cues of nearby individuals and may later associate with phenotypes matching their 'recognition template'. However, phenotype matching could lead to maladaptive social decisions if, for instance, individuals imprint on the cues of conspecifics infected with directly transmitted diseases. To investigate the role of imprinting in the sensory ecology of disease transmission, we exposed juvenile guppies,Poecilia reticulata, to the cues of healthy conspecifics, or to those experiencing disease caused by the directly transmitted parasite Gyrodactylus turnbulli In a dichotomous choice test, adult 'disease-imprinted' guppies preferred to associate with the chemical cues of G. turnbulli-infected conspecifics, whereas 'healthy-imprinted' guppies preferred to associate with cues of uninfected conspecifics. These responses were only observed when stimulus fish were in late infection, suggesting imprinted fish responded to cues of disease, but not of infection alone. We discuss how maladaptive imprinting may promote disease transmission in natural populations of a social host. © 2016 The Author(s).

  17. Computational design and fabrication of core-shell magnetic molecularly imprinted polymer for dispersive micro-solid-phase extraction coupled with high-performance liquid chromatography for the determination of rhodamine 6G.

    PubMed

    Xie, Jin; Xie, Jie; Deng, Jian; Fang, Xiangfang; Zhao, Haiqing; Qian, Duo; Wang, Hongjuan

    2016-06-01

    A novel core-shell magnetic nano-adsorbent with surface molecularly imprinted polymer coating was fabricated and then applied to dispersive micro-solid-phase extraction followed by determination of rhodamine 6G using high-performance liquid chromatography. The molecularly imprinted polymer coating was prepared by copolymerization of dopamine and m-aminophenylboronic acid (functional monomers), in the presence of rhodamine 6G (template). The selection of the suitable functional monomers was based on the interaction between different monomers and the template using the density functional theory. The ratios of the monomers to template were further optimized by an OA9 (3(4) ) orthogonal array design. The binding performances of the adsorbent were evaluated by static, kinetic, and selective adsorption experiments. The results reveal that the adsorbent possesses remarkable affinity and binding specificity for rhodamine 6G because of the enhanced Lewis acid-base interaction between the B(Ш) embedded in the imprinted cavities and the template. The nano-adsorbent was successfully applied to dispersive micro-solid-phase extraction coupled to high-performance liquid chromatography for the trace determination of rhodamine 6G in samples with a detection limit of 2.7 nmol/L. Spiked recoveries ranged from 93.0-99.1, 89.5-92.7, and 86.9-105% in river water, matrimony vine and paprika samples, respectively, with relative standard deviations of less than 4.3%. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Facile fabrication of super-hydrophobic nano-needle arrays via breath figures method.

    PubMed

    Kim, Jiseok; Lew, Brian; Kim, Woo Soo

    2011-12-06

    Super-hydrophobic surfaces which have been fabricated by various methods such as photolithography, chemical treatment, self-assembly, and imprinting have gained enormous attention in recent years. Especially 2D arrays of nano-needles have been shown to have super-hydrophobicity due to their sharp surface roughness. These arrays can be easily generated by removing the top portion of the honeycomb films prepared by the breath figures method. The hydrophilic block of an amphiphilic polymer helps in the fabrication of the nano-needle arrays through the production of well-ordered honeycomb films and good adhesion of the film to a substrate. Anisotropic patterns with water wettability difference can be useful for patterning cells and other materials using their selective growth on the hydrophilic part of the pattern. However, there has not been a simple way to generate patterns with highly different wettability. Mechanical stamping of the nano-needle array with a polyurethane stamp might be the simplest way to fabricate patterns with wettability difference. In this study, super-hydrophobic nano-needle arrays were simply fabricated by removing the top portion of the honeycomb films. The maximum water contact angle obtained with the nano-needle array was 150°. By controlling the pore size and the density of the honeycomb films, the height, width, and density of nano-needle arrays were determined. Anisotropic patterns with different wettability were fabricated by simply pressing the nano-needle array at ambient temperature with polyurethane stamps which were flexible but tough. Mechanical stamping of nano-needle arrays with micron patterns produced hierarchical super-hydrophobic structures.PACS: 05.70.Np, 68.55.am, 68.55.jm.

  19. Facile fabrication of super-hydrophobic nano-needle arrays via breath figures method

    PubMed Central

    2011-01-01

    Super-hydrophobic surfaces which have been fabricated by various methods such as photolithography, chemical treatment, self-assembly, and imprinting have gained enormous attention in recent years. Especially 2D arrays of nano-needles have been shown to have super-hydrophobicity due to their sharp surface roughness. These arrays can be easily generated by removing the top portion of the honeycomb films prepared by the breath figures method. The hydrophilic block of an amphiphilic polymer helps in the fabrication of the nano-needle arrays through the production of well-ordered honeycomb films and good adhesion of the film to a substrate. Anisotropic patterns with water wettability difference can be useful for patterning cells and other materials using their selective growth on the hydrophilic part of the pattern. However, there has not been a simple way to generate patterns with highly different wettability. Mechanical stamping of the nano-needle array with a polyurethane stamp might be the simplest way to fabricate patterns with wettability difference. In this study, super-hydrophobic nano-needle arrays were simply fabricated by removing the top portion of the honeycomb films. The maximum water contact angle obtained with the nano-needle array was 150°. By controlling the pore size and the density of the honeycomb films, the height, width, and density of nano-needle arrays were determined. Anisotropic patterns with different wettability were fabricated by simply pressing the nano-needle array at ambient temperature with polyurethane stamps which were flexible but tough. Mechanical stamping of nano-needle arrays with micron patterns produced hierarchical super-hydrophobic structures. PACS: 05.70.Np, 68.55.am, 68.55.jm PMID:22145673

  20. Pervasive polymorphic imprinted methylation in the human placenta

    PubMed Central

    Hanna, Courtney W.; Peñaherrera, Maria S.; Saadeh, Heba; Andrews, Simon; McFadden, Deborah E.; Kelsey, Gavin; Robinson, Wendy P.

    2016-01-01

    The maternal and paternal copies of the genome are both required for mammalian development, and this is primarily due to imprinted genes, those that are monoallelically expressed based on parent-of-origin. Typically, this pattern of expression is regulated by differentially methylated regions (DMRs) that are established in the germline and maintained after fertilization. There are a large number of germline DMRs that have not yet been associated with imprinting, and their function in development is unknown. In this study, we developed a genome-wide approach to identify novel imprinted DMRs in the human placenta and investigated the dynamics of these imprinted DMRs during development in somatic and extraembryonic tissues. DNA methylation was evaluated using the Illumina HumanMethylation450 array in 134 human tissue samples, publicly available reduced representation bisulfite sequencing in the human embryo and germ cells, and targeted bisulfite sequencing in term placentas. Forty-three known and 101 novel imprinted DMRs were identified in the human placenta by comparing methylation between diandric and digynic triploid conceptions in addition to female and male gametes. Seventy-two novel DMRs showed a pattern consistent with placental-specific imprinting, and this monoallelic methylation was entirely maternal in origin. Strikingly, these DMRs exhibited polymorphic imprinted methylation between placental samples. These data suggest that imprinting in human development is far more extensive and dynamic than previously reported and that the placenta preferentially maintains maternal germline-derived DNA methylation. PMID:26769960

  1. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  2. Transcriptome-wide investigation of genomic imprinting in chicken

    PubMed Central

    Frésard, Laure; Leroux, Sophie; Servin, Bertrand; Gourichon, David; Dehais, Patrice; Cristobal, Magali San; Marsaud, Nathalie; Vignoles, Florence; Bed'hom, Bertrand; Coville, Jean-Luc; Hormozdiari, Farhad; Beaumont, Catherine; Zerjal, Tatiana; Vignal, Alain; Morisson, Mireille; Lagarrigue, Sandrine; Pitel, Frédérique

    2014-01-01

    Genomic imprinting is an epigenetic mechanism by which alleles of some specific genes are expressed in a parent-of-origin manner. It has been observed in mammals and marsupials, but not in birds. Until now, only a few genes orthologous to mammalian imprinted ones have been analyzed in chicken and did not demonstrate any evidence of imprinting in this species. However, several published observations such as imprinted-like QTL in poultry or reciprocal effects keep the question open. Our main objective was thus to screen the entire chicken genome for parental-allele-specific differential expression on whole embryonic transcriptomes, using high-throughput sequencing. To identify the parental origin of each observed haplotype, two chicken experimental populations were used, as inbred and as genetically distant as possible. Two families were produced from two reciprocal crosses. Transcripts from 20 embryos were sequenced using NGS technology, producing ∼200 Gb of sequences. This allowed the detection of 79 potentially imprinted SNPs, through an analysis method that we validated by detecting imprinting from mouse data already published. However, out of 23 candidates tested by pyrosequencing, none could be confirmed. These results come together, without a priori, with previous statements and phylogenetic considerations assessing the absence of genomic imprinting in chicken. PMID:24452801

  3. Transcriptome-wide investigation of genomic imprinting in chicken.

    PubMed

    Frésard, Laure; Leroux, Sophie; Servin, Bertrand; Gourichon, David; Dehais, Patrice; Cristobal, Magali San; Marsaud, Nathalie; Vignoles, Florence; Bed'hom, Bertrand; Coville, Jean-Luc; Hormozdiari, Farhad; Beaumont, Catherine; Zerjal, Tatiana; Vignal, Alain; Morisson, Mireille; Lagarrigue, Sandrine; Pitel, Frédérique

    2014-04-01

    Genomic imprinting is an epigenetic mechanism by which alleles of some specific genes are expressed in a parent-of-origin manner. It has been observed in mammals and marsupials, but not in birds. Until now, only a few genes orthologous to mammalian imprinted ones have been analyzed in chicken and did not demonstrate any evidence of imprinting in this species. However, several published observations such as imprinted-like QTL in poultry or reciprocal effects keep the question open. Our main objective was thus to screen the entire chicken genome for parental-allele-specific differential expression on whole embryonic transcriptomes, using high-throughput sequencing. To identify the parental origin of each observed haplotype, two chicken experimental populations were used, as inbred and as genetically distant as possible. Two families were produced from two reciprocal crosses. Transcripts from 20 embryos were sequenced using NGS technology, producing ∼200 Gb of sequences. This allowed the detection of 79 potentially imprinted SNPs, through an analysis method that we validated by detecting imprinting from mouse data already published. However, out of 23 candidates tested by pyrosequencing, none could be confirmed. These results come together, without a priori, with previous statements and phylogenetic considerations assessing the absence of genomic imprinting in chicken.

  4. Sexual imprinting: what strategies should we expect to see in nature?

    PubMed

    Chaffee, Dalton W; Griffin, Hayes; Gilman, R Tucker

    2013-12-01

    Sexual imprinting occurs when juveniles learn mate preferences by observing the phenotypes of other members of their populations, and it is ubiquitous in nature. Imprinting strategies, that is which individuals and phenotypes are observed and how strong preferences become, vary among species. Imprinting can affect trait evolution and the probability of speciation, and different imprinting strategies are expected to have different effects. However, little is known about how and why different imprinting strategies evolve, or which strategies we should expect to see in nature. We used a mathematical model to study how the evolution of sexual imprinting depends on (1) imprinting costs and (2) the sex-specific fitness effects of the phenotype on which individuals imprint. We found that even small fixed costs prevent the evolution of sexual imprinting, but small relative costs do not. When imprinting does evolve, we identified the conditions under which females should evolve to imprint on their fathers, their mothers, or on other members of their populations. Our results provide testable hypotheses for empirical work and help to explain the conditions under which sexual imprinting might evolve to promote speciation. © 2013 The Author(s). Evolution © 2013 The Society for the Study of Evolution.

  5. Nano Peltier cooling device from geometric effects using a single graphene nanoribbon

    NASA Astrophysics Data System (ADS)

    Li, Wan-Ju; Yao, Dao-Xin; Carlson, Erica

    2012-02-01

    Based on the phenomenon of curvature-induced doping in graphene we propose a class of Peltier cooling devices, produced by geometrical effects, without gating. We show how a graphene nanoribbon laid on an array of curved nano cylinders can be used to create a targeted cooling device. Using theoretical calculations and experimental inputs, we predict that the cooling power of such a device can approach 1kW/cm^2, on par with the best known techniques using standard lithography methods. The structure proposed here helps pave the way toward designing graphene electronics which use geometry rather than gating to control devices.

  6. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  7. Multi-dimensional single-spin nano-optomechanics with a levitated nanodiamond

    NASA Astrophysics Data System (ADS)

    Neukirch, Levi P.; von Haartman, Eva; Rosenholm, Jessica M.; Nick Vamivakas, A.

    2015-10-01

    Considerable advances made in the development of nanomechanical and nano-optomechanical devices have enabled the observation of quantum effects, improved sensitivity to minute forces, and provided avenues to probe fundamental physics at the nanoscale. Concurrently, solid-state quantum emitters with optically accessible spin degrees of freedom have been pursued in applications ranging from quantum information science to nanoscale sensing. Here, we demonstrate a hybrid nano-optomechanical system composed of a nanodiamond (containing a single nitrogen-vacancy centre) that is levitated in an optical dipole trap. The mechanical state of the diamond is controlled by modulation of the optical trapping potential. We demonstrate the ability to imprint the multi-dimensional mechanical motion of the cavity-free mechanical oscillator into the nitrogen-vacancy centre fluorescence and manipulate the mechanical system's intrinsic spin. This result represents the first step towards a hybrid quantum system based on levitating nanoparticles that simultaneously engages optical, phononic and spin degrees of freedom.

  8. Triazine herbicide imprinted monolithic column for capillary electrochromatography.

    PubMed

    Aşır, Süleyman; Derazshamshir, Ali; Yılmaz, Fatma; Denizli, Adil

    2015-12-01

    Trietazine was selectively separated from aqueous solution containing the competitor molecule cyanazine, which is similar in size and shape to the template molecule. Structural features of the molecularly imprinted column were figured out by SEM. The influence of the mobile-phase composition, applied electrical field, and pH of the mobile phase on the recognition of trietazine by the imprinted monolithic polymer has been evaluated, and the imprint effect in the trietazine-imprinted monolithic polymer was demonstrated by an imprinting factor. The optimized monolithic column resulted in separation of trietazine from a structurally related competitor molecule, cyanazine. In addition, fast separation was obtained within 6 min by applying higher electrical field, with the electrophoretic mobility of 2.97 × 10(-8) m(2) V(-1) s(-1) at pH 11.0. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  10. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  11. Fabrication of a 3D micro/nano dual-scale carbon array and its demonstration as the microelectrodes for supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong

    2014-04-01

    An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO2) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g-1 at the scan rate of 5 mV s-1. This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices.

  12. Micro-thermocouple on nano-membrane: thermometer for nanoscale measurements.

    PubMed

    Balčytis, Armandas; Ryu, Meguya; Juodkazis, Saulius; Morikawa, Junko

    2018-04-20

    A thermocouple of Au-Ni with only 2.5-μm-wide electrodes on a 30-nm-thick Si 3 N 4 membrane was fabricated by a simple low-resolution electron beam lithography and lift off procedure. The thermocouple is shown to be sensitive to heat generated by laser as well as an electron beam. Nano-thin membrane was used to reach a high spatial resolution of energy deposition and to realise a heat source of sub-1 μm diameter. This was achieved due to a limited generation of secondary electrons, which increase a lateral energy deposition. A low thermal capacitance of the fabricated devices is useful for the real time monitoring of small and fast temperature changes, e.g., due to convection, and can be detected through an optical and mechanical barrier of the nano-thin membrane. Temperature changes up to ~2 × 10 5 K/s can be measured at 10 kHz rate. A simultaneous down-sizing of both, the heat detector and heat source strongly required for creation of thermal microscopy is demonstrated. Peculiarities of Seebeck constant (thermopower) dependence on electron injection into thermocouple are discussed. Modeling of thermal flows on a nano-membrane with presence of a micro-thermocouple was carried out to compare with experimentally measured temporal response.

  13. Role of imprint/exfoliative cytology in ulcerated skin neoplasms.

    PubMed

    Ramakrishnaiah, Vishnu Prasad Nelamangala; Babu, Ravindra; Pai, Dinker; Verma, Surendra Kumar

    2013-12-01

    Imprint cytology is a method of studying cells by taking an imprint from the cut surface of a wedge biopsy specimen or from the resected margins of a surgical specimen. It is rapid, simple and fairly accurate. Exfoliative cytology is an offshoot from the imprint cytology where in cells obtained from the surface of ulcers, either by scrape or brush, are analyzed for the presence of malignant cells. We undertook this study to see the role of imprint/exfoliative cytology in the diagnosis of ulcerated skin neoplasm and to check the adequacy of resected margins intra-operatively. This was a prospective investigative study conducted from September 2003 to July 2005. All patients presenting to surgical clinic with ulcerated skin and soft tissue tumours were included in the study. A wedge biopsy obtained from the ulcer and imprint smears were taken from the cut surface. Exfoliative cytology was analyzed from the surface smears. Wedge biopsy specimen was sent for histopathological (HPE) examination. The cytology and HPE were analyzed by a separate pathologist. Imprint cytology was also used to check the adequacy of resected margins in case of wide excision. This was compared with final HPE. Total of 107 patients was included in the present study and 474 imprint smears were done, with an average of 4.43 slides per lesion. Out of 59 wide excision samples, 132 imprint smears were prepared for assessing resected margins accounting for an average of 2.24 slides per each excised lesion. On combining imprint cytology with exfoliative cytology the overall sensitivity, specificity and positive predictive value were 90.38 %, 100 % and 90.38 % respectively. Only one out of 59 cases had a positive resected margin which was not picked by imprint cytology. Imprint cytology can be used for rapid and accurate diagnosis of various skin malignancies. It can also be used to check the adequacy of the resected margin intraoperatively.

  14. Insertion of two-dimensional photonic crystal pattern on p-GaN layer of GaN-based light-emitting diodes using bi-layer nanoimprint lithography.

    PubMed

    Byeon, Kyeong-Jae; Hwang, Seon-Yong; Hong, Chang-Hee; Baek, Jong Hyeob; Lee, Heon

    2008-10-01

    Nanoimprint lithography (NIL) was adapted to fabricate two-dimensional (2-D) photonic crystal (PC) pattern on the p-GaN layer of InGaN/GaN multi quantum well light-emitting diodes (LEDs) structure to improve the light extraction efficiency. For the uniform transfer of the PC pattern, a bi-layer imprinting method with liquid phase resin was used. The p-GaN layer was patterned with a periodic array of holes by an inductively coupled plasma etching process, based on SiCl4/Ar plasmas. As a result, 2-D photonic crystal patterns with 144 nm, 200 nm and 347 nm diameter holes were uniformly formed on the p-GaN layer and the photoluminescence (PL) intensity of each patterned LED samples was increased by more than 2.6 times, as compared to that of the un-patterned LED sample.

  15. Grayscale photomask fabricated by laser direct writing in metallic nano-films.

    PubMed

    Guo, Chuan Fei; Cao, Sihai; Jiang, Peng; Fang, Ying; Zhang, Jianming; Fan, Yongtao; Wang, Yongsheng; Xu, Wendong; Zhao, Zhensheng; Liu, Qian

    2009-10-26

    The grayscale photomask plays a key role in grayscale lithography for creating 3D microstructures like micro-optical elements and MEMS structures, but how to fabricate grayscale masks in a cost-effective way is still a big challenge. Here we present novel low cost grayscale masks created in a two-step method by laser direct writing on Sn nano-films, which demonstrate continuous-tone gray levels depended on writing powers. The mechanism of the gray levels is due to the coexistence of the metal and the oxides formed in a laser-induced thermal process. The photomasks reveal good technical properties in fabricating 3D microstructures for practical applications.

  16. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    PubMed

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  17. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  18. Molecularly Imprinted Intelligent Scaffolds for Tissue Engineering Applications.

    PubMed

    Neves, Mariana I; Wechsler, Marissa E; Gomes, Manuela E; Reis, Rui L; Granja, Pedro L; Peppas, Nicholas A

    2017-02-01

    The development of molecularly imprinted polymers (MIPs) using biocompatible production methods enables the possibility to further exploit this technology for biomedical applications. Tissue engineering (TE) approaches use the knowledge of the wound healing process to design scaffolds capable of modulating cell behavior and promote tissue regeneration. Biomacromolecules bear great interest for TE, together with the established recognition of the extracellular matrix, as an important source of signals to cells, both promoting cell-cell and cell-matrix interactions during the healing process. This review focuses on exploring the potential of protein molecular imprinting to create bioactive scaffolds with molecular recognition for TE applications based on the most recent approaches in the field of molecular imprinting of macromolecules. Considerations regarding essential components of molecular imprinting technology will be addressed for TE purposes. Molecular imprinting of biocompatible hydrogels, namely based on natural polymers, is also reviewed here. Hydrogel scaffolds with molecular memory show great promise for regenerative therapies. The first molecular imprinting studies analyzing cell adhesion report promising results with potential applications for cell culture systems, or biomaterials for implantation with the capability for cell recruitment by selectively adsorbing desired molecules.

  19. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  20. Current Progress of Nanomaterials in Molecularly Imprinted Electrochemical Sensing.

    PubMed

    Zhong, Chunju; Yang, Bin; Jiang, Xinxin; Li, Jianping

    2018-01-02

    Nanomaterials have received much attention during the past decade because of their excellent optical, electronic, and catalytic properties. Nanomaterials possess high chemical reactivity, also high surface energy. Thus, provide a stable immobilization platform for biomolecules, while preserving their reactivity. Due to the conductive and catalytic properties, nanomaterials can also enhance the sensitivity of molecularly imprinted electrochemical sensors by amplifying the electrode surface, increasing the electron transfer, and catalyzing the electrochemical reactions. Molecularly imprinted polymers that contain specific molecular recognition sites can be designed for a particular target analyte. Incorporating nanomaterials into molecularly imprinted polymers is important because nanomaterials can improve the response signal, increase the sensitivity, and decrease the detection limit of the sensors. This study describes the classification of nanomaterials in molecularly imprinted polymers, their analytical properties, and their applications in the electrochemical sensors. The progress of the research on nanomaterials in molecularly imprinted polymers and the application of nanomaterials in molecularly imprinted polymers is also reviewed.

  1. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  2. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  3. Identification of an Imprinted Gene Cluster in the X-Inactivation Center

    PubMed Central

    Kobayashi, Shin; Totoki, Yasushi; Soma, Miki; Matsumoto, Kazuya; Fujihara, Yoshitaka; Toyoda, Atsushi; Sakaki, Yoshiyuki; Okabe, Masaru; Ishino, Fumitoshi

    2013-01-01

    Mammalian development is strongly influenced by the epigenetic phenomenon called genomic imprinting, in which either the paternal or the maternal allele of imprinted genes is expressed. Paternally expressed Xist, an imprinted gene, has been considered as a single cis-acting factor to inactivate the paternally inherited X chromosome (Xp) in preimplantation mouse embryos. This means that X-chromosome inactivation also entails gene imprinting at a very early developmental stage. However, the precise mechanism of imprinted X-chromosome inactivation remains unknown and there is little information about imprinted genes on X chromosomes. In this study, we examined whether there are other imprinted genes than Xist expressed from the inactive paternal X chromosome and expressed in female embryos at the preimplantation stage. We focused on small RNAs and compared their expression patterns between sexes by tagging the female X chromosome with green fluorescent protein. As a result, we identified two micro (mi)RNAs–miR-374-5p and miR-421-3p–mapped adjacent to Xist that were predominantly expressed in female blastocysts. Allelic expression analysis revealed that these miRNAs were indeed imprinted and expressed from the Xp. Further analysis of the imprinting status of adjacent locus led to the discovery of a large cluster of imprinted genes expressed from the Xp: Jpx, Ftx and Zcchc13. To our knowledge, this is the first identified cluster of imprinted genes in the cis-acting regulatory region termed the X-inactivation center. This finding may help in understanding the molecular mechanisms regulating imprinted X-chromosome inactivation during early mammalian development. PMID:23940725

  4. Identification of an imprinted gene cluster in the X-inactivation center.

    PubMed

    Kobayashi, Shin; Totoki, Yasushi; Soma, Miki; Matsumoto, Kazuya; Fujihara, Yoshitaka; Toyoda, Atsushi; Sakaki, Yoshiyuki; Okabe, Masaru; Ishino, Fumitoshi

    2013-01-01

    Mammalian development is strongly influenced by the epigenetic phenomenon called genomic imprinting, in which either the paternal or the maternal allele of imprinted genes is expressed. Paternally expressed Xist, an imprinted gene, has been considered as a single cis-acting factor to inactivate the paternally inherited X chromosome (Xp) in preimplantation mouse embryos. This means that X-chromosome inactivation also entails gene imprinting at a very early developmental stage. However, the precise mechanism of imprinted X-chromosome inactivation remains unknown and there is little information about imprinted genes on X chromosomes. In this study, we examined whether there are other imprinted genes than Xist expressed from the inactive paternal X chromosome and expressed in female embryos at the preimplantation stage. We focused on small RNAs and compared their expression patterns between sexes by tagging the female X chromosome with green fluorescent protein. As a result, we identified two micro (mi)RNAs-miR-374-5p and miR-421-3p-mapped adjacent to Xist that were predominantly expressed in female blastocysts. Allelic expression analysis revealed that these miRNAs were indeed imprinted and expressed from the Xp. Further analysis of the imprinting status of adjacent locus led to the discovery of a large cluster of imprinted genes expressed from the Xp: Jpx, Ftx and Zcchc13. To our knowledge, this is the first identified cluster of imprinted genes in the cis-acting regulatory region termed the X-inactivation center. This finding may help in understanding the molecular mechanisms regulating imprinted X-chromosome inactivation during early mammalian development.

  5. Shape recognition of microbial cells by colloidal cell imprints

    NASA Astrophysics Data System (ADS)

    Borovička, Josef; Stoyanov, Simeon D.; Paunov, Vesselin N.

    2013-08-01

    We have engineered a class of colloids which can recognize the shape and size of targeted microbial cells and selectively bind to their surfaces. These imprinted colloid particles, which we called ``colloid antibodies'', were fabricated by partial fragmentation of silica shells obtained by templating the targeted microbial cells. We successfully demonstrated the shape and size recognition between such colloidal imprints and matching microbial cells. High percentage of binding events of colloidal imprints with the size matching target particles was achieved. We demonstrated selective binding of colloidal imprints to target microbial cells in a binary mixture of cells of different shapes and sizes, which also resulted in high binding selectivity. We explored the role of the electrostatic interactions between the target cells and their colloid imprints by pre-coating both of them with polyelectrolytes. Selective binding occurred predominantly in the case of opposite surface charges of the colloid cell imprint and the targeted cells. The mechanism of the recognition is based on the amplification of the surface adhesion in the case of shape and size match due to the increased contact area between the target cell and the colloidal imprint. We also tested the selective binding for colloid imprints of particles of fixed shape and varying sizes. The concept of cell recognition by colloid imprints could be used for development of colloid antibodies for shape-selective binding of microbes. Such colloid antibodies could be additionally functionalized with surface groups to enhance their binding efficiency to cells of specific shape and deliver a drug payload directly to their surface or allow them to be manipulated using external fields. They could benefit the pharmaceutical industry in developing selective antimicrobial therapies and formulations.

  6. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  7. Preparation of polyhedral oligomeric silsesquioxane based imprinted monolith.

    PubMed

    Li, Fang; Chen, Xiu-Xiu; Huang, Yan-Ping; Liu, Zhao-Sheng

    2015-12-18

    Polyhedral oligomeric silsesquioxane (POSS) was successfully applied, for the first time, to prepare imprinted monolithic column with high porosity and good permeability. The imprinted monolithic column was synthesized with a mixture of PSS-(1-Propylmethacrylate)-heptaisobutyl substituted (MA 0702), naproxon (template), 4-vinylpyridine, and ethylene glycol dimethacrylate, in ionic liquid 1-butyl-3-methylimidazolium tetrafluoroborate ([BMIM]BF4). The influence of synthesis parameters on the retention factor and imprinting effect, including the amount of MA 0702, the ratio of template to monomer, and the ratio of monomer to crosslinker, was investigated. The greatest imprinting factor on the imprinted monolithic column prepared with MA 0702 was 22, about 10 times higher than that prepared in absence of POSS. The comparisons between MIP monoliths synthesized with POSS and without POSS were made in terms of permeability, column efficiency, surface morphology and pore size distribution. In addition, thermodynamic and Van Deemter analysis were used to evaluate the POSS-based MIP monolith. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  9. Population-genetic models of sex-limited genomic imprinting.

    PubMed

    Kelly, S Thomas; Spencer, Hamish G

    2017-06-01

    Genomic imprinting is a form of epigenetic modification involving parent-of-origin-dependent gene expression, usually the inactivation of one gene copy in some tissues, at least, for some part of the diploid life cycle. Occurring at a number of loci in mammals and flowering plants, this mode of non-Mendelian expression can be viewed more generally as parentally-specific differential gene expression. The effects of natural selection on genetic variation at imprinted loci have previously been examined in a several population-genetic models. Here we expand the existing one-locus, two-allele population-genetic models of viability selection with genomic imprinting to include sex-limited imprinting, i.e., imprinted expression occurring only in one sex, and differential viability between the sexes. We first consider models of complete inactivation of either parental allele and these models are subsequently generalized to incorporate differential expression. Stable polymorphic equilibrium was possible without heterozygote advantage as observed in some prior models of imprinting in both sexes. In contrast to these latter models, in the sex-limited case it was critical whether the paternally inherited or maternally inherited allele was inactivated. The parental origin of inactivated alleles had a different impact on how the population responded to the different selection pressures between the sexes. Under the same fitness parameters, imprinting in the other sex altered the number of possible equilibrium states and their stability. When the parental origin of imprinted alleles and the sex in which they are inactive differ, an allele cannot be inactivated in consecutive generations. The system dynamics became more complex with more equilibrium points emerging. Our results show that selection can interact with epigenetic factors to maintain genetic variation in previously unanticipated ways. Copyright © 2017 Elsevier Inc. All rights reserved.

  10. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  11. Efficient room temperature hydrogen sensor based on UV-activated ZnO nano-network

    NASA Astrophysics Data System (ADS)

    Kumar, Mohit; Kumar, Rahul; Rajamani, Saravanan; Ranwa, Sapana; Fanetti, Mattia; Valant, Matjaz; Kumar, Mahesh

    2017-09-01

    Room temperature hydrogen sensors were fabricated from Au embedded ZnO nano-networks using a 30 mW GaN ultraviolet LED. The Au-decorated ZnO nano-networks were deposited on a SiO2/Si substrate by a chemical vapour deposition process. X-ray diffraction (XRD) spectrum analysis revealed a hexagonal wurtzite structure of ZnO and presence of Au. The ZnO nanoparticles were interconnected, forming nano-network structures. Au nanoparticles were uniformly distributed on ZnO surfaces, as confirmed by FESEM imaging. Interdigitated electrodes (IDEs) were fabricated on the ZnO nano-networks using optical lithography. Sensor performances were measured with and without UV illumination, at room temperate, with concentrations of hydrogen varying from 5 ppm to 1%. The sensor response was found to be ˜21.5% under UV illumination and 0% without UV at room temperature for low hydrogen concentration of 5 ppm. The UV-photoactivated mode enhanced the adsorption of photo-induced O- and O2- ions, and the d-band electron transition from the Au nanoparticles to ZnO—which increased the chemisorbed reaction between hydrogen and oxygen. The sensor response was also measured at 150 °C (without UV illumination) and found to be ˜18% at 5 ppm. Energy efficient low cost hydrogen sensors can be designed and fabricated with the combination of GaN UV LEDs and ZnO nanostructures.

  12. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  13. Data Encoding using Periodic Nano-Optical Features

    NASA Astrophysics Data System (ADS)

    Vosoogh-Grayli, Siamack

    typical set elements for an ergodic source emitting the optical encoding units compared to a bi-state encoding unit (bit) shows a 36 orders of magnitude decrease for the error probability interval of [0 0.01]. The algorithms for the proposed encoding system have been implemented in MATLAB and the Nano-optical structures have been fabricated using Electron Beam Lithography on optical medium.

  14. 3-dimensional free standing micro-structures by proton beam writing of Su 8-silver nanoParticle polymeric composite

    NASA Astrophysics Data System (ADS)

    Igbenehi, H.; Jiguet, S.

    2012-09-01

    Proton beam lithography a maskless direct-write lithographic technique (well suited for producing 3-Dimensional microstructures in a range of resist and semiconductor materials) is demonstrated as an effective tool in the creation of electrically conductive freestanding micro-structures in an Su 8 + Nano Silver polymer composite. The structures produced show non-ohmic conductivity and fit the percolation theory conduction model of tunneling of separated nanoparticles. Measurements show threshold switching and a change in conductivity of at least 4 orders of magnitude. The predictable range of protons in materials at a given energy is exploited in the creation of high aspect ratio, free standing micro-structures, made from a commercially available SU8 Silver nano-composite (GMC3060 form Gersteltec Inc. a negative tone photo-epoxy with added metallic nano-particles(Silver)) to create films with enhanced electrical properties when exposed and cured. Nano-composite films are directly written on with a finely focused MeV accelerated Proton particle beam. The energy loss of the incident proton beams in the target polymer nano- composite film is concentrated at the end of its range, where damage occurs; changing the chemistry of the nano-composite film via an acid initiated polymerization - creating conduction paths. Changing the energy of the incident beams provide exposed regions with different penetration and damage depth - exploited in the demonstrated cantilever microstructure.

  15. Pharmacological extension of the sensitive period for imprinting in Gallus domesticus.

    PubMed

    Parsons, C H; Rogers, L J

    1997-12-01

    Precocial animals, such as the chick, exhibit a form of learning termed filial imprinting. The chick's sensitive period for filial imprinting is restricted to the first few days after hatching. The neural mechanism that terminates the sensitive period is not fully understood. It is thought to be an experience-dependent event because once a chick has imprinted, it will not readily imprint on another stimulus. However, even dark-reared chicks eventually lose the ability to imprint, which suggests that the ending of the sensitive period may not be entirely experience-dependent. The present study investigates factors that may contribute to the ending of the sensitive period. In our experiments, dark-reared chicks were unable to imprint after Day 2 posthatching, but chicks treated 10 h after hatching with an intramuscular injection of the noncompetitive N-methyl-D-aspartate (NMDA) receptor antagonist ketamine (55 mg/kg) and the alpha2-adrenoceptor agonist xylazine (6 mg/kg) (KX) imprinted on a stuffed hen 8 days after hatching. Similarly treated chicks did not imprint on a red and black box, although the box was an effective imprinting stimulus for Day 2 chicks. Chicks treated with KX at 20 or 40 h posthatching or on Day 4 or 7 as well as controls treated with pyrogen-free saline were unable to imprint on Day 8.

  16. Molecularly Imprinted Microrods via Mesophase Polymerization.

    PubMed

    Parisi, Ortensia Ilaria; Scrivano, Luca; Candamano, Sebastiano; Ruffo, Mariarosa; Vattimo, Anna Francesca; Spanedda, Maria Vittoria; Puoci, Francesco

    2017-12-28

    The aim of the present research work was the synthesis of molecularly imprinted polymers (MIPs) with a rod-like geometry via "mesophase polymerization". The ternary lyotropic system consisting of sodium dodecyl sulfate (SDS), water, and decanol was chosen to prepare a hexagonal mesophase to direct the morphology of the synthesized imprinted polymers using theophylline, methacrylic acid, and ethylene glycol dimethacrylate as a drug model template, a functional monomer, and a crosslinker, respectively. The obtained molecularly imprinted microrods (MIMs) were assessed by performing binding experiments and in vitro release studies, and the obtained results highlighted good selective recognition abilities and sustained release properties. In conclusion, the adopted synthetic strategy involving a lyotropic mesophase system allows for the preparation of effective MIPs characterized by a rod-like morphology.

  17. Quantum-dots-encoded-microbeads based molecularly imprinted polymer.

    PubMed

    Liu, Yixi; Liu, Le; He, Yonghong; He, Qinghua; Ma, Hui

    2016-03-15

    Quantum dots encoded microbeads have various advantages such as large surface area, superb optical properties and the ability of multiplexing. Molecularly imprinted polymer that can mimic the natural recognition entities has high affinity and selectivity for the specific analyte. Here, the concept of utilizing the quantum dots encoded microbeads as the supporting material and the polydopamine as the functional monomer to form the core-shell molecular imprinted polymer was proposed for the first time. The resulted imprinted polymer can provide various merits: polymerization can complete in aqueous environment; fabrication procedure is facile and universal; the obvious economic advantage; the thickness of the imprinting layer is highly controllable; polydopamine coating can improve the biocompatibility of the quantum dot encoded microbeads. The rabbit IgG binding and flow cytometer experiment result showed the distinct advantages of this strategy: cost-saving, facile and fast preparation procedure. Most importantly, the ability for the multichannel detection, which makes the imprinted polydopamine modified encoded-beads very attractive in protein pre-concentration, recognition, separation and biosensing. Copyright © 2015 Elsevier B.V. All rights reserved.

  18. Eggs, embryos and the evolution of imprinting: insights from the platypus genome.

    PubMed

    Renfree, Marilyn B; Papenfuss, Anthony T; Shaw, Geoff; Pask, Andrew J

    2009-01-01

    Genomic imprinting is widespread in eutherian and marsupial mammals. Although there have been many hypotheses to explain why genomic imprinting evolved in mammals, few have examined how it arose. The host defence hypothesis suggests that imprinting evolved from existing mechanisms within the cell that act to silence foreign DNA elements that insert into the genome. However, the changes to the mammalian genome that accompanied the evolution of imprinting have been hard to define due to the absence of large-scale genomic resources from all extant classes. The recent release of the platypus genome sequence has provided the first opportunity to make comparisons between prototherian (monotreme, which show no signs of imprinting) and therian (marsupial and eutherian, which have imprinting) mammals. We compared the distribution of repeat elements known to attract epigenetic silencing across the genome from monotremes and therian mammals, particularly focusing on the orthologous imprinted regions. Our analyses show that the platypus has significantly fewer repeats of certain classes in the regions of the genome that have become imprinted in therian mammals. The accumulation of repeats, especially long-terminal repeats and DNA elements, in therian imprinted genes and gene clusters therefore appears to be coincident with, and may have been a potential driving force in, the development of mammalian genomic imprinting. Comparative platypus genome analyses of orthologous imprinted regions have provided strong support for the host defence hypothesis to explain the origin of imprinting.

  19. Molecular Imprinting Applications in Forensic Science

    PubMed Central

    Yılmaz, Erkut; Garipcan, Bora; Patra, Hirak K.; Uzun, Lokman

    2017-01-01

    Producing molecular imprinting-based materials has received increasing attention due to recognition selectivity, stability, cast effectiveness, and ease of production in various forms for a wide range of applications. The molecular imprinting technique has a variety of applications in the areas of the food industry, environmental monitoring, and medicine for diverse purposes like sample pretreatment, sensing, and separation/purification. A versatile usage, stability and recognition capabilities also make them perfect candidates for use in forensic sciences. Forensic science is a demanding area and there is a growing interest in molecularly imprinted polymers (MIPs) in this field. In this review, recent molecular imprinting applications in the related areas of forensic sciences are discussed while considering the literature of last two decades. Not only direct forensic applications but also studies of possible forensic value were taken into account like illicit drugs, banned sport drugs, effective toxins and chemical warfare agents in a review of over 100 articles. The literature was classified according to targets, material shapes, production strategies, detection method, and instrumentation. We aimed to summarize the current applications of MIPs in forensic science and put forth a projection of their potential uses as promising alternatives for benchmark competitors. PMID:28350333

  20. Molecular Imprinting Applications in Forensic Science.

    PubMed

    Yılmaz, Erkut; Garipcan, Bora; Patra, Hirak K; Uzun, Lokman

    2017-03-28

    Producing molecular imprinting-based materials has received increasing attention due to recognition selectivity, stability, cast effectiveness, and ease of production in various forms for a wide range of applications. The molecular imprinting technique has a variety of applications in the areas of the food industry, environmental monitoring, and medicine for diverse purposes like sample pretreatment, sensing, and separation/purification. A versatile usage, stability and recognition capabilities also make them perfect candidates for use in forensic sciences. Forensic science is a demanding area and there is a growing interest in molecularly imprinted polymers (MIPs) in this field. In this review, recent molecular imprinting applications in the related areas of forensic sciences are discussed while considering the literature of last two decades. Not only direct forensic applications but also studies of possible forensic value were taken into account like illicit drugs, banned sport drugs, effective toxins and chemical warfare agents in a review of over 100 articles. The literature was classified according to targets, material shapes, production strategies, detection method, and instrumentation. We aimed to summarize the current applications of MIPs in forensic science and put forth a projection of their potential uses as promising alternatives for benchmark competitors.

  1. Role of imprint cytology in intra operative diagnosis of thyroid lesions.

    PubMed

    Anila, K R; Krishna, G

    2014-07-01

    Intra-operative imprint cytology is an important diagnostic modality in the diagnosis of thyroid lesions. A correct intra-operative diagnosis helps eliminate the need for second surgery. To study diagnostic accuracy of imprint cytology and to compare the imprint cytology results with that of the corresponding paraffin section diagnosis in thyroidectomy cases. This is a prospective study of 84 patients who have undergone thyroidectomies over a period of one year at the Department of Surgery, Thiruvananthapuram, Kerala, India. The intraoperative imprint cytology smears were stained by Papanicolaou method. The imprint cytology interpretation was later compared with the paraffin section diagnosis. Of the 84 patients using haematoxylin and eosin stained histopathology sections as the gold standard, the diagnostic sensitivity of imprint cytology was 75% and specificity was 100%. Positive predictive value was 100%. Negative predictive value was 98.74%. Imprint cytology has high sensitivity and specificity in diagnosing lesions of the thyroid. The problems faced were in diagnosing follicular carcinomas and differentiating low grade lymphoma from lymphocytic thyroiditis. Imprint cytology is a simple, reliable diagnostic technique. It has high sensitivity and specificity in intra-operative diagnosis of lesions of thyroid. In spite of the advent of newer diagnostic modalities like frozen sections, imprint cytology still holds its unique position in the current perspective.

  2. Mechanism of molecular recognition on molecular imprinted monolith by capillary electrochromatography.

    PubMed

    Liu, Zhao-Sheng; Xu, Yan-Li; Yan, Chao; Gao, Ru-Yu

    2005-09-16

    The recognition mechanism of molecularly imprinted polymer (MIP) in capillary electrochromatography (CEC) is complicated since it possesses a hybrid process, which comprises the features of chromatographic retention, electrophoretic migration and molecular imprinting. For an understanding of the molecular recognition of MIP in CEC, a monolithic MIP in a capillary with 1,1'-binaphthyl-2,2'-diamine (BNA) imprinting was prepared by in situ copolymerization of imprinted molecule, methacrylic acid and ethylene glycol dimethacrylate in porogenic solvent, a mixture of toluene-isooctane. Strong recognition ability and high column performance (theory plates was 43,000 plates/m) of BNA were achieved on this monolithic MIP in CEC mode. In addition, BNA and its structural analogue, 1,1'-bi-2, 2'-naphthol, differing in functional groups, were used as model compounds to study imprinting effect on the resultant BNA-imprinted monolithic column, a reference column without imprinting of BNA and a open capillary. The effects of organic modifier concentration, pH value of buffer, salt concentration of buffer and column temperature on the retention and recognition of two compounds were investigated. The results showed that the molecular recognition on MIP monolith in CEC mode mainly derived from imprinting cavities on BNA-imprinted polymer other than chromatographic retention and electrophoretic migration.

  3. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  4. A dual-ion imprinted polymer embedded in sol-gel matrix for the ultra trace simultaneous analysis of cadmium and copper.

    PubMed

    Bali Prasad, Bhim; Jauhari, Darshika; Verma, Archana

    2014-03-01

    In simultaneous determination of group of elements, there are inter-metallic interactions which result in a non-linear relationship between the peak current and ionic concentration for each of the element, at bare (unmodified) electrode. To resolve this problem, we have resorted, for the first time, to develop a modified pencil graphite electrode using a typical ion imprinted polymer network (dual-ion imprinted polymer embedded in sol-gel matrix (inorganic-organic hybrid nano-material)) for the simultaneous analysis of a binary mixture of Cd(II) and Cu(II) ions, without any complication of inter-metallic interactions and competitive bindings, in real samples. The adequate resolution of differential pulse anodic stripping voltammetry peaks by 725 mV (cf, 615 mV with unmodified electrode), without any cross-reactivity and the stringent detection limits as low as, 0.050 and 0.034 ng mL(-1) (S/N=3) for Cd(II) and Cu(II) ions, respectively by the proposed sensor can be considered useful for the primitive diagnosis of several chronic diseases in clinical settings. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  6. Prader-Willi Syndrome: Obesity due to Genomic Imprinting

    PubMed Central

    Butler, Merlin G

    2011-01-01

    Prader-Willi syndrome (PWS) is a complex neurodevelopmental disorder due to errors in genomic imprinting with loss of imprinted genes that are paternally expressed from the chromosome 15q11-q13 region. Approximately 70% of individuals with PWS have a de novo deletion of the paternally derived 15q11-q13 region in which there are two subtypes (i.e., larger Type I or smaller Type II), maternal disomy 15 (both 15s from the mother) in about 25% of cases, and the remaining subjects have either defects in the imprinting center controlling the activity of imprinted genes or due to other chromosome 15 rearrangements. PWS is characterized by a particular facial appearance, infantile hypotonia, a poor suck and feeding difficulties, hypogonadism and hypogenitalism in both sexes, short stature and small hands and feet due to growth hormone deficiency, mild learning and behavioral problems (e.g., skin picking, temper tantrums) and hyperphagia leading to early childhood obesity. Obesity is a significant health problem, if uncontrolled. PWS is considered the most common known genetic cause of morbid obesity in children. The chromosome 15q11-q13 region contains approximately 100 genes and transcripts in which about 10 are imprinted and paternally expressed. This region can be divided into four groups: 1) a proximal non-imprinted region; 2) a PWS paternal-only expressed region containing protein-coding and non-coding genes; 3) an Angelman syndrome region containing maternally expressed genes and 4) a distal non-imprinted region. This review summarizes the current understanding of the genetic causes, the natural history and clinical presentation of individuals with PWS. PMID:22043168

  7. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  8. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  9. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  10. Differential regulation of genomic imprinting by TET proteins in embryonic stem cells.

    PubMed

    Liu, Lizhi; Mao, Shi-Qing; Ray, Chelsea; Zhang, Yu; Bell, Fong T; Ng, Sheau-Fang; Xu, Guo-Liang; Li, Xiajun

    2015-09-01

    TET proteins have been found to play an important role in active demethylation at CpG sites in mammals. There are some reports implicating their functions in removal of DNA methylation imprint at the imprinted regions in the germline. However, it is not well established whether TET proteins can also be involved in demethylation of DNA methylation imprint in embryonic stem (ES) cells. Here we report that loss of TET proteins caused a significant increase in DNA methylation at the Igf2-H19 imprinted region in ES cells. We also observed a variable increase in DNA methylation at the Peg1 imprinted region in the ES clones devoid of TET proteins, in particular in the differentiated ES cells. By contrast, we did not observe a significant increase of DNA methylation imprint at the Peg3, Snrpn and Dlk1-Dio3 imprinted regions in ES cells lacking TET proteins. Interestingly, loss of TET proteins did not result in a significant increase of DNA methylation imprint at the Igf2-H19 and Peg1 imprinted regions in the embryoid bodies (EB). Therefore, TET proteins seem to be differentially involved in maintaining DNA methylation imprint at a subset of imprinted regions in ES cells and EBs. Copyright © 2015 The Authors. Published by Elsevier B.V. All rights reserved.

  11. Lithography-Free Fabrication of Reconfigurable Substrate Topography For Contact Guidance

    PubMed Central

    Pholpabu, Pitirat; Kustra, Stephen; Wu, Haosheng; Balasubramanian, Aditya; Bettinger, Christopher J.

    2014-01-01

    Mammalian cells detect and respond to topographical cues presented in natural and synthetic biomaterials both in vivo and in vitro. Micro- and nano-structures influence the adhesion, morphology, proliferation, migration, and differentiation of many phenotypes. Although the mechanisms that underpin cell-topography interactions remain elusive, synthetic substrates with well-defined micro- and nano-structures are important tools to elucidate the origin of these responses. Substrates with reconfigurable topography are desirable because programmable cues can be harmonized with dynamic cellular responses. Here we present a lithography-free fabrication technique that can reversibly present topographical cues using an actuation mechanism that minimizes the confounding effects of applied stimuli. This method utilizes strain-induced buckling instabilities in bi-layer substrate materials with rigid uniform silicon oxide membranes that are thermally deposited on elastomeric substrates. The resulting surfaces are capable of reversible of substrates between three distinct states: flat substrates (A = 1.53 ± 0.55 nm, Rms = 0.317 ± 0.048 nm); parallel wavy grating arrays (A|| = 483.6 ± 7.8 nm and λ|| = 4.78 ± 0.16 μm); perpendicular wavy grating arrays (A⊥ = 429.3 ± 5.8 nm; λ⊥ = 4.95 ± 0.36 μm). The cytoskeleton dynamics of 3T3 fibroblasts in response to these surfaces was measured using optical microscopy. Fibroblasts cultured on dynamic substrates that are switched from flat to topographic features (FLAT-WAVY) exhibit a robust and rapid change in gross morphology as measured by a reduction in circularity from 0.30 ± 0.13 to 0.15 ± 0.08 after 5 min. Conversely, dynamic substrate sequences of FLAT-WAVY-FLAT do not significantly alter the gross steady-state morphology. Taken together, substrates that present topographic structures reversibly can elucidate dynamic aspects of cell-topography interactions. PMID:25468368

  12. The evolution of genomic imprinting: theories, predictions and empirical tests

    PubMed Central

    Patten, M M; Ross, L; Curley, J P; Queller, D C; Bonduriansky, R; Wolf, J B

    2014-01-01

    The epigenetic phenomenon of genomic imprinting has motivated the development of numerous theories for its evolutionary origins and genomic distribution. In this review, we examine the three theories that have best withstood theoretical and empirical scrutiny. These are: Haig and colleagues' kinship theory; Day and Bonduriansky's sexual antagonism theory; and Wolf and Hager's maternal–offspring coadaptation theory. These theories have fundamentally different perspectives on the adaptive significance of imprinting. The kinship theory views imprinting as a mechanism to change gene dosage, with imprinting evolving because of the differential effect that gene dosage has on the fitness of matrilineal and patrilineal relatives. The sexual antagonism and maternal–offspring coadaptation theories view genomic imprinting as a mechanism to modify the resemblance of an individual to its two parents, with imprinting evolving to increase the probability of expressing the fitter of the two alleles at a locus. In an effort to stimulate further empirical work on the topic, we carefully detail the logic and assumptions of all three theories, clarify the specific predictions of each and suggest tests to discriminate between these alternative theories for why particular genes are imprinted. PMID:24755983

  13. Evolution and Engineering of Precisely Controlled Ge Nanostructures on Scalable Array of Ordered Si Nano-pillars

    NASA Astrophysics Data System (ADS)

    Wang, Shuguang; Zhou, Tong; Li, Dehui; Zhong, Zhenyang

    2016-06-01

    The scalable array of ordered nano-pillars with precisely controllable quantum nanostructures (QNs) are ideal candidates for the exploration of the fundamental features of cavity quantum electrodynamics. It also has a great potential in the applications of innovative nano-optoelectronic devices for the future quantum communication and integrated photon circuits. Here, we present a synthesis of such hybrid system in combination of the nanosphere lithography and the self-assembly during heteroepitaxy. The precise positioning and controllable evolution of self-assembled Ge QNs, including quantum dot necklace(QDN), QD molecule(QDM) and quantum ring(QR), on Si nano-pillars are readily achieved. Considering the strain relaxation and the non-uniform Ge growth due to the thickness-dependent and anisotropic surface diffusion of adatoms on the pillars, the comprehensive scenario of the Ge growth on Si pillars is discovered. It clarifies the inherent mechanism underlying the controllable growth of the QNs on the pillar. Moreover, it inspires a deliberate two-step growth procedure to engineer the controllable QNs on the pillar. Our results pave a promising avenue to the achievement of desired nano-pillar-QNs system that facilitates the strong light-matter interaction due to both spectra and spatial coupling between the QNs and the cavity modes of a single pillar and the periodic pillars.

  14. Evolution and Engineering of Precisely Controlled Ge Nanostructures on Scalable Array of Ordered Si Nano-pillars

    PubMed Central

    Wang, Shuguang; Zhou, Tong; Li, Dehui; Zhong, Zhenyang

    2016-01-01

    The scalable array of ordered nano-pillars with precisely controllable quantum nanostructures (QNs) are ideal candidates for the exploration of the fundamental features of cavity quantum electrodynamics. It also has a great potential in the applications of innovative nano-optoelectronic devices for the future quantum communication and integrated photon circuits. Here, we present a synthesis of such hybrid system in combination of the nanosphere lithography and the self-assembly during heteroepitaxy. The precise positioning and controllable evolution of self-assembled Ge QNs, including quantum dot necklace(QDN), QD molecule(QDM) and quantum ring(QR), on Si nano-pillars are readily achieved. Considering the strain relaxation and the non-uniform Ge growth due to the thickness-dependent and anisotropic surface diffusion of adatoms on the pillars, the comprehensive scenario of the Ge growth on Si pillars is discovered. It clarifies the inherent mechanism underlying the controllable growth of the QNs on the pillar. Moreover, it inspires a deliberate two-step growth procedure to engineer the controllable QNs on the pillar. Our results pave a promising avenue to the achievement of desired nano-pillar-QNs system that facilitates the strong light-matter interaction due to both spectra and spatial coupling between the QNs and the cavity modes of a single pillar and the periodic pillars. PMID:27353231

  15. Imprinting can cause a maladaptive preference for infectious conspecifics

    PubMed Central

    2016-01-01

    Recognizing and associating with specific individuals, such as conspecifics or kin, brings many benefits. One mechanism underlying such recognition is imprinting: the long-term memory of cues encountered during development. Typically, juveniles imprint on cues of nearby individuals and may later associate with phenotypes matching their ‘recognition template’. However, phenotype matching could lead to maladaptive social decisions if, for instance, individuals imprint on the cues of conspecifics infected with directly transmitted diseases. To investigate the role of imprinting in the sensory ecology of disease transmission, we exposed juvenile guppies, Poecilia reticulata, to the cues of healthy conspecifics, or to those experiencing disease caused by the directly transmitted parasite Gyrodactylus turnbulli. In a dichotomous choice test, adult ‘disease-imprinted’ guppies preferred to associate with the chemical cues of G. turnbulli-infected conspecifics, whereas ‘healthy-imprinted’ guppies preferred to associate with cues of uninfected conspecifics. These responses were only observed when stimulus fish were in late infection, suggesting imprinted fish responded to cues of disease, but not of infection alone. We discuss how maladaptive imprinting may promote disease transmission in natural populations of a social host. PMID:27072405

  16. Design of molecular imprinted polymers compatible with aqueous environment.

    PubMed

    Piletska, Elena V; Guerreiro, Antonio R; Romero-Guerra, Maria; Chianella, Iva; Turner, Anthony P F; Piletsky, Sergey A

    2008-01-21

    The main problem of poor water compatibility of molecularly imprinted polymers (MIPs) was addressed in examples describing design of synthetic receptors with high affinity for drugs of abuse. An extensive potentiometric titration of 10 popular functional monomers and corresponding imprinted and blank polymers was conducted in order to evaluate the subtleties of functional groups ionisation under aqueous conditions. It was found that polymers prepared using 2-trifluoromethacrylic acid (TFMAA) in combination with toluene as porogen possess superior properties which make them suitable for effective template recognition in water. The potential impact of phase separation during polymerisation on formation of high quality imprints has been discussed. Three drugs of abuse such as cocaine, deoxyephedrine and methadone were used as template models in polymer preparation for the practical validation of obtained results. The polymer testing showed that synthesized molecularly imprinted polymers have high affinity and selectivity for corresponding templates in aqueous environment, with imprinting factors of 2.6 for cocaine and 1.4 for methadone and deoxyephedrine. Corresponding blank polymers were unable to differentiate between analytes, suggesting that imprinting phenomenon was responsible for the recognition properties.

  17. Imprint switch mutations at Rasgrf1 support conflict hypothesis of imprinting and define a growth control mechanism upstream of IGF1

    PubMed Central

    Drake, Nadia M.; Park, Yoon Jung; Shirali, Aditya S.; Cleland, Thomas A.

    2010-01-01

    Rasgrf1 is imprinted and expressed preferentially from the paternal allele in neonatal mouse brain. At weaning, expression becomes biallelic. Using a mouse model, we assayed the effects of perturbing imprinted Rasgrf1 expression in mice with the following imprinted expression patterns: monoallelic paternal (wild type), monoallelic maternal (maternal only), biallelic (both alleles transcribed), and null (neither allele transcribed). All genotypes exhibit biallelic expression around weaning. Consequences of this transient imprinting perturbation are manifested as overall size differences that correspond to the amount of neonatal Rasgrf1 expressed and are persistent, extending into adulthood. Biallelic mice are the largest and overexpress Rasgrf1 relative to wild-type mice, null mice are the smallest and underexpress Rasgrf1 as neonates, and the two monoallelically expressing genotypes are intermediate and indistinguishable from one another, in both size and Rasgrf1 expression level. Importantly, these data support one of the key underlying assumptions of the “conflict hypothesis” that describes the evolution of genomic imprinting in mammals and supposes that equivalent amounts of imprinted gene expression produce equivalent phenotypes, regardless of which parental allele is transcribed. Concordant with the difference in overall body size, we identify differences in IGF-1 levels, both in serum protein and as liver transcript, and identify additional differential expression of components upstream of IGF-1 release in the GH/IGF-1 axis. These data suggest that imprinted Rasgrf1 expression affects GH/IGF-1 axis function, and that the consequences of Rasgrf1 inputs to this axis persist beyond the time period when expression is restricted via epigenetic mechanisms, suggesting that proper neonatal Rasgrf1 expression levels are critical for development. PMID:19513790

  18. Sexual dimorphism in parental imprint ontogeny and contribution to embryonic development.

    PubMed

    Bourc'his, Déborah; Proudhon, Charlotte

    2008-01-30

    Genomic imprinting refers to the functional non-equivalence of parental genomes in mammals that results from the parent-of-origin allelic expression of a subset of genes. Parent-specific expression is dependent on the germ line acquisition of DNA methylation marks at imprinting control regions (ICRs), coordinated by the DNA-methyltransferase homolog DNMT3L. We discuss here how the gender-specific stages of DNMT3L expression may have influenced the various sexually dimorphic aspects of genomic imprinting: (1) the differential developmental timing of methylation establishment at paternally and maternally imprinted genes in each parental germ line, (2) the differential dependence on DNMT3L of parental methylation imprint establishment, (3) the unequal duration of paternal versus maternal methylation imprints during germ cell development, (4) the biased distribution of methylation-dependent ICRs towards the maternal genome, (5) the different genomic organization of paternal versus maternal ICRs, and finally (6) the overwhelming contribution of maternal germ line imprints to development compared to their paternal counterparts.

  19. 21 CFR 206.10 - Code imprint required.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) DRUGS: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required. (a) Unless exempted under § 206.7, no drug product in solid oral dosage form may be introduced or...

  20. 21 CFR 206.10 - Code imprint required.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) DRUGS: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required. (a) Unless exempted under § 206.7, no drug product in solid oral dosage form may be introduced or...

  1. 21 CFR 206.10 - Code imprint required.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) DRUGS: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required. (a) Unless exempted under § 206.7, no drug product in solid oral dosage form may be introduced or...

  2. Smart coumarin-tagged imprinted polymers for the rapid detection of tamoxifen.

    PubMed

    Ray, Judith V; Mirata, Fosca; Pérollier, Celine; Arotcarena, Michel; Bayoudh, Sami; Resmini, Marina

    2016-03-01

    A signalling molecularly imprinted polymer was synthesised for easy detection of tamoxifen and its metabolites. 6-Vinylcoumarin-4-carboxylic acid (VCC) was synthesised from 4-bromophenol to give a fluorescent monomer, designed to switch off upon binding of tamoxifen. Clomiphene, a chlorinated analogue, was used as the template for the imprinting, and its ability to quench the coumarin fluorescence when used in a 1:1 ratio was demonstrated. Tamoxifen and 4-hydroxytamoxifen were also shown to quench coumarin fluorescence. Imprinted and non-imprinted polymers were synthesised using VCC, methacrylic acid as a backbone monomer and ethylene glycol dimethacrylate as cross-linker, and were ground and sieved to particle sizes ranging between 45 and 25 μm. Rebinding experiments demonstrate that the imprinted polymer shows very strong affinity for both clomiphene and tamoxifen, while the non-imprinted polymer shows negligible rebinding. The fluorescence of the imprinted polymer is quenched by clomiphene, tamoxifen and 4-hydroxytamoxifen. The switch off in fluorescence of the imprinted polymer under these conditions could also be detected under a UV lamp with the naked eye, making this matrix suitable for applications when coupled with a sample preparation system.

  3. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  4. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  5. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  6. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  7. Distributed feedback imprinted electrospun fiber lasers.

    PubMed

    Persano, Luana; Camposeo, Andrea; Del Carro, Pompilio; Fasano, Vito; Moffa, Maria; Manco, Rita; D'Agostino, Stefania; Pisignano, Dario

    2014-10-01

    Imprinted, distributed feedback lasers are demonstrated on individual, active electrospun polymer nanofibers. In addition to advantages related to miniaturization, optical confinement and grating nanopatterning lead to a significant threshold reduction compared to conventional thin-film lasers. The possibility of imprinting arbitrary photonic crystal geometries on electrospun lasing nanofibers opens new opportunities for realizing optical circuits and chips. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Analysis of the platypus genome suggests a transposon origin for mammalian imprinting.

    PubMed

    Pask, Andrew J; Papenfuss, Anthony T; Ager, Eleanor I; McColl, Kaighin A; Speed, Terence P; Renfree, Marilyn B

    2009-01-01

    Genomic imprinting is an epigenetic phenomenon that results in monoallelic gene expression. Many hypotheses have been advanced to explain why genomic imprinting evolved in mammals, but few have examined how it arose. The host defence hypothesis suggests that imprinting evolved from existing mechanisms within the cell that act to silence foreign DNA elements that insert into the genome. However, the changes to the mammalian genome that accompanied the evolution of imprinting have been hard to define due to the absence of large scale genomic resources between all extant classes. The recent release of the platypus genome has provided the first opportunity to perform comparisons between prototherian (monotreme; which appear to lack imprinting) and therian (marsupial and eutherian; which have imprinting) mammals. We compared the distribution of repeat elements known to attract epigenetic silencing across the entire genome from monotremes and therian mammals, particularly focusing on the orthologous imprinted regions. There is a significant accumulation of certain repeat elements within imprinted regions of therian mammals compared to the platypus. Our analyses show that the platypus has significantly fewer repeats of certain classes in the regions of the genome that have become imprinted in therian mammals. The accumulation of repeats, especially long terminal repeats and DNA elements, in therian imprinted genes and gene clusters is coincident with, and may have been a potential driving force in, the development of mammalian genomic imprinting. These data provide strong support for the host defence hypothesis.

  9. Analysis of the platypus genome suggests a transposon origin for mammalian imprinting

    PubMed Central

    Pask, Andrew J; Papenfuss, Anthony T; Ager, Eleanor I; McColl, Kaighin A; Speed, Terence P; Renfree, Marilyn B

    2009-01-01

    Background Genomic imprinting is an epigenetic phenomenon that results in monoallelic gene expression. Many hypotheses have been advanced to explain why genomic imprinting evolved in mammals, but few have examined how it arose. The host defence hypothesis suggests that imprinting evolved from existing mechanisms within the cell that act to silence foreign DNA elements that insert into the genome. However, the changes to the mammalian genome that accompanied the evolution of imprinting have been hard to define due to the absence of large scale genomic resources between all extant classes. The recent release of the platypus genome has provided the first opportunity to perform comparisons between prototherian (monotreme; which appear to lack imprinting) and therian (marsupial and eutherian; which have imprinting) mammals. Results We compared the distribution of repeat elements known to attract epigenetic silencing across the entire genome from monotremes and therian mammals, particularly focusing on the orthologous imprinted regions. There is a significant accumulation of certain repeat elements within imprinted regions of therian mammals compared to the platypus. Conclusions Our analyses show that the platypus has significantly fewer repeats of certain classes in the regions of the genome that have become imprinted in therian mammals. The accumulation of repeats, especially long terminal repeats and DNA elements, in therian imprinted genes and gene clusters is coincident with, and may have been a potential driving force in, the development of mammalian genomic imprinting. These data provide strong support for the host defence hypothesis. PMID:19121219

  10. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    PubMed

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  11. Directed assembly of colloidal particles for micro/nano photonics (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Zheng, Yuebing

    2017-02-01

    Bottom-up fabrication of complex structures with chemically synthesized colloidal particles as building blocks pave an efficient and cost-effective way towards micro/nano photonics with unprecedented functionality and tunability. Novel properties can arise from quantum effects of colloidal particles, as well as inter-particle interactions and spatial arrangement in particle assemblies. Herein, I discuss our recent developments and applications of three types of techniques for directed assembly of colloidal particles: moiré nanosphere lithography (MNSL), bubble-pen lithography (BPL), and optothermal tweezers (OTTs). Specifically, MNSL provides an efficient approach towards creating moiré metasurface with tunable and multiband optical responses from visible to mid-infrared regime. Au moiré metasurfaces have been applied for surface-enhanced infrared spectroscopy, optical capture and patterning of bacteria, and photothermal denaturation of proteins. BPL is developed to pattern a variety of colloidal particles on plasmonic substrates and two-dimensional atomic-layer materials in an arbitrary manner. The laser-directed microbubble captures and immobilizes nanoparticles through coordinated actions of Marangoni convection, surface tension, gas pressure, and substrate adhesion. OTTs are developed to create dynamic nanoparticle assemblies at low optical power. Such nanoparticle assemblies have been used for surface-enhanced Raman spectroscopy for molecular analysis in their native environments.

  12. Selectively Patterning Polymer Opal Films via Microimprint Lithography.

    PubMed

    Ding, Tao; Zhao, Qibin; Smoukov, Stoyan K; Baumberg, Jeremy J

    2014-11-01

    Large-scale structural color flexible coatings have been hard to create, and patterning color on them is key to many applications, including large-area strain sensors, wall-size displays, security devices, and smart fabrics. To achieve controlled tuning, a micro-imprinting technique is applied here to pattern both the surface morphology and the structural color of the polymer opal films (POFs). These POFs are made of 3D ordered arrays of hard spherical particles embedded inside soft shells. The soft outer shells cause the POFs to deform upon imprinting with a pre-patterned stamp, driving a flow of the soft polymer and a rearrangement of the hard spheres within the films. As a result, a patterned surface morphology is generated within the POFs and the structural colors are selectively modified within different regions. These changes are dependent on the pressure, temperature, and duration of imprinting, as well as the feature sizes in the stamps. Moreover, the pattern geometry and structural colors can then be further tuned by stretching. Micropattern color generation upon imprinting depends on control of colloidal transport in a polymer matrix under shear flow and brings many potential properties including stretchability and tunability, as well as being of fundamental interest.

  13. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  14. Using IMPRINT to Guide Experimental Design with Simulated Task Environments

    DTIC Science & Technology

    2015-06-18

    USING IMPRINT TO GUIDE EXPERIMENTAL DESIGN OF SIMULATED TASK ENVIRONMENTS THESIS Gregory...ENG-MS-15-J-052 USING IMPRINT TO GUIDE EXPERIMENTAL DESIGN WITH SIMULATED TASK ENVIRONMENTS THESIS Presented to the Faculty Department...Civilian, USAF June 2015 DISTRIBUTION STATEMENT A. APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED. AFIT-ENG-MS-15-J-052 USING IMPRINT

  15. Full-wafer fabrication by nanostencil lithography of micro/nanomechanical mass sensors monolithically integrated with CMOS.

    PubMed

    Arcamone, J; van den Boogaart, M A F; Serra-Graells, F; Fraxedas, J; Brugger, J; Pérez-Murano, F

    2008-07-30

    Wafer-scale nanostencil lithography (nSL) is used to define several types of silicon mechanical resonators, whose dimensions range from 20 µm down to 200 nm, monolithically integrated with CMOS circuits. We demonstrate the simultaneous patterning by nSL of ∼2000 nanodevices per wafer by post-processing standard CMOS substrates using one single metal evaporation, pattern transfer to silicon and subsequent etch of the sacrificial layer. Resonance frequencies in the MHz range were measured in air and vacuum. As proof-of-concept towards an application as high performance sensors, CMOS integrated nano/micromechanical resonators are successfully implemented as ultra-sensitive areal mass sensors. These devices demonstrate the ability to monitor the deposition of gold layers whose average thickness is smaller than a monolayer. Their areal mass sensitivity is in the range of 10(-11) g cm(-2) Hz(-1), and their thickness resolution corresponds to approximately a thousandth of a monolayer.

  16. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  17. Large area and deep sub-wavelength interference lithography employing odd surface plasmon modes.

    PubMed

    Liu, Liqin; Luo, Yunfei; Zhao, Zeyu; Zhang, Wei; Gao, Guohan; Zeng, Bo; Wang, Changtao; Luo, Xiangang

    2016-07-28

    In this paper, large area and deep sub-wavelength interference patterns are realized experimentally by using odd surface plasmon modes in the metal/insulator/metal structure. Theoretical investigation shows that the odd modes possesses much higher transversal wave vector and great inhibition of tangential electric field components, facilitating surface plasmon interference fringes with high resolution and contrast in the measure of electric field intensity. Interference resist patterns with 45 nm (∼λ/8) half-pitch, 50 nm depth, and area size up to 20 mm × 20 mm were obtained by using 20 nm Al/50 nm photo resist/50 nm Al films with greatly reduced surface roughness and 180 nm pitch exciting grating fabricated with conventional laser interference lithography. Much deeper resolution down to 19.5 nm is also feasible by decreasing the thickness of PR. Considering that no requirement of expensive EBL or FIB tools are employed, it provides a cost-effective way for large area and nano-scale fabrication.

  18. AFM-based micro/nanoscale lithography of poly(dimethylsiloxane): stick-slip on a softpolymer

    NASA Astrophysics Data System (ADS)

    Watson, Jolanta A.; Myhra, Sverre; Brown, Christopher L.; Watson, Gregory S.

    2005-02-01

    Silicone rubbers have steadily gained importance in industry since their introduction in the 1960"s. Poly(dimethylsiloxane) (PDMS) is a relatively soft and optically clear, two-part elastomer with interesting and, more importantly, useful physical and electrical properties. Some of its common applications include protective coatings (e.g., against moisture, environmental attack, mechanical and thermal shock and vibrations), and encapsulation (e.g., amplifiers, inductive coils, connectors and circuit boards). The polymer has attracted recent interest for applications in soft lithography. The polymer is now routinely used as a patterned micro-stamp for chemical modification of surfaces, in particular Au substrates. Prominent stick-slip effects, surface relaxation and elastic recovery were found to be associated with micro/nano manipulation of the polymer by an AFM-based contact mode methodology. Those effects provide the means to explore in detail the meso-scale tip-to-surface interactions between a tip and a soft surface. The dependence of scan speed, loading force, attack angle and number of scan lines have been investigated.

  19. Mycotoxin analysis using imprinted materials technology: Recent developments

    USDA-ARS?s Scientific Manuscript database

    Molecular imprinting technology is an attractive, cost effective, and robust alternative to address the limitations of highly selective natural receptors, such as antibodies and aptamers. The field of molecular imprinting has seen a recent surge in growth with several commercially available products...

  20. Ultrasensitive detection of endotoxins using computationally designed nanoMIPs.

    PubMed

    Altintas, Zeynep; Abdin, Mohammed J; Tothill, Alexander M; Karim, Kal; Tothill, Ibtisam E

    2016-09-07

    Novel molecularly imprinted polymer nanoparticles (nanoMIPs) were designed for endotoxin from Escherichia coli 0111:B4, using computational modeling. The screening process based on binding energy between endotoxin and each monomer was performed with 21 commonly used monomers, resulting in the selection of itaconic acid, methacrylic acid and acrylamide as functional monomers due to their strong binding interaction with the endotoxin template. The nanoMIPs were successfully synthesized with functional groups on the outer surface to aid in the immobilization onto sensor surface. The solid phase photopolymerization approach used for the synthesis of nanoMIPs ranging from 200 to 235 nm in diameter. The limit of detection and KD were significantly improved when endotoxin samples were prepared using a novel triethylamine method. This improved the efficiency of gold nanoparticle functionalization by targeting the subunits of the endotoxin. Compared to the vancomycin MIP control, the endotoxin MIPs displayed outstanding affinity and selectivity towards the endotoxin with KD values in the range of 4.4-5.3 × 10(-10) M, with limits of detection of 0.44 ± 0.02 ng mL(-1) as determined by surface plasmon resonance (SPR) sensor when itaconic acid was used as the functional monomer. The MIP surface can be regenerated >30 times without significant loss of binding activity making this approach highly cost effective for expensive analyte templates. The combination of molecular modeling and solid phase synthesis enabled the successful synthesis of nanoMIPs capable of recognition and ultrasensitive detection of endotoxins using the highly sensitive SPR biosensor with triethylamine method. Copyright © 2016 Elsevier B.V. All rights reserved.

  1. Computational Optimization and Characterization of Molecularly Imprinted Polymers

    NASA Astrophysics Data System (ADS)

    Terracina, Jacob J.

    Molecularly imprinted polymers (MIPs) are a class of materials containing sites capable of selectively binding to the imprinted target molecule. Computational chemistry techniques were used to study the effect of different fabrication parameters (the monomer-to-target ratios, pre-polymerization solvent, temperature, and pH) on the formation of the MIP binding sites. Imprinted binding sites were built in silico for the purposes of better characterizing the receptor - ligand interactions. Chiefly, the sites were characterized with respect to their selectivities and the heterogeneity between sites. First, a series of two-step molecular mechanics (MM) and quantum mechanics (QM) computational optimizations of monomer -- target systems was used to determine optimal monomer-to-target ratios for the MIPs. Imidazole- and xanthine-derived target molecules were studied. The investigation included both small-scale models (one-target) and larger scale models (five-targets). The optimal ratios differed between the small and larger scales. For the larger models containing multiple targets, binding-site surface area analysis was used to evaluate the heterogeneity of the sites. The more fully surrounded sites had greater binding energies. Molecular docking was then used to measure the selectivities of the QM-optimized binding sites by comparing the binding energies of the imprinted target to that of a structural analogue. Selectivity was also shown to improve as binding sites become more fully encased by the monomers. For internal sites, docking consistently showed selectivity favoring the molecules that had been imprinted via QM geometry optimizations. The computationally imprinted sites were shown to exhibit size-, shape-, and polarity-based selectivity. This represented a novel approach to investigate the selectivity and heterogeneity of imprinted polymer binding sites, by applying the rapid orientation screening of MM docking to the highly accurate QM-optimized geometries. Next

  2. Sexual imprinting on ecologically divergent traits leads to sexual isolation in sticklebacks

    PubMed Central

    Kozak, Genevieve M.; Head, Megan L.; Boughman, Janette W.

    2011-01-01

    During sexual imprinting, offspring learn parental phenotypes and then select mates who are similar to their parents. Imprinting has been thought to contribute to the process of speciation in only a few rare cases; this is despite imprinting's potential to generate assortative mating and solve the problem of recombination in ecological speciation. If offspring imprint on parental traits under divergent selection, these traits will then be involved in both adaptation and mate preference. Such ‘magic traits’ easily generate sexual isolation and facilitate speciation. In this study, we show that imprinting occurs in two ecologically divergent stickleback species (benthics and limnetics: Gasterosteus spp.). Cross-fostered females preferred mates of their foster father's species. Furthermore, imprinting is essential for sexual isolation between species; isolation was reduced when females were raised without fathers. Daughters imprinted on father odour and colour during a critical period early in development. These traits have diverged between the species owing to differences in ecology. Therefore, we provide the first evidence that imprinting links ecological adaptation to sexual isolation between species. Our results suggest that imprinting may facilitate the evolution of sexual isolation during ecological speciation, may be especially important in cases of rapid diversification, and thus play an integral role in the generation of biodiversity. PMID:21270044

  3. Sexual imprinting on ecologically divergent traits leads to sexual isolation in sticklebacks.

    PubMed

    Kozak, Genevieve M; Head, Megan L; Boughman, Janette W

    2011-09-07

    During sexual imprinting, offspring learn parental phenotypes and then select mates who are similar to their parents. Imprinting has been thought to contribute to the process of speciation in only a few rare cases; this is despite imprinting's potential to generate assortative mating and solve the problem of recombination in ecological speciation. If offspring imprint on parental traits under divergent selection, these traits will then be involved in both adaptation and mate preference. Such 'magic traits' easily generate sexual isolation and facilitate speciation. In this study, we show that imprinting occurs in two ecologically divergent stickleback species (benthics and limnetics: Gasterosteus spp.). Cross-fostered females preferred mates of their foster father's species. Furthermore, imprinting is essential for sexual isolation between species; isolation was reduced when females were raised without fathers. Daughters imprinted on father odour and colour during a critical period early in development. These traits have diverged between the species owing to differences in ecology. Therefore, we provide the first evidence that imprinting links ecological adaptation to sexual isolation between species. Our results suggest that imprinting may facilitate the evolution of sexual isolation during ecological speciation, may be especially important in cases of rapid diversification, and thus play an integral role in the generation of biodiversity.

  4. Selective enrichment and separation of phosphotyrosine peptides by thermosensitive molecularly imprinted polymers.

    PubMed

    Yang, Xiaoqing; Xia, Yan

    2016-01-01

    Novel thermosensitive molecularly imprinted polymers were successfully prepared using the epitope imprinting approach in the presence of the mimic template phenylphosphonic acid, the functional monomer vinylphosphonic acid-Ti(4+) , the temperature-sensitive monomer N-isopropylacrylamide and the crosslinker N,N'-methylenebisacrylamide. The ratio of the template/thermosensitive monomers/crosslinker was optimized, and when the ratio was 2:2:1, the prepared thermosensitive molecularly imprinted polymers had the highest imprinting factor. The synthetic thermosensitive molecularly imprinted polymers were characterized by Fourier transform infrared spectroscopy to reveal the combination and elution processes of the template. Then, the adsorption capacity and thermosensitivity was measured. When the temperature was 28°C, the imprinting factor was the highest. The selectivity and adsorption capacity of the thermosensitive molecularly imprinted polymers for phosphotyrosine peptides from a mixture of three tailor-made peptides were measured by high-performance liquid chromatography. The results showed that the thermosensitive molecularly imprinted polymers have good selectivity for phosphotyrosine peptides. Finally, the imprinted hydrogels were applied to specifically adsorb phosphotyrosine peptides from a sample mixture containing phosphotyrosine and a tryptic digest of β-casein, which demonstrated high selectivity. After four rebinding cycles, 78.9% adsorption efficiency was still retained. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Lithography-free nanofluidic concentrator based on droplets-on-demand system

    NASA Astrophysics Data System (ADS)

    Yu, Miao; Zhou, Hongbo; Yao, Shuhuai

    2013-11-01

    Biomarkers are usually low-abundance proteins in biofluids and below detection limit of conventional biosensors. Nanofluidic concentration devices allow efficient biomolecules trapping by utilizing ion concentration polarization near nanochannels. However, once the electric field is turned off, the electrokinetic concentration plug cannot maintain its concentration status and starts to diffuse. In order to maintain the high concentration and extract the concentrated sample for further analysis, a good approach is to encapsulate these plugs into water-in-oil droplets. Here we developed a nanofluidic concentrator based on droplet-on-demand generator to encapsulate concentrated sample in nL droplets. The lithography-free nanochannels were patterned by thermal cracking on the surface of PS Petri-dish. The resulting nanochannel arrays were 30 nm in depth. In combination with microchannels on PDMS, the micro-nano hybrid chip was developed. We used FITC solution to demonstrate that the chip significantly increased the sample concentration for more than 100 folds within 5 minutes. By tuning the pulsed pressure imposed by the solenoid valve connected to the concentration channel, the system can generate a desired volume of droplet with a target sample concentration at a prescribed time. This work was supported by the Research Grants Council of Hong Kong under General Research Fund (Grant No. 621110).

  6. Identifying resonance frequency deviations for high order nano-wire ring resonator filters based on a coupling strength variation

    NASA Astrophysics Data System (ADS)

    Park, Sahnggi; Kim, Kap-Joong; Kim, Duk-Jun; Kim, Gyungock

    2009-02-01

    Third order ring resonators are designed and their resonance frequency deviations are analyzed experimentally by processing them with E-beam lithography and ICP etching in a CMOS nano-Fabrication laboratory. We developed a reliable method to identify and reduce experimentally the degree of deviation of each ring resonance frequency before completion of the fabrication process. The identified deviations can be minimized by the way to be presented in this paper. It is expected that this method will provide a significant clue to make a high order multi-channel ring resonators.

  7. Molecularly Imprinted Polymers: Novel Discovery for Drug Delivery.

    PubMed

    Dhanashree, Surve; Priyanka, Mohite; Manisha, Karpe; Vilasrao, Kadam

    2016-01-01

    Molecularly imprinted polymers (MIP) are novel carriers synthesized by imprinting of a template over a polymer. This paper presents the recent application of MIP for diagnostic and therapeutic drug delivery. MIP owing to their 3D polymeric structures and due to bond formation with the template serves as a reservoir of active causing stimuli sensitive, enantioselective, targetted and/or controlled release. The review elaborates about key factors for optimization of MIP, controlled release by MIP for various administration routes various forms like patches, contact lenses, nanowires along with illustrations. To overcome the limitation of organic solvent usage causing increased cost, water compatible MIP and use of supercritical fluid technology for molecular imprinting were developed. Novel methods for developing water compatible MIP like pickering emulsion polymerization, co-precipitation method, cyclodextrin imprinting, surface grafting, controlled/living radical chain polymerization methods are described with illustration in this review. Various protein imprinting methods like bulk, epitope and surface imprinting are described along with illustrations. Further, application of MIP in microdevices as biomimetic sensing element for personalized therapy is elaborated. Although development and application of MIP in drug delivery is still at its infancy, constant efforts of researchers will lead to a novel intelligent drug delivery with commercial value. Efforts should be directed in developing solid oral dosage forms consisting of MIP for therapeutic protein and peptide delivery and targeted release of potent drugs addressing life threatening disease like cancer. Amalgamation of bio-engineering and pharmaceutical techniques can make these future prospects into reality.

  8. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  9. Polymorphic Imprinting of SLC38A4 Gene in Bovine Placenta.

    PubMed

    Xu, Da; Zhang, Cui; Li, Junliang; Wang, Guannan; Chen, Weina; Li, Dongjie; Li, Shijie

    2018-05-21

    Imprinted genes are characterized by monoallelic expression that is dependent on parental origin. Comparative analysis of imprinted genes between species is a powerful tool for understanding the biological significance of genomic imprinting. The slc38a4 gene encodes a neutral amino acid transporter and is identified as imprinted in mice. In this study, the imprinting status of SLC38A4 was assessed in bovine adult tissues and placenta using a polymorphism-based approach. Results indicate that SLC38A4 is not imprinted in eight adult bovine tissues including heart, liver, spleen, lung, kidney, muscle, fat, and brain. It was interesting to note that SLC38A4 showed polymorphic status in five heterogeneous placentas, with three exhibiting paternal monoallelic expression and two exhibiting biallelic expression. Monoallelic expression of imprinted genes is generally associated with allele-specific differentially methylation regions (DMRs) of CpG islands (CGIs)-encompassed promoter; therefore, the DNA methylation statuses of three CGIs in the SLC38A4 promoter and exon 1 region were tested in three placentas (two exhibiting paternal monoallelic and one showing biallelic expression of SLC38A4) and their corresponding paternal sperms. Unexpectedly, extreme hypomethylation (< 3%) of the DNA was observed in all the three detected placentas and their corresponding paternal sperms. The absence of DMR in bovine SLC38A4 promoter region implied that DNA methylation of these three CGIs does not directly or indirectly affect the polymorphic imprinting of SLC38A4 in bovine placenta. This suggested other epigenetic features other than DNA methylation are needed in regulating the imprinting of bovine SLC38A4, which is different from that of mouse with respect to a DMR existence at the mouse's slc38a4 promoter region. Although further work is needed, this first characterization of polymorphic imprinting status of SLC38A4 in cattle placenta provides valuable information on investigating

  10. Silencing by imprinted noncoding RNAs: is transcription the answer?

    PubMed Central

    Pauler, Florian M.; Koerner, Martha V.; Barlow, Denise P.

    2010-01-01

    Non-coding RNAs (ncRNAs) with gene regulatory functions are starting to be seen as a common feature of mammalian gene regulation with the discovery that most of the transcriptome is ncRNA. The prototype has long been the Xist ncRNA, which induces X-chromosome inactivation in female cells. However, a new paradigm is emerging – the silencing of imprinted gene clusters by long ncRNAs. Here, we review models by which imprinted ncRNAs could function. We argue that an Xist-like model is only one of many possible solutions and that imprinted ncRNAs could provide the better model for understanding the function of the new class of ncRNAs associated with non-imprinted mammalian genes. PMID:17445943

  11. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  12. Demonstration of a neural circuit critical for imprinting behavior in chicks.

    PubMed

    Nakamori, Tomoharu; Sato, Katsushige; Atoji, Yasuro; Kanamatsu, Tomoyuki; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2010-03-24

    Imprinting behavior in birds is elicited by visual and/or auditory cues. It has been demonstrated previously that visual cues are recognized and processed in the visual Wulst (VW), and imprinting memory is stored in the intermediate medial mesopallium (IMM) of the telencephalon. Alteration of neural responses in these two regions according to imprinting has been reported, yet direct evidence of the neural circuit linking these two regions is lacking. Thus, it remains unclear how memory is formed and expressed in this circuit. Here, we present anatomical as well as physiological evidence of the neural circuit connecting the VW and IMM and show that imprinting training during the critical period strengthens and refines this circuit. A functional connection established by imprint training resulted in an imprinting behavior. After the closure of the critical period, training could not activate this circuit nor induce the imprinting behavior. Glutamatergic neurons in the ventroposterior region of the VW, the core region of the hyperpallium densocellulare (HDCo), sent their axons to the periventricular part of the HD, just dorsal and afferent to the IMM. We found that the HDCo is important in imprinting behavior. The refinement and/or enhancement of this neural circuit are attributed to increased activity of HDCo cells, and the activity depended on NR2B-containing NMDA receptors. These findings show a neural connection in the telencephalon in Aves and demonstrate that NR2B function is indispensable for the plasticity of HDCo cells, which are key mediators of imprinting.

  13. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  14. Bio-Mimetic Sensors Based on Molecularly Imprinted Membranes

    PubMed Central

    Algieri, Catia; Drioli, Enrico; Guzzo, Laura; Donato, Laura

    2014-01-01

    An important challenge for scientific research is the production of artificial systems able to mimic the recognition mechanisms occurring at the molecular level in living systems. A valid contribution in this direction resulted from the development of molecular imprinting. By means of this technology, selective molecular recognition sites are introduced in a polymer, thus conferring it bio-mimetic properties. The potential applications of these systems include affinity separations, medical diagnostics, drug delivery, catalysis, etc. Recently, bio-sensing systems using molecularly imprinted membranes, a special form of imprinted polymers, have received the attention of scientists in various fields. In these systems imprinted membranes are used as bio-mimetic recognition elements which are integrated with a transducer component. The direct and rapid determination of an interaction between the recognition element and the target analyte (template) was an encouraging factor for the development of such systems as alternatives to traditional bio-assay methods. Due to their high stability, sensitivity and specificity, bio-mimetic sensors-based membranes are used for environmental, food, and clinical uses. This review deals with the development of molecularly imprinted polymers and their different preparation methods. Referring to the last decades, the application of these membranes as bio-mimetic sensor devices will be also reported. PMID:25196110

  15. Chitosan in Molecularly-Imprinted Polymers: Current and Future Prospects.

    PubMed

    Xu, Long; Huang, Yun-An; Zhu, Qiu-Jin; Ye, Chun

    2015-08-07

    Chitosan is widely used in molecular imprinting technology (MIT) as a functional monomer or supporting matrix because of its low cost and high contents of amino and hydroxyl functional groups. The various excellent properties of chitosan, which include nontoxicity, biodegradability, biocompatibility, and attractive physical and mechanical performances, make chitosan a promising alternative to conventional functional monomers. Recently, chitosan molecularly-imprinted polymers have gained considerable attention and showed significant potential in many fields, such as curbing environmental pollution, medicine, protein separation and identification, and chiral-compound separation. These extensive applications are due to the polymers' desired selectivity, physical robustness, and thermal stability, as well as their low cost and easy preparation. Cross-linkers, which fix the functional groups of chitosan around imprinted molecules, play an important role in chitosan molecularly-imprinted polymers. This review summarizes the important cross-linkers of chitosan molecularly-imprinted polymers and illustrates the cross-linking mechanism of chitosan and cross-linkers based on the two glucosamine units. Finally, some significant attempts to further develop the application of chitosan in MIT are proposed.

  16. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  17. Optimization of Shipboard Manning Levels Using Imprint Pro Forces Module

    DTIC Science & Technology

    2015-09-01

    NPS-OR-15-008 NAVAL POSTGRADUATE SCHOOL MONTEREY, CALIFORNIA OPTIMIZATION OF SHIPBOARD MANNING LEVELS USING IMPRINT PRO...Optimization of Shipboard Manning Levels Using IMPRINT Pro Forces Module 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER...ABSTRACT The Improved Performance Research Integration Tool ( IMPRINT ) is a dynamic, stochastic, discrete-event modeling tool used to develop a model

  18. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  19. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  20. Synthesis of molecular imprinting polymers for extraction of gallic acid from urine.

    PubMed

    Bhawani, Showkat Ahmad; Sen, Tham Soon; Ibrahim, Mohammad Nasir Mohammad

    2018-02-21

    The molecularly imprinted polymers for gallic acid were synthesized by precipitation polymerization. During the process of synthesis a non-covalent approach was used for the interaction of template and monomer. In the polymerization process, gallic acid was used as a template, acrylic acid as a functional monomer, ethylene glycol dimethacrylate as a cross-linker and 2,2'-azobisisobutyronitrile as an initiator and acetonitrile as a solvent. The synthesized imprinted and non-imprinted polymer particles were characterized by using Fourier-transform infrared spectroscopy and scanning electron microscopy. The rebinding efficiency of synthesized polymer particles was evaluated by batch binding assay. The highly selective imprinted polymer for gallic acid was MIPI1 with a composition (molar ratio) of 1:4:20, template: monomer: cross-linker, respectively. The MIPI1 showed highest binding efficiency (79.50%) as compared to other imprinted and non-imprinted polymers. The highly selective imprinted polymers have successfully extracted about 80% of gallic acid from spiked urine sample.

  1. Imprinting Technology in Electrochemical Biomimetic Sensors

    PubMed Central

    Frasco, Manuela F.; Truta, Liliana A. A. N. A.; Sales, M. Goreti F.; Moreira, Felismina T. C.

    2017-01-01

    Biosensors are a promising tool offering the possibility of low cost and fast analytical screening in point-of-care diagnostics and for on-site detection in the field. Most biosensors in routine use ensure their selectivity/specificity by including natural receptors as biorecognition element. These materials are however too expensive and hard to obtain for every biochemical molecule of interest in environmental and clinical practice. Molecularly imprinted polymers have emerged through time as an alternative to natural antibodies in biosensors. In theory, these materials are stable and robust, presenting much higher capacity to resist to harsher conditions of pH, temperature, pressure or organic solvents. In addition, these synthetic materials are much cheaper than their natural counterparts while offering equivalent affinity and sensitivity in the molecular recognition of the target analyte. Imprinting technology and biosensors have met quite recently, relying mostly on electrochemical detection and enabling a direct reading of different analytes, while promoting significant advances in various fields of use. Thus, this review encompasses such developments and describes a general overview for building promising biomimetic materials as biorecognition elements in electrochemical sensors. It includes different molecular imprinting strategies such as the choice of polymer material, imprinting methodology and assembly on the transduction platform. Their interface with the most recent nanostructured supports acting as standard conductive materials within electrochemical biomimetic sensors is pointed out. PMID:28272314

  2. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  3. Deregulation of an imprinted gene network in prostate cancer

    PubMed Central

    Ribarska, Teodora; Goering, Wolfgang; Droop, Johanna; Bastian, Klaus-Marius; Ingenwerth, Marc; Schulz, Wolfgang A

    2014-01-01

    Multiple epigenetic alterations contribute to prostate cancer progression by deregulating gene expression. Epigenetic mechanisms, especially differential DNA methylation at imprinting control regions (termed DMRs), normally ensure the exclusive expression of imprinted genes from one specific parental allele. We therefore wondered to which extent imprinted genes become deregulated in prostate cancer and, if so, whether deregulation is due to altered DNA methylation at DMRs. Therefore, we selected presumptive deregulated imprinted genes from a previously conducted in silico analysis and from the literature and analyzed their expression in prostate cancer tissues by qRT-PCR. We found significantly diminished expression of PLAGL1/ZAC1, MEG3, NDN, CDKN1C, IGF2, and H19, while LIT1 was significantly overexpressed. The PPP1R9A gene, which is imprinted in selected tissues only, was strongly overexpressed, but was expressed biallelically in benign and cancerous prostatic tissues. Expression of many of these genes was strongly correlated, suggesting co-regulation, as in an imprinted gene network (IGN) reported in mice. Deregulation of the network genes also correlated with EZH2 and HOXC6 overexpression. Pyrosequencing analysis of all relevant DMRs revealed generally stable DNA methylation between benign and cancerous prostatic tissues, but frequent hypo- and hyper-methylation was observed at the H19 DMR in both benign and cancerous tissues. Re-expression of the ZAC1 transcription factor induced H19, CDKN1C and IGF2, supporting its function as a nodal regulator of the IGN. Our results indicate that a group of imprinted genes are coordinately deregulated in prostate cancers, independently of DNA methylation changes. PMID:24513574

  4. Deregulation of an imprinted gene network in prostate cancer.

    PubMed

    Ribarska, Teodora; Goering, Wolfgang; Droop, Johanna; Bastian, Klaus-Marius; Ingenwerth, Marc; Schulz, Wolfgang A

    2014-05-01

    Multiple epigenetic alterations contribute to prostate cancer progression by deregulating gene expression. Epigenetic mechanisms, especially differential DNA methylation at imprinting control regions (termed DMRs), normally ensure the exclusive expression of imprinted genes from one specific parental allele. We therefore wondered to which extent imprinted genes become deregulated in prostate cancer and, if so, whether deregulation is due to altered DNA methylation at DMRs. Therefore, we selected presumptive deregulated imprinted genes from a previously conducted in silico analysis and from the literature and analyzed their expression in prostate cancer tissues by qRT-PCR. We found significantly diminished expression of PLAGL1/ZAC1, MEG3, NDN, CDKN1C, IGF2, and H19, while LIT1 was significantly overexpressed. The PPP1R9A gene, which is imprinted in selected tissues only, was strongly overexpressed, but was expressed biallelically in benign and cancerous prostatic tissues. Expression of many of these genes was strongly correlated, suggesting co-regulation, as in an imprinted gene network (IGN) reported in mice. Deregulation of the network genes also correlated with EZH2 and HOXC6 overexpression. Pyrosequencing analysis of all relevant DMRs revealed generally stable DNA methylation between benign and cancerous prostatic tissues, but frequent hypo- and hyper-methylation was observed at the H19 DMR in both benign and cancerous tissues. Re-expression of the ZAC1 transcription factor induced H19, CDKN1C and IGF2, supporting its function as a nodal regulator of the IGN. Our results indicate that a group of imprinted genes are coordinately deregulated in prostate cancers, independently of DNA methylation changes.

  5. Molecularly imprinted polymers for separation of various sugars from human urine.

    PubMed

    Okutucu, Burcu; Onal, Seçil

    2011-12-15

    Molecularly imprinted polymers were the new, simple and unexpensive materials that can be used in several clinical applications. Phenylboronic acid has been frequently used as functional monomer for the covalent imprinting of diols. In this study, the phenylboronic acid esters of fructose, galactose, glucose and raffinose were synthesized and then used as template analytes. The adsorption capacities of fructose, galactose and glucose-phenylboronic acid imprinted polymers were 75, 10 and 30%, respectively. The batch rebinding studies and Scatchard analysis were done for all sugar imprinted polymer. Glucose is one of the mostly found sugar in the urine. The glucose:phenylboronic acid imprinted polymer was used for the analysis of glucose, fructose, galactose, sucrose, maltose, lactose and raffinose in spiked urine. The selectivity of glucose:phenylboronic acid imprinted polymer to urine monosaccharides was found as nearly 45-55% and to di- and polysaccharides was found as 30-35%, respectively. Copyright © 2011 Elsevier B.V. All rights reserved.

  6. Electropolymerized molecularly imprinted polypyrrole film for sensing of clofibric acid.

    PubMed

    Schweiger, Bianca; Kim, Jungtae; Kim, Young Jun; Ulbricht, Mathias

    2015-02-26

    Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD) of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueous ethanol solution, which was further optimized by using PAD. Zeta potential and contact angle measurements revealed differences between molecularly imprinted (MIP) and non-imprinted polymer (NIP) layers. Binding experiments with clofibric acid and other substances showed a pronounced selectivity of the MIP for clofibric acid vs. carbamazepine, but the response of MIP and NIP to 2,4-dichlorophenoxyacetic acid was higher than that for clofibric acid. A smooth surface, revealed by AFM measurements, with roughness of 6-8 nm for imprinted and non-imprinted layers, might be a reason for an excessively low density of specific binding sites for clofibric acid. Furthermore, the decreased polymerization rate in the presence of clofibric acid might not result in well-defined polymer structures, which could be the reason for the lower sensitivity.

  7. Electropolymerized Molecularly Imprinted Polypyrrole Film for Sensing of Clofibric Acid

    PubMed Central

    Schweiger, Bianca; Kim, Jungtae; Kim, Young Jun; Ulbricht, Mathias

    2015-01-01

    Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD) of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueous ethanol solution, which was further optimized by using PAD. Zeta potential and contact angle measurements revealed differences between molecularly imprinted (MIP) and non-imprinted polymer (NIP) layers. Binding experiments with clofibric acid and other substances showed a pronounced selectivity of the MIP for clofibric acid vs. carbamazepine, but the response of MIP and NIP to 2,4-dichlorophenoxyacetic acid was higher than that for clofibric acid. A smooth surface, revealed by AFM measurements, with roughness of 6–8 nm for imprinted and non-imprinted layers, might be a reason for an excessively low density of specific binding sites for clofibric acid. Furthermore, the decreased polymerization rate in the presence of clofibric acid might not result in well-defined polymer structures, which could be the reason for the lower sensitivity. PMID:25730487

  8. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Majumder, Apratim; Helms, Phillip L.; Menon, Rajesh, E-mail: rmenon@eng.utah.edu

    2016-03-15

    Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL), a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed amore » finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF) of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.« less

  9. Does imprint cytology improve the accuracy of transrectal prostate needle biopsy?

    PubMed

    Sayar, Hamide; Bulut, Burak Besir; Bahar, Abdulkadir Yasir; Bahar, Mustafa Remzi; Seringec, Nurten; Resim, Sefa; Çıralık, Harun

    2015-02-01

    To evaluate the accuracy of imprint cytology of core needle biopsy specimens in the diagnosis of prostate cancer. Between December 24, 2011 and May 9, 2013, patients with an abnormal DRE and/or serum PSA level of >2.5 ng/mL underwent transrectal prostate needle biopsy. Samples with positive imprint cytology but negative initial histologic exam underwent repeat sectioning and histological examination. 1,262 transrectal prostate needle biopsy specimens were evaluated from 100 patients. Malignant imprint cytology was found in 236 specimens (18.7%), 197 (15.6%) of which were confirmed by histologic examination, giving an initial 3.1% (n = 39) rate of discrepant results by imprint cytology. Upon repeat sectioning and histologic examination of these 39 biopsy samples, 14 (1.1% of the original specimens) were then diagnosed as malignant, 3 (0.2%) as atypical small acinar proliferation (ASAP), and 5 (0.4%) as high-grade prostatic intraepithelial neoplasia (HGPIN). Overall, 964 (76.4%) specimens were negative for malignancy by imprint cytology. Seven (0.6%) specimens were benign by cytology but malignant cells were found on histological evaluation. On imprint cytology examination, nonmalignant but abnormal findings were seen in 62 specimens (4.9%). These were all due to benign processes. After reexamination, the accuracy, sensitivity, specificity, positive predictive value, negative predictive value, false-positive rate, false-negative rate of imprint preparations were 98.1, 96.9, 98.4, 92.8, 99.3, 1.6, 3.1%, respectively. Imprint cytology is valuable tool for evaluating TRUS-guided core needle biopsy specimens from the prostate. Use of imprint cytology in combination with histopathology increases diagnostic accuracy when compared with histopathologic assessment alone. © 2014 Wiley Periodicals, Inc.

  10. A Survey for Novel Imprinted Genes in the Mouse Placenta by mRNA-seq

    PubMed Central

    Wang, Xu; Soloway, Paul D.; Clark, Andrew G.

    2011-01-01

    Many questions about the regulation, functional specialization, computational prediction, and evolution of genomic imprinting would be better addressed by having an exhaustive genome-wide catalog of genes that display parent-of-origin differential expression. As a first-pass scan for novel imprinted genes, we performed mRNA-seq experiments on embryonic day 17.5 (E17.5) mouse placenta cDNA samples from reciprocal cross F1 progeny of AKR and PWD mouse strains and quantified the allele-specific expression and the degree of parent-of-origin allelic imbalance. We confirmed the imprinting status of 23 known imprinted genes in the placenta and found that 12 genes reported previously to be imprinted in other tissues are also imprinted in mouse placenta. Through a well-replicated design using an orthogonal allelic-expression technology, we verified 5 novel imprinted genes that were not previously known to be imprinted in mouse (Pde10, Phf17, Phactr2, Zfp64, and Htra3). Our data suggest that most of the strongly imprinted genes have already been identified, at least in the placenta, and that evidence supports perhaps 100 additional weakly imprinted genes. Despite previous appearance that the placenta tends to display an excess of maternally expressed imprinted genes, with the addition of our validated set of placenta-imprinted genes, this maternal bias has disappeared. PMID:21705755

  11. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  12. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  13. EDITORIAL: The 1st International Conference on Nanomanufacturing (NanoMan2008) The 1st International Conference on Nanomanufacturing (NanoMan2008)

    NASA Astrophysics Data System (ADS)

    Luo, Jack Jiqui; Fang, Fengzhou

    2009-05-01

    Nanomanufacturing is an emerging technology in the field of synthesis of nanomaterials, manufacture of nanodevices, nanosystems and the relevant characterization technologies, and will greatly impact our society and environment: speeding up scientific discovery, technological development, improving healthcare and living standards and slowing down the exhaustion of energy resources, to name but few. The 1st International Conference on Nanomanufacturing (NanoMan2008) was held on the 13-16 July 2008 in Singapore in conjunction with ThinFilm2008 (The 4th International Conference on Technological Advances of Thin Films & Surface Coatings). Approximately 140 delegates from all over the world have participated in the conference and presented their latest discoveries and technological developments. The main focuses of the conference were modern nanomanufacturing by laser machining, focused ion beam fabrication, nano/micro-molding/imprinting, nanomaterial synthesis and characterization, nanometrology and nano/microsystems fabrication and characterization. There was also great interest in applications of nanomanufacturing technologies in traditional areas such as free form machining, polishing and grinding with nano-scale precision and the smoothness of surfaces of objects, and applications in space exploration, military and medicine. This special issue is devoted to NanoMan2008 with a collection of 9 invited talks presented at the conference, covering all the topics of nanomanufacturing technology and development. These papers have been upgraded by the authors with new results and discoveries since the preparation of the conference manuscripts, hence presenting the latest developments. We would like to take this opportunity to thank all the delegates who attended the conference and made the conference successful, and to the authors who contributed papers to this special issue. Thanks also go to the conference committee for their efforts and devotion to the conference. We

  14. Ag-Cu mixed phase plasmonic nanostructures fabricated by shadow nanosphere lithography and glancing angle co-deposition

    NASA Astrophysics Data System (ADS)

    Ingram, Whitney; Larson, Steven; Carlson, Daniel; Zhao, Yiping

    2017-01-01

    By combining shadow nanosphere lithography with a glancing angle co-deposition technique, mixed-phase Ag-Cu triangular nanopatterns and films were fabricated. They were prepared at different compositions with respect to Ag from 100% to 0% by changing the relative deposition ratio of each metal. Characterizations by ellipsometry, energy dispersive x-ray spectroscopy, and x-ray diffraction revealed that the thin films and nanopatterns were composed of small, well-mixed Ag and Cu nano-grains with a diameter less than 20 nm, and their optical properties could be described by an effective medium theory. All compositions of the nanopattern had the same shape, but showed tunable localized surface plasmon resonance (LSPR) properties. In general, the LSPR of the nanopatterns redshifted with decreasing composition. Such a relation could be fitted by an empirical model based on the bulk theory of alloy plasmonics. By changing the colloidal template and the material deposited, this fabrication technique can be used to produce other alloy plasmonic nanostructures with predicted LSPR wavelengths.

  15. Ag-Cu mixed phase plasmonic nanostructures fabricated by shadow nanosphere lithography and glancing angle co-deposition.

    PubMed

    Ingram, Whitney; Larson, Steven; Carlson, Daniel; Zhao, Yiping

    2017-01-06

    By combining shadow nanosphere lithography with a glancing angle co-deposition technique, mixed-phase Ag-Cu triangular nanopatterns and films were fabricated. They were prepared at different compositions with respect to Ag from 100% to 0% by changing the relative deposition ratio of each metal. Characterizations by ellipsometry, energy dispersive x-ray spectroscopy, and x-ray diffraction revealed that the thin films and nanopatterns were composed of small, well-mixed Ag and Cu nano-grains with a diameter less than 20 nm, and their optical properties could be described by an effective medium theory. All compositions of the nanopattern had the same shape, but showed tunable localized surface plasmon resonance (LSPR) properties. In general, the LSPR of the nanopatterns redshifted with decreasing composition. Such a relation could be fitted by an empirical model based on the bulk theory of alloy plasmonics. By changing the colloidal template and the material deposited, this fabrication technique can be used to produce other alloy plasmonic nanostructures with predicted LSPR wavelengths.

  16. Comparison of technologies for nano device prototyping with a special focus on ion beams: A review

    NASA Astrophysics Data System (ADS)

    Bruchhaus, L.; Mazarov, P.; Bischoff, L.; Gierak, J.; Wieck, A. D.; Hövel, H.

    2017-03-01

    Nano device prototyping (NDP) is essential for realizing and assessing ideas as well as theories in the form of nano devices, before they can be made available in or as commercial products. In this review, application results patterned similarly to those in the semiconductor industry (for cell phone, computer processors, or memory) will be presented. For NDP, some requirements are different: thus, other technologies are employed. Currently, in NDP, for many applications direct write Gaussian vector scan electron beam lithography (EBL) is used to define the required features in organic resists on this scale. We will take a look at many application results carried out by EBL, self-organized 3D epitaxy, atomic probe microscopy (scanning tunneling microscope/atomic force microscope), and in more detail ion beam techniques. For ion beam techniques, there is a special focus on those based upon liquid metal (alloy) ion sources, as recent developments have significantly increased their applicability for NDP.

  17. Imprinting and Recalling Cortical Ensembles

    PubMed Central

    Carrillo-Reid, Luis; Yang, Weijian; Bando, Yuki; Peterka, Darcy S.; Yuste, Rafael

    2017-01-01

    Neuronal ensembles are coactive groups of neurons that may represent emergent building blocks of neural circuits. They could be formed by Hebbian plasticity, whereby synapses between coactive neurons are strengthened. Here we report that repetitive activation with two-photon optogenetics of neuronal populations in visual cortex of awake mice generates artificially induced ensembles which recur spontaneously after being imprinted and do not disrupt preexistent ones. Moreover, imprinted ensembles can be recalled by single cell stimulation and remain coactive on consecutive days. Our results demonstrate the persistent reconfiguration of cortical circuits by two-photon optogenetics into neuronal ensembles that can perform pattern completion. PMID:27516599

  18. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  19. Genomic Imprinting Was Evolutionarily Conserved during Wheat Polyploidization.

    PubMed

    Yang, Guanghui; Liu, Zhenshan; Gao, Lulu; Yu, Kuohai; Feng, Man; Yao, Yingyin; Peng, Huiru; Hu, Zhaorong; Sun, Qixin; Ni, Zhongfu; Xin, Mingming

    2018-01-01

    Genomic imprinting is an epigenetic phenomenon that causes genes to be differentially expressed depending on their parent of origin. To evaluate the evolutionary conservation of genomic imprinting and the effects of ploidy on this process, we investigated parent-of-origin-specific gene expression patterns in the endosperm of diploid ( Aegilops spp), tetraploid, and hexaploid wheat ( Triticum spp) at various stages of development via high-throughput transcriptome sequencing. We identified 91, 135, and 146 maternally or paternally expressed genes (MEGs or PEGs, respectively) in diploid, tetraploid, and hexaploid wheat, respectively, 52.7% of which exhibited dynamic expression patterns at different developmental stages. Gene Ontology enrichment analysis suggested that MEGs and PEGs were involved in metabolic processes and DNA-dependent transcription, respectively. Nearly half of the imprinted genes exhibited conserved expression patterns during wheat hexaploidization. In addition, 40% of the homoeolog pairs originating from whole-genome duplication were consistently maternally or paternally biased in the different subgenomes of hexaploid wheat. Furthermore, imprinted expression was found for 41.2% and 50.0% of homolog pairs that evolved by tandem duplication after genome duplication in tetraploid and hexaploid wheat, respectively. These results suggest that genomic imprinting was evolutionarily conserved between closely related Triticum and Aegilops species and in the face of polyploid hybridization between species in these genera. © 2018 American Society of Plant Biologists. All rights reserved.

  20. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  1. Bisphenol A Exposure Disrupts Genomic Imprinting in the Mouse

    PubMed Central

    Susiarjo, Martha; Sasson, Isaac; Mesaros, Clementina; Bartolomei, Marisa S.

    2013-01-01

    Exposure to endocrine disruptors is associated with developmental defects. One compound of concern, to which humans are widely exposed, is bisphenol A (BPA). In model organisms, BPA exposure is linked to metabolic disorders, infertility, cancer, and behavior anomalies. Recently, BPA exposure has been linked to DNA methylation changes, indicating that epigenetic mechanisms may be relevant. We investigated effects of exposure on genomic imprinting in the mouse as imprinted genes are regulated by differential DNA methylation and aberrant imprinting disrupts fetal, placental, and postnatal development. Through allele-specific and quantitative real-time PCR analysis, we demonstrated that maternal BPA exposure during late stages of oocyte development and early stages of embryonic development significantly disrupted imprinted gene expression in embryonic day (E) 9.5 and 12.5 embryos and placentas. The affected genes included Snrpn, Ube3a, Igf2, Kcnq1ot1, Cdkn1c, and Ascl2; mutations and aberrant regulation of these genes are associated with imprinting disorders in humans. Furthermore, the majority of affected genes were expressed abnormally in the placenta. DNA methylation studies showed that BPA exposure significantly altered the methylation levels of differentially methylated regions (DMRs) including the Snrpn imprinting control region (ICR) and Igf2 DMR1. Moreover, exposure significantly reduced genome-wide methylation levels in the placenta, but not the embryo. Histological and immunohistochemical examinations revealed that these epigenetic defects were associated with abnormal placental development. In contrast to this early exposure paradigm, exposure outside of the epigenetic reprogramming window did not cause significant imprinting perturbations. Our data suggest that early exposure to common environmental compounds has the potential to disrupt fetal and postnatal health through epigenetic changes in the embryo and abnormal development of the placenta. PMID

  2. Elevated expression of brain-derived neurotrophic factor facilitates visual imprinting in chicks.

    PubMed

    Suzuki, Keiko; Maekawa, Fumihiko; Suzuki, Shingo; Nakamori, Tomoharu; Sugiyama, Hayato; Kanamatsu, Tomoyuki; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2012-12-01

    With the aim of elucidating the neural mechanisms of early learning, we studied the role of brain-derived neurotrophic factor (BDNF) in visual imprinting in birds. The telencephalic neural circuit connecting the visual Wulst and intermediate medial mesopallium is critical for imprinting, and the core region of the hyperpallium densocellulare (HDCo), situated at the center of this circuit, has a key role in regulating the activity of the circuit. We found that the number of BDNF mRNA-positive cells in the HDCo was elevated during the critical period, particularly at its onset, on the day of hatching (P0). After imprinting training on P1, BDNF mRNA-positive cells in the HDCo increased in number, and tyrosine phosphorylation of TrkB was observed. BDNF infusion into the HDCo at P1 induced imprinting, even with a weak training protocol that does not normally induce imprinting. In contrast, K252a, an antagonist of Trk, inhibited imprinting. Injection of BDNF at P7, after the critical period, did not elicit imprinting. These results suggest that BDNF promotes the induction of imprinting through TrkB exclusively during the critical period. © 2012 The Authors Journal of Neurochemistry © 2012 International Society for Neurochemistry.

  3. Molecularly imprinted titania nanoparticles for selective recognition and assay of uric acid

    NASA Astrophysics Data System (ADS)

    Mujahid, Adnan; Khan, Aimen Idrees; Afzal, Adeel; Hussain, Tajamal; Raza, Muhammad Hamid; Shah, Asma Tufail; uz Zaman, Waheed

    2015-06-01

    Molecularly imprinted titania nanoparticles are su ccessfully synthesized by sol-gel method for the selective recognition of uric acid. Atomic force microscopy is used to study the morphology of uric acid imprinted titania nanoparticles with diameter in the range of 100-150 nm. Scanning electron microscopy images of thick titania layer indicate the formation of fine network of titania nanoparticles with uniform distribution. Molecular imprinting of uric acid as well as its subsequent washing is confirmed by Fourier transformation infrared spectroscopy measurements. Uric acid rebinding studies reveal the recognition capability of imprinted particles in the range of 0.01-0.095 mmol, which is applicable in monitoring normal to elevated levels of uric acid in human blood. The optical shift (signal) of imprinted particles is six times higher in comparison with non-imprinted particles for the same concentration of uric acid. Imprinted titania particles have shown substantially reduced binding affinity toward interfering and structurally related substances, e.g. ascorbic acid and guanine. These results suggest the possible application of titania nanoparticles in uric acid recognition and quantification in blood serum.

  4. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  5. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  6. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  7. Nano-porous electrode systems by colloidal lithography for sensitive electrochemical detection: fabrication technology and properties

    NASA Astrophysics Data System (ADS)

    Lohmüller, Theobald; Müller, Ulrich; Breisch, Stefanie; Nisch, Wilfried; Rudorf, Ralf; Schuhmann, Wolfgang; Neugebauer, Sebastian; Kaczor, Markus; Linke, Stephan; Lechner, Sebastian; Spatz, Joachim; Stelzle, Martin

    2008-11-01

    A porous metal-insulator-metal sensor system was developed with the ultimate goal of enhancing the sensitivity of electrochemical sensors by taking advantage of redox cycling of electro active molecules between closely spaced electrodes. The novel fabrication technology is based on thin film deposition in combination with colloidal self-assembly and reactive ion etching to create micro- or nanopores. This cost effective approach is advantageous compared to common interdigitated electrode arrays (IDA) since it does not require high definition lithography technology. Spin-coating and random particle deposition, combined with a new sublimation process are discussed as competing strategies to generate monolayers of colloidal spheres. Metal-insulator-metal layer systems with low leakage currents < 10 pA and an insulator thickness as low as 100 nm were obtained at high yield (typically > 90%). We also discuss possible causes of sensor failure with respect to critical fabrication processes. Short circuits which could occur during or as a result of the pore etching process were investigated in detail. Infrared microscopy in combination with focused ion beam etching/SEM were used to reveal a defect mechanism creating interconnects and increased leakage current between the top and bottom electrodes. Redox cycling provides for amplification factors of >100. A general applicability for electrochemical diagnostic assays is therefore anticipated.

  8. Molecular imprinting-chemiluminescence determination of trimethoprim using trimethoprim-imprinted polymer as recognition material.

    PubMed

    He, Yunhua; Lu, Jiuru; Liu, Mei; Du, Jianxiu

    2005-07-01

    A new molecular imprinting-chemiluminescence method for the determination of trimethoprim was developed, in which trimethoprim-imprinted polymer was used as the molecular recognition material and the CL reaction of trimethoprim with potassium permanganate in acidic medium was used as the detection system. The CL intensity responds linearly to the concentration of trimethoprim within the 5.0 x 10(-8)-5.0 x 10(-6) g mL(-1) range (r= 0.9983) with a detection limit of 2 x 10(-8) g mL(-1). The relative standard deviation for the determination of 1.0 x 10(-7) g mL(-1) trimethoprim solutions is 4.8% (n= 9). The method has been applied to the determination of trimethoprim in pharmaceutical preparations and body fluids, and satisfactory results were obtained.

  9. Nanostructured surfaces using thermal nanoimprint lithography: Applications in thin membrane technology, piezoelectric energy harvesting and tactile pressure sensing

    NASA Astrophysics Data System (ADS)

    Nabar, Bhargav Pradip

    Nanoimprint lithography (NIL) is emerging as a viable contender for fabrication of large-scale arrays of 5-500 nm features. The work presented in this dissertation aims to leverage the advantages of NIL for realization of novel Nano Electro Mechanical Systems (NEMS). The first application is a nanoporous membrane blood oxygenator system. A fabrication process for realization of thin nanoporous membranes using thermal nanoimprint lithography is presented. Suspended silicon nitride membranes were fabricated by Low-Pressure Chemical Vapor Deposition (LPCVD) in conjunction with a potassium hydroxide-based bulk micromachining process. Nanoscale features were imprinted into a commercially available thermoplastic polymer resist using a pre-fabricated silicon mold. The pattern was reversed and transferred to a thin aluminum oxide layer by means of a novel two stage lift-off technique. The patterned aluminum oxide was used as an etch mask in a CHF3/He based reactive ion etch process to transfer the pattern to silicon nitride. Highly directional etch profiles with near vertical sidewalls and excellent Si3N4/Al2O3 etch selectivity was observed. One-micrometer-thick porous membranes with varying dimensions of 250x250 microm2 to 450x450 microm 2 and pore diameter of 400 nm have been engineered and evaluated. Results indicate that the membranes have consistent nanopore dimensions and precisely defined porosity, which makes them ideal as gas exchange interfaces in blood oxygenation systems as well as other applications such as dialysis. Additionally, bulk -- micromachined microfluidic channels have been developed for uniform, laminar blood flow with minimal cell trauma. NIL has been used for ordered growth of crystalline nanostructures for sensing and energy harvesting. Highly ordered arrays of crystalline ZnO nanorods have been fabricated using a polymer template patterned by thermal nanoimprint lithography, in conjunction with a low temperature hydrothermal growth process. Zinc

  10. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  11. Evaluation of Allelic Expression of Imprinted Genes in Adult Human Blood

    PubMed Central

    Frost, Jennifer M.; Monk, Dave; Stojilkovic-Mikic, Taita; Woodfine, Kathryn; Chitty, Lyn S.; Murrell, Adele; Stanier, Philip; Moore, Gudrun E.

    2010-01-01

    Background Imprinted genes are expressed from only one allele in a parent-of-origin dependent manner. Loss of imprinted (LOI) expression can result in a variety of human disorders and is frequently reported in cancer. Biallelic expression of imprinted genes in adult blood has been suggested as a useful biomarker and is currently being investigated in colorectal cancer. In general, the expression profiles of imprinted genes are well characterised during human and mouse fetal development, but not in human adults. Methodology/Principal Findings We investigated quantitative expression of 36 imprinted genes in adult human peripheral blood leukocytes obtained from healthy individuals. Allelic expression was also investigated in B and T lymphocytes and myeloid cells. We found that 21 genes were essentially undetectable in adult blood. Only six genes were demonstrably monoallelic, and most importantly, we found that nine genes were either biallelic or showed variable expression in different individuals. Separated leukocyte populations showed the same expression patterns as whole blood. Differential methylation at each of the imprinting control loci analysed was maintained, including regions that contained biallelically expressed genes. This suggests in some cases methylation has become uncoupled from its role in regulating gene expression. Conclusions/Significance We conclude that only a limited set of imprinted genes, including IGF2 and SNRPN, may be useful for LOI cancer biomarker studies. In addition, blood is not a good tissue to use for the discovery of new imprinted genes. Finally, lymphocyte DNA methylation status in the adult may not always be a reliable indicator of monoallelic gene expression. PMID:21042416

  12. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  13. Early imprinting in wild and game-farm mallards (Anas platyrhynchos): genotype and arousal

    USGS Publications Warehouse

    Cheng, K.M.; Shoffner, R.N.; Phillips, R.E.; Shapiro, L.J.

    1979-01-01

    Early imprinting was studied under laboratory conditions in five lines of mallards (Anas platyrhynchos) with different degrees of wildness obtained through pedigreed breeding. Data were analyzed by the least squares method. Wild ducklings imprinted better than game-farm (domesticated) ducklings, and heterosis was demonstrated to exist in imprinting traits. Nonadditive genetic variations and genotype-environmental interactions are discussed as possible causes for the heterosis observed. Differences in imprinting between genetic lines are attributed, at least partly, to differences in arousal level during the ducklings' first exposure to the imprinting stimulus.

  14. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  15. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  16. Methods of epigenome editing for probing the function of genomic imprinting.

    PubMed

    Rienecker, Kira DA; Hill, Matthew J; Isles, Anthony R

    2016-10-01

    The curious patterns of imprinted gene expression draw interest from several scientific disciplines to the functional consequences of genomic imprinting. Methods of probing the function of imprinting itself have largely been indirect and correlational, relying heavily on conventional transgenics. Recently, the burgeoning field of epigenome editing has provided new tools and suggested strategies for asking causal questions with site specificity. This perspective article aims to outline how these new methods may be applied to questions of functional imprinting and, with this aim in mind, to suggest new dimensions for the expansion of these epigenome-editing tools.

  17. Producing superfluid circulation states using phase imprinting

    NASA Astrophysics Data System (ADS)

    Kumar, Avinash; Dubessy, Romain; Badr, Thomas; De Rossi, Camilla; de Goër de Herve, Mathieu; Longchambon, Laurent; Perrin, Hélène

    2018-04-01

    We propose a method to prepare states of given quantized circulation in annular Bose-Einstein condensates (BEC) confined in a ring trap using the method of phase imprinting without relying on a two-photon angular momentum transfer. The desired phase profile is imprinted on the atomic wave function using a short light pulse with a tailored intensity pattern generated with a spatial light modulator. We demonstrate the realization of "helicoidal" intensity profiles suitable for this purpose. Due to the diffraction limit, the theoretical steplike intensity profile is not achievable in practice. We investigate the effect of imprinting an intensity profile smoothed by a finite optical resolution onto the annular BEC with a numerical simulation of the time-dependent Gross-Pitaevskii equation. This allows us to optimize the intensity pattern for a given target circulation to compensate for the limited resolution.

  18. Gas Sensors Based on Molecular Imprinting Technology.

    PubMed

    Zhang, Yumin; Zhang, Jin; Liu, Qingju

    2017-07-04

    Molecular imprinting technology (MIT); often described as a method of designing a material to remember a target molecular structure (template); is a technique for the creation of molecularly imprinted polymers (MIPs) with custom-made binding sites complementary to the target molecules in shape; size and functional groups. MIT has been successfully applied to analyze; separate and detect macromolecular organic compounds. Furthermore; it has been increasingly applied in assays of biological macromolecules. Owing to its unique features of structure specificity; predictability; recognition and universal application; there has been exploration of the possible application of MIPs in the field of highly selective gas sensors. In this present study; we outline the recent advances in gas sensors based on MIT; classify and introduce the existing molecularly imprinted gas sensors; summarize their advantages and disadvantages; and analyze further research directions.

  19. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  20. Genes Downregulated in Endometriosis Are Located Near the Known Imprinting Genes

    PubMed Central

    Higashiura, Yumi; Koike, Natsuki; Akasaka, Juria; Uekuri, Chiharu; Iwai, Kana; Niiro, Emiko; Morioka, Sachiko; Yamada, Yuki

    2014-01-01

    There is now accumulating evidence that endometriosis is a disease associated with an epigenetic disorder. Genomic imprinting is an epigenetic phenomenon known to regulate DNA methylation of either maternal or paternal alleles. We hypothesize that hypermethylated endometriosis-associated genes may be enriched at imprinted gene loci. We sought to determine whether downregulated genes associated with endometriosis susceptibility are associated with chromosomal location of the known paternally and maternally expressed imprinting genes. Gene information has been gathered from National Center for Biotechnology Information database geneimprint.com. Several researchers have identified specific loci with strong DNA methylation in eutopic endometrium and ectopic lesion with endometriosis. Of the 29 hypermethylated genes in endometriosis, 19 genes were located near 45 known imprinted foci. There may be an association of the genomic location between genes specifically downregulated in endometriosis and epigenetically imprinted genes. PMID:24615936

  1. Preparation and recognition of surface molecularly imprinted core-shell microbeads for protein in aqueous solutions

    NASA Astrophysics Data System (ADS)

    Lu, Yan; Yan, Chang-Ling; Gao, Shu-Yan

    2009-04-01

    In this paper, a surface molecular imprinting technique was reported for preparing core-shell microbeads of protein imprinting, and bovine hemoglobin or bovine serum albumin were used as model proteins for studying the imprinted core-shell microbeads. 3-Aminophenylboronic acid (APBA) was polymerized onto the surface of polystyrene microbead in the presence of the protein templates to create protein-imprinted core-shell microbeads. The various samples were characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and Brunauer-Emmett-Teller (BET) methods. The effect of pH on rebinding of the template hemoglobin, the specific binding and selective recognition were studied for the imprinted microbeads. The results show that the bovine hemoglobin-imprinted core-shell microbeads were successfully created. The shell was a sort of imprinted thin films with porous structure and larger surface areas. The imprinted microbeads have good selectivity for templates and high stability. Due to the recognition sites locating at or closing to the surface, these imprinted microbeads have good property of mass-transport. Unfortunately, the imprint technology was not successfully applied to imprinting bovine serum albumin (BSA).

  2. Influence of surface-imprinted nanoparticles on trypsin activity.

    PubMed

    Guerreiro, António; Poma, Alessandro; Karim, Kal; Moczko, Ewa; Takarada, Jessica; de Vargas-Sansalvador, Isabel Perez; Turner, Nicholas; Piletska, Elena; de Magalhães, Cristiana Schmidt; Glazova, Natalia; Serkova, Anastasia; Omelianova, Aleksandra; Piletsky, Sergey

    2014-09-01

    Here, the modulation of enzyme activity is presented by protein-imprinted nanoparticles produced using a solid-phase approach. Using trypsin as target, binding of the nanoparticles to the enzyme results in its inhibition or in stabilization, depending on the orientation of the immobilized enzyme used during imprinting. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. [Evolution of genomic imprinting in mammals: what a zoo!].

    PubMed

    Proudhon, Charlotte; Bourc'his, Déborah

    2010-05-01

    Genomic imprinting imposes an obligate mode of biparental reproduction in mammals. This phenomenon results from the monoparental expression of a subset of genes. This specific gene regulation mechanism affects viviparous mammals, especially eutherians, but also marsupials to a lesser extent. Oviparous mammals, or monotremes, do not seem to demonstrate monoparental allele expression. This phylogenic confinement suggests that the evolution of the placenta imposed a selective pressure for the emergence of genomic imprinting. This physiological argument is now complemented by recent genomic evidence facilitated by the sequencing of the platypus genome, a rare modern day case of a monotreme. Analysis of the platypus genome in comparison to eutherian genomes shows a chronological and functional coincidence between the appearance of genomic imprinting and transposable element accumulation. The systematic comparative analyses of genomic sequences in different species is essential for the further understanding of genomic imprinting emergence and divergent evolution along mammalian speciation.

  4. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  5. Determination of fusaric acid in maize using molecularly imprinted SPE clean-up

    USDA-ARS?s Scientific Manuscript database

    A new liquid chromatography method to detect fusaric acid in maize is reported based on molecularly imprinted polymer solid phase extraction clean-up (MISPE) using mimic-templated molecularly-imprinted polymers. Picolinic acid was used as a toxin analog for imprinting polymers during a thermolytic s...

  6. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    NASA Astrophysics Data System (ADS)

    Uygun, Murat; Feyzioğlu, Esra; Özçalışkan, Emir; Caka, Müşerref; Ergen, Aygen; Akgöl, Sinan; Denizli, Adil

    2013-08-01

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO3 solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m2/g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities.

  7. Imprinting modulates processing of visual information in the visual wulst of chicks.

    PubMed

    Maekawa, Fumihiko; Komine, Okiru; Sato, Katsushige; Kanamatsu, Tomoyuki; Uchimura, Motoaki; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2006-11-14

    Imprinting behavior is one form of learning and memory in precocial birds. With the aim of elucidating of the neural basis for visual imprinting, we focused on visual information processing. A lesion in the visual wulst, which is similar functionally to the mammalian visual cortex, caused anterograde amnesia in visual imprinting behavior. Since the color of an object was one of the important cues for imprinting, we investigated color information processing in the visual wulst. Intrinsic optical signals from the visual wulst were detected in the early posthatch period and the peak regions of responses to red, green, and blue were spatially organized from the caudal to the nasal regions in dark-reared chicks. This spatial representation of color recognition showed plastic changes, and the response pattern along the antero-posterior axis of the visual wulst altered according to the color the chick was imprinted to. These results indicate that the thalamofugal pathway is critical for learning the imprinting stimulus and that the visual wulst shows learning-related plasticity and may relay processed visual information to indicate the color of the imprint stimulus to the memory storage region, e.g., the intermediate medial mesopallium.

  8. Imprinting modulates processing of visual information in the visual wulst of chicks

    PubMed Central

    Maekawa, Fumihiko; Komine, Okiru; Sato, Katsushige; Kanamatsu, Tomoyuki; Uchimura, Motoaki; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2006-01-01

    Background Imprinting behavior is one form of learning and memory in precocial birds. With the aim of elucidating of the neural basis for visual imprinting, we focused on visual information processing. Results A lesion in the visual wulst, which is similar functionally to the mammalian visual cortex, caused anterograde amnesia in visual imprinting behavior. Since the color of an object was one of the important cues for imprinting, we investigated color information processing in the visual wulst. Intrinsic optical signals from the visual wulst were detected in the early posthatch period and the peak regions of responses to red, green, and blue were spatially organized from the caudal to the nasal regions in dark-reared chicks. This spatial representation of color recognition showed plastic changes, and the response pattern along the antero-posterior axis of the visual wulst altered according to the color the chick was imprinted to. Conclusion These results indicate that the thalamofugal pathway is critical for learning the imprinting stimulus and that the visual wulst shows learning-related plasticity and may relay processed visual information to indicate the color of the imprint stimulus to the memory storage region, e.g., the intermediate medial mesopallium. PMID:17101060

  9. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  10. Imprinting and recalling cortical ensembles.

    PubMed

    Carrillo-Reid, Luis; Yang, Weijian; Bando, Yuki; Peterka, Darcy S; Yuste, Rafael

    2016-08-12

    Neuronal ensembles are coactive groups of neurons that may represent building blocks of cortical circuits. These ensembles could be formed by Hebbian plasticity, whereby synapses between coactive neurons are strengthened. Here we report that repetitive activation with two-photon optogenetics of neuronal populations from ensembles in the visual cortex of awake mice builds neuronal ensembles that recur spontaneously after being imprinted and do not disrupt preexisting ones. Moreover, imprinted ensembles can be recalled by single- cell stimulation and remain coactive on consecutive days. Our results demonstrate the persistent reconfiguration of cortical circuits by two-photon optogenetics into neuronal ensembles that can perform pattern completion. Copyright © 2016, American Association for the Advancement of Science.

  11. The effects of social rearing on preferences formed during filial imprinting and their neural correlates.

    PubMed

    Town, Stephen Michael

    2011-08-01

    Filial imprinting was originally proposed to be an irreversible process by which a young animal forms a preference for an object experienced early in life. The present study examined the effects of experience after imprinting on the stability of preferences of domestic chicks (Gallus gallus domesticus) for an imprinting stimulus by rearing imprinted chicks socially or in isolation. Chicks reared socially or in isolation retained preferences for the imprinting stimulus; however, social rearing weakened the strength of preferences. The responses of neurons within the intermediate and medial mesopallium--a forebrain region necessary for imprinting were also recorded in socially reared and isolated chicks when presented with the visual component of the imprinting stimulus and novel object. Consistent with existing findings, neurons recorded from isolated chicks responded more strongly to the imprinting stimulus than novel object. However, social rearing diminished the disparity between responses to stimuli such that neurons recorded from socially reared chicks responded similarly to the imprinting stimulus and novel object. These findings suggest that social rearing may impair the retention of preferences formed during imprinting through mechanisms involving the IMM.

  12. High aspect ratio nano-fabrication of photonic crystal structures on glass wafers using chrome as hard mask.

    PubMed

    Hossain, Md Nazmul; Justice, John; Lovera, Pierre; McCarthy, Brendan; O'Riordan, Alan; Corbett, Brian

    2014-09-05

    Wafer-scale nano-fabrication of silicon nitride (Si x N y ) photonic crystal (PhC) structures on glass (quartz) substrates is demonstrated using a thin (30 nm) chromium (Cr) layer as the hard mask for transferring the electron beam lithography (EBL) defined resist patterns. The use of the thin Cr layer not only solves the charging effect during the EBL on the insulating substrate, but also facilitates high aspect ratio PhCs by acting as a hard mask while deep etching into the Si x N y . A very high aspect ratio of 10:1 on a 60 nm wide grating structure has been achieved while preserving the quality of the flat top of the narrow lines. The presented nano-fabrication method provides PhC structures necessary for a high quality optical response. Finally, we fabricated a refractive index based PhC sensor which shows a sensitivity of 185 nm per RIU.

  13. Short interspersed transposable elements (SINEs) are excluded from imprinted regions in the human genome.

    PubMed

    Greally, John M

    2002-01-08

    To test whether regions undergoing genomic imprinting have unique genomic characteristics, imprinted and nonimprinted human loci were compared for nucleotide and retroelement composition. Maternally and paternally expressed subgroups of imprinted genes were found to differ in terms of guanine and cytosine, CpG, and retroelement content, indicating a segregation into distinct genomic compartments. Imprinted regions have been normally permissive to L1 long interspersed transposable element retroposition during mammalian evolution but universally and significantly lack short interspersed transposable elements (SINEs). The primate-specific Alu SINEs, as well as the more ancient mammalian-wide interspersed repeat SINEs, are found at significantly low densities in imprinted regions. The latter paleogenomic signature indicates that the sequence characteristics of currently imprinted regions existed before the mammalian radiation. Transitions from imprinted to nonimprinted genomic regions in cis are characterized by a sharp inflection in SINE content, demonstrating that this genomic characteristic can help predict the presence and extent of regions undergoing imprinting. During primate evolution, SINE accumulation in imprinted regions occurred at a decreased rate compared with control loci. The constraint on SINE accumulation in imprinted regions may be mediated by an active selection process. This selection could be because of SINEs attracting and spreading methylation, as has been found at other loci. Methylation-induced silencing could lead to deleterious consequences at imprinted loci, where inactivation of one allele is already established, and expression is often essential for embryonic growth and survival.

  14. Short interspersed transposable elements (SINEs) are excluded from imprinted regions in the human genome

    PubMed Central

    Greally, John M.

    2002-01-01

    To test whether regions undergoing genomic imprinting have unique genomic characteristics, imprinted and nonimprinted human loci were compared for nucleotide and retroelement composition. Maternally and paternally expressed subgroups of imprinted genes were found to differ in terms of guanine and cytosine, CpG, and retroelement content, indicating a segregation into distinct genomic compartments. Imprinted regions have been normally permissive to L1 long interspersed transposable element retroposition during mammalian evolution but universally and significantly lack short interspersed transposable elements (SINEs). The primate-specific Alu SINEs, as well as the more ancient mammalian-wide interspersed repeat SINEs, are found at significantly low densities in imprinted regions. The latter paleogenomic signature indicates that the sequence characteristics of currently imprinted regions existed before the mammalian radiation. Transitions from imprinted to nonimprinted genomic regions in cis are characterized by a sharp inflection in SINE content, demonstrating that this genomic characteristic can help predict the presence and extent of regions undergoing imprinting. During primate evolution, SINE accumulation in imprinted regions occurred at a decreased rate compared with control loci. The constraint on SINE accumulation in imprinted regions may be mediated by an active selection process. This selection could be because of SINEs attracting and spreading methylation, as has been found at other loci. Methylation-induced silencing could lead to deleterious consequences at imprinted loci, where inactivation of one allele is already established, and expression is often essential for embryonic growth and survival. PMID:11756672

  15. Schedules of electric shock presentation in the behavioral control of imprinted ducklings.

    PubMed

    Barrett, J E

    1972-09-01

    The behavioral effects of various schedules of electric shock presentation were investigated during and after the imprinting of Peking ducklings to moving stimuli. The behavior of following a moving imprinted stimulus was differentially controlled by a multiple schedule of punishment and avoidance that respectively suppressed and maintained following behavior. Pole-pecking, reinforced by presentations of the imprinted stimulus, was suppressed by response-produced shock (punishment); various schedules of response-independent shock and delayed punishment had an overall minimal effect. The delivery of response-independent shock in the presence of one of two stimuli, both during and after imprinting, resulted in a marked reduction in choice of the stimulus paired with shock. The experiments provide no support for a differentiation of imprinting from learning on the basis of the behavioral effects of aversive stimuli. Instead, as is the case with other organisms, the schedule under which shock is delivered to imprinted ducklings appears to be an important determinant of the temporal patterning of subsequent behavior.

  16. Evidence of Olfactory Imprinting at an Early Life Stage in Pink Salmon (Oncorhynchus gorbuscha)

    PubMed Central

    Bett, Nolan N.; Hinch, Scott G.; Dittman, Andrew H.; Yun, Sang-Seon

    2016-01-01

    Pacific salmon (Oncorhynchus spp.) navigate towards spawning grounds using olfactory cues they imprinted on as juveniles. The timing at which imprinting occurs has been studied extensively, and there is strong evidence that salmon imprint on their natal water during the parr-smolt transformation (PST). Researchers have noted, however, that the life histories of some species of Pacific salmon could necessitate imprinting prior to the PST. Juvenile pink salmon (O. gorbuscha) spend less time in fresh water than any other species of Pacific salmon, and presumably must imprint on their natal water at a very young age. The time at which imprinting occurs in this species, however, has not been experimentally tested. We exposed juvenile pink salmon as alevins to phenethyl alcohol (PEA) or control water, reared these fish to adulthood, and then tested their behavioural responses to PEA to determine whether the fish successfully imprinted. We found that pink salmon exposed to PEA as alevins were attracted to the chemical as adults, suggesting that imprinting can occur during this stage. Our finding provides some of the first evidence to support the long-standing belief that imprinting can occur in pink salmon prior to the PST. PMID:27827382

  17. Evidence of Olfactory Imprinting at an Early Life Stage in Pink Salmon (Oncorhynchus gorbuscha).

    PubMed

    Bett, Nolan N; Hinch, Scott G; Dittman, Andrew H; Yun, Sang-Seon

    2016-11-09

    Pacific salmon (Oncorhynchus spp.) navigate towards spawning grounds using olfactory cues they imprinted on as juveniles. The timing at which imprinting occurs has been studied extensively, and there is strong evidence that salmon imprint on their natal water during the parr-smolt transformation (PST). Researchers have noted, however, that the life histories of some species of Pacific salmon could necessitate imprinting prior to the PST. Juvenile pink salmon (O. gorbuscha) spend less time in fresh water than any other species of Pacific salmon, and presumably must imprint on their natal water at a very young age. The time at which imprinting occurs in this species, however, has not been experimentally tested. We exposed juvenile pink salmon as alevins to phenethyl alcohol (PEA) or control water, reared these fish to adulthood, and then tested their behavioural responses to PEA to determine whether the fish successfully imprinted. We found that pink salmon exposed to PEA as alevins were attracted to the chemical as adults, suggesting that imprinting can occur during this stage. Our finding provides some of the first evidence to support the long-standing belief that imprinting can occur in pink salmon prior to the PST.

  18. Low-cost, high-sensitivity SERS nano-bio-chip for kinase profiling, drug monitoring and environmental detection: a translational platform technology

    NASA Astrophysics Data System (ADS)

    Chen, Yi; Liu, Logan

    2014-03-01

    The interaction of biomolecules and solid-state nanomaterials at the nano-bio interfaces is a long-lasting research topic in nanotechnology. Historically, fundamental problems, such as the electron transfer, energy transfer, and plasmonic interaction at the bio-nano interfaces, have been intensively studied, and revolutionary technologies, such as molecular electronics, peptide chips, nanoplasmonic sensors, have been created. With the combined effort of molecular dynamics simulation and surface-enhanced Raman spectroscopy, we studied the external electric field-induced conformation changes of dodecapeptide probes tethered to a nanostructured metallic surface. Through this study, we demonstrated a reversible manipulation of the biomolecule conformations as well as an in situ eletro-optical detection of the subnanometer conformational changes at the bio-nano interfaces. Based on the proof-of-concept established in this study, we further propose a novel nanophotonic peptide phosphorylation sensor for high-sensitive peptide kinase profiling. We have also demonstrated the same SERS nano-bio-chip can be used for environmental monitoring applications, such as detection of contaminants in drinking water at ultralow concentrates. The fabrication of this nanosensor is based on a single step, lithography-less nanomanufacturing process, which can produce hundreds of these chips in several minutes with nearly 100% yield and uniformity. Therefore, the demonstrated research can be readily translated into industrial mass productions.

  19. Synthesis of molecularly imprinted dye-silica nanocomposites with high selectivity and sensitivity: Fluorescent imprinted sensor for rapid and efficient detection of τ-fluvalinate in vodka.

    PubMed

    Wang, Yunyun; Wang, Jixiang; Cheng, Rujia; Sun, Lin; Dai, Xiaohui; Yan, Yongsheng

    2018-04-01

    An imprinted fluorescent sensor was fabricated based on SiO 2 nanoparticles encapsulated with a molecularly imprinted polymer containing allyl fluorescein. High fluorine cypermethirin as template molecules, methyl methacrylate as functional monomer, and allyl fluorescein as optical materials synthesized a core-shell fluorescent molecular imprinted sensor, which showed a high and rapid sensitivity and selectivity for the detection of τ-fluvalinate. The sensor presented appreciable sensitivity with a limit of 13.251 nM, rapid detection that reached to equilibrium within 3 min, great linear relationship in the relevant concentration range from 0 to 150 nM, and excellent selectivity over structural analogues. In addition, the fluorescent sensor demonstrated desirable regeneration ability (eight cycling operations). The molecularly imprinted polymers ensured specificity, while the fluorescent dyes provided the stabile sensitivity. Finally, an effective application of the sensor was implemented by the detection of τ-fluvalinate in real samples from vodka. The molecularly imprinted fluorescent sensor showed a promising potential in environmental monitoring and food safety. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  1. Fabrication of a cost-effective polymer nanograting as a disposable plasmonic biosensor using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Mohapatra, Saswat; Kumari, Sudha; Moirangthem, Rakesh S.

    2017-07-01

    A simple and cost-effective flexible plasmonic sensor is developed using a gold-coated polymer nanograting structure prepared via soft UV nanoimprint lithography. The sub-wavelength nanograting patterns of digital versatile discs were used as a template to prepare the polydimethylsiloxane stamp. The plasmonic sensing substrate was achieved after coating a gold thin film on top of the imprinted nanograting sample. The surface plasmon resonance (SPR) modes excited on the gold-coated nanograting structure appeared as a dip in the reflectance spectrum measured at normal incidence under white light illumination in the ambient air medium. Electromagnetic simulation based on the finite element method was carried out to analyze the excited SPR modes. The simulated result shows very close agreement with the experimental data. The performance of the sensor with respect to changing the surrounding dielectric medium yields a bulk refractive index sensitivity of 788  ±  21 nm per refractive index unit. Further, label-free detection of proteins using a plasmonic sensing substrate was demonstrated by monitoring specific interactions between bovine serum albumin (BSA) and anti-BSA proteins, which gave a detection limit of 123 pg mm-2 with respect to target anti-BSA protein binding. Thus, our proposed plasmonic sensor has potential for the development of an economical and highly sensitive label-free optical biosensing device for biomedical applications.

  2. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  3. Molecular receptors in metal oxide sol-gel materials prepared via molecular imprinting

    DOEpatents

    Sasaki, Darryl Y.; Brinker, C. Jeffrey; Ashley, Carol S.; Daitch, Charles E.; Shea, Kenneth J.; Rush, Daniel J.

    2000-01-01

    A method is provided for molecularly imprinting the surface of a sol-gel material, by forming a solution comprised of a sol-gel material, a solvent, an imprinting molecule, and a functionalizing siloxane monomer of the form Si(OR).sub.3-n X.sub.n, wherein n is an integer between zero and three and X is a functional group capable of reacting with the imprinting molecule, evaporating the solvent, and removing the imprinting molecule to form the molecularly imprinted metal oxide sol-gel material. The use of metal oxide sol-gels allows the material porosity, pore size, density, surface area, hardness, electrostatic charge, polarity, optical density, and surface hydrophobicity to be tailored and be employed as sensors and in catalytic and separations operations.

  4. Characterization of Conserved and Nonconserved Imprinted Genes in Swine

    USDA-ARS?s Scientific Manuscript database

    Genomic imprinting results in the silencing of a subset of mammalian alleles due to parent-of-origin inheritance. Due to the nature of their expression patterns they play a critical role in placental and early embryonic development. In order to increase our understanding of imprinted genes specifi...

  5. Pyrogallol-imprinted polymers with methyl methacrylate via precipitation polymerization

    NASA Astrophysics Data System (ADS)

    Mehamod, Faizatul Shimal; Othman, Nor Amira; Bulat, Ku Halim Ku; Suah, Faiz Bukhari Mohd

    2018-06-01

    Molecular simulation techniques are important to study the understanding of chemical and physical properties of any material. Computational modeling is considered as time reducer in finding the best recipes for Molecularly-Imprinted Polymers (MIPs). In this study, Pyrogallol-imprinted polymers (PIP) and non-imprinted polymers (NIPs) were synthesized via precipitation polymerization using Pyrogallol (Py), methyl methacrylate (MMA), divinylbenzene (DVB) as template, functional monomer and cross-linker, respectively. The recipe was according to the results from computational techniques. The synthesized PIP and NIPs were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), Brunauer-Emmett-Teller (BET) and UV-visible spectroscopy (UV-vis). Studies on adsorption isotherm showed that PIP and NIPs follow Scatchard isotherm models. Sorption kinetic study found that PIP and NIPs follow pseudo-second order which indicates the rate-limiting step is the surface adsorption. The imprinting factor of PIP was determined by selectivity study and showed the value of k >1, which proved that PIP was selective toward Pyrogallol compared to NIP.

  6. Halloysite-based dopamine-imprinted polymer for selective protein capture.

    PubMed

    Zhu, Xiaohong; Li, Hui; Liu, Hui; Peng, Wei; Zhong, Shian; Wang, Yan

    2016-06-01

    We describe a facile, general, and highly efficient approach to obtain polydopamine-coated molecularly imprinted polymer based on halloysite nanotubes for bovine serum albumin. The method combined surface molecular imprinting and one-step immobilized template technique. Hierarchically structured polymer was prepared in physiological conditions adopting dopamine as functional monomer. A thin layer of polydopamine can be coated on the surface of amino-modified halloysite nanotubes by self-polymerization, and the thickness of the imprinted shells can be controlled by the mass ratio of matrix and dopamine. The polymer was characterized by Fourier transform infrared spectrometry, transmission electron microscopy, and thermogravimetric analysis. The prepared material showed high binding capacity (45.4 mg/g) and specific recognition behavior toward the template protein. In addition, stability and regeneration analyses indicated that the imprinted polymer exhibited excellent reusability (relative standard deviation < 9% for batch-to-batch evaluation). Therefore, the developed polymer is effective for protein recognition and separation. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Novel approach for extraction of quercetin using molecular imprinted membranes

    NASA Astrophysics Data System (ADS)

    Kamarudin, Siti Fatimah; Ahmad, Mohd Noor; Dzahir, Irfan Hatim Mohamed; Nasir, Azalina Mohamed; Ishak, Noorhidayah; Halim, Nurul Farhanah

    2017-12-01

    Quercetin imprinted membrane (QIM) was synthesized and applied for the extraction of quercetin. The quercetin imprinted membranes (QIM) were fabricated through a non-covalent approach via surface thermal polymerization. Polyvinylidene fluoride (PVDF) microfiltration membrane was used as a support to improve mechanical stability of the membrane. The thin imprinted layer was formed by copolymerization of acrylamide (AA) as functional monomer and ethylene glycol dimethacrylate (EGDMA) as crosslinker in the presence of quercetin as template in tetrahydrofuran (THF) solution. The Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscope (FESEM) were used to visualize the surface of membrane. Batch rebinding and binding kinetic experiments proved that the binding properties of the QIM are higher than non-imprinted membranes (NIM). QIM also have higher selectivity towards quercetin compared to sinensetin and rosmarinic acid.

  8. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  9. Histopathology-like categories based on endometrial imprint cytology in dysfunctional uterine bleeding.

    PubMed

    Baxi, Seema N; Panchal, Nirav S

    2015-01-01

    Cytology of the endometrium is an underused technique in diagnostic pathology. It has been used in the past for endometrial hyperplasia and carcinoma. Only few studies have used cytology in the diagnosis of dysfunctional uterine bleeding (DUB). Endometrial imprint cytology has been rarely used except for application of immunocytochemistry in diagnosis of endometrial carcinoma. The present study was conducted to evaluate whether it is possible to assign histopathology-like diagnosis by imprint cytology and also to evaluate its usefulness in the assessment of patients of dysfunctional uterine bleeding of low clinical suspicion. Imprint smears were made from 93 curettage materials during a study of DUB. Blinded analysis of imprint smears was performed by using McKenzie's criteria and some criteria devised for the requirements of this study. Results of cytology were correlated with histopathology. Statistical analysis was carried out by GraphpadInStat Demo. Majority of the patterns classifiable in histopathology could also be classified in this study on imprint cytology. The overall sensitivity and specificity of cytology in the detection of endometrial patterns in DUB patients were 91.23% and 83.87%, respectively, although the sensitivities and specificities differ according to the phase of endometrium. Histopathology-like categories can be assigned on imprint smears in the diagnosis of DUB. Endometrial imprint cytology can be helpful in centers where histopathology laboratories are not available and even in well-established institutes. It is possible to improve the sensitivity and specificity with better imprinting techniques.

  10. Polarization imprint effects on the photovoltaic effect in Pb(Zr,Ti)O3 thin films

    NASA Astrophysics Data System (ADS)

    Tan, Zhengwei; Tian, Junjiang; Fan, Zhen; Lu, Zengxing; Zhang, Luyong; Zheng, Dongfeng; Wang, Yadong; Chen, Deyang; Qin, Minghui; Zeng, Min; Lu, Xubing; Gao, Xingsen; Liu, Jun-Ming

    2018-04-01

    The polarization imprint along with the photovoltaic (PV) effect has been studied in Pt/Pb(Zr0.3Ti0.7)O3/SrRuO3 ferroelectric capacitors. It is shown that the positive DC poling induces the imprint with a downward direction whereas the negative DC poling suppresses the imprint (i.e., rejuvenation). In the polarization up state, the imprinted capacitor exhibits degraded PV properties compared with the rejuvenated one. This may be because the imprint reduces the number of upward domains, thus lowering the driving force for the PV effect. In the polarization down state, however, the rejuvenated capacitor enters the imprinted state spontaneously. This rejuvenation-to-imprint transition can be further aggravated by applying positive voltages and ultraviolet illumination. It is proposed that the domain pinning/depinning, which are associated with the oxygen vacancies and trapped electrons modulated by polarization, voltage, and illumination, may be responsible for the polarization imprint and rejuvenation. Our study therefore sheds light on the correlation between the polarization imprint and the PV effect in the ferroelectrics and also provides some viable suggestions to address the imprint-induced degradation of PV performance.

  11. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  12. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  13. Formation of protein molecular imprints within Langmuir monolayers: A quartz crystal microbalance study

    PubMed Central

    Turner, Nicholas W.; Wright, Bryon E.; Hlady, Vladimir; Britt, David W.

    2008-01-01

    Protein imprinting leading to enhanced rebinding of ferritin to ternary lipid monolayers is demonstrated using a quartz crystal microbalance. Monolayers consisting of cationic dioctadecyldimethylammonium bromide, non-ionic methyl stearate, and poly(ethylene glycol) bearing phospholipids were imprinted with ferritin at the air/water interface of a Langmuir-Blodgett trough and transferred hydrated to hydrophobic substrates for study. This immobilization was shown by fluorescence correlation spectroscopy to significantly hinder any further diffusion of lipids, while rebinding studies demonstrated up to a six-fold increase in ferritin adsorption to imprinted versus control monolayers. A diminished rebinding of ferritin to its imprint was observed through pH reduction to below the protein isoelectric point, demonstrating the electrostatic nature of the interaction. Rebinding to films where imprint pockets remained occupied by the template protein was also minimal. Studies with a smaller acidic protein revealed the importance of the steric influence of poly(ethylene glycol) in forming the protein binding pockets, as albumin-imprinted monolayers showed low binding of ferritin, while ferritin-imprinted monolayers readily accommodated albumin. The controllable structure-function relationship and limitations of this system are discussed with respect to the application of protein imprinting in sensor development as well as fundamental studies of proteins at dynamic interfaces. PMID:17204279

  14. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    PubMed

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  15. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    PubMed Central

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  16. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  17. 21 CFR 330.3 - Imprinting of solid oral dosage form drug products.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 5 2010-04-01 2010-04-01 false Imprinting of solid oral dosage form drug products... AS SAFE AND EFFECTIVE AND NOT MISBRANDED General Provisions § 330.3 Imprinting of solid oral dosage form drug products. A requirement to imprint an identification code on solid oral dosage form drug...

  18. 21 CFR 330.3 - Imprinting of solid oral dosage form drug products.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 5 2011-04-01 2011-04-01 false Imprinting of solid oral dosage form drug products... AS SAFE AND EFFECTIVE AND NOT MISBRANDED General Provisions § 330.3 Imprinting of solid oral dosage form drug products. A requirement to imprint an identification code on solid oral dosage form drug...

  19. Nano-Forging of Bulk Metallic Glasses

    DTIC Science & Technology

    2006-09-13

    zirconia powder . Arrows indicate the width of ridges on the BMG surface. Fig. 3.3 Imprinted BMG using die made with 250 nm zirconia powder . 9...Fig. 3.4 Imprinted BMG using die made with 50 nm zirconia powder . On each of the imprinted BMG surfaces, linear features

  20. Identification and resolution of artifacts in the interpretation of imprinted gene expression

    PubMed Central

    Proudhon, Charlotte

    2010-01-01

    Genomic imprinting refers to genes that are epigenetically programmed in the germline to express exclusively or preferentially one allele in a parent-of-origin manner. Expression-based genome-wide screening for the identification of imprinted genes has failed to uncover a significant number of new imprinted genes, probably because of the high tissue- and developmental-stage specificity of imprinted gene expression. A very large number of technical and biological artifacts can also lead to the erroneous evidence of imprinted gene expression. In this article, we focus on three common sources of potential confounding effects: (i) random monoallelic expression in monoclonal cell populations, (ii) genetically determined monoallelic expression and (iii) contamination or infiltration of embryonic tissues with maternal material. This last situation specifically applies to genes that occur as maternally expressed in the placenta. Beside the use of reciprocal crosses that are instrumental to confirm the parental specificity of expression, we provide additional methods for the detection and elimination of these situations that can be misinterpreted as cases of imprinted expression. PMID:20829207

  1. Identification and resolution of artifacts in the interpretation of imprinted gene expression.

    PubMed

    Proudhon, Charlotte; Bourc'his, Déborah

    2010-12-01

    Genomic imprinting refers to genes that are epigenetically programmed in the germline to express exclusively or preferentially one allele in a parent-of-origin manner. Expression-based genome-wide screening for the identification of imprinted genes has failed to uncover a significant number of new imprinted genes, probably because of the high tissue- and developmental-stage specificity of imprinted gene expression. A very large number of technical and biological artifacts can also lead to the erroneous evidence of imprinted gene expression. In this article, we focus on three common sources of potential confounding effects: (i) random monoallelic expression in monoclonal cell populations, (ii) genetically determined monoallelic expression and (iii) contamination or infiltration of embryonic tissues with maternal material. This last situation specifically applies to genes that occur as maternally expressed in the placenta. Beside the use of reciprocal crosses that are instrumental to confirm the parental specificity of expression, we provide additional methods for the detection and elimination of these situations that can be misinterpreted as cases of imprinted expression.

  2. A micro-reactor for preparing uniform molecularly imprinted polymer beads.

    PubMed

    Zourob, Mohammed; Mohr, Stephan; Mayes, Andrew G; Macaskill, Alexandra; Pérez-Moral, Natalia; Fielden, Peter R; Goddard, Nicholas J

    2006-02-01

    In this study, uniform spherical molecularly imprinted polymer beads were prepared via controlled suspension polymerization in a spiral-shaped microchannel using mineral oil and perfluorocarbon liquid as continuous phases. Monodisperse droplets containing the monomers, template, initiator, and porogenic solvent were introduced into the microchannel, and particles of uniform size were produced by subsequent UV polymerization, quickly and without wasting polymer materials. The droplet/particle size was varied by changing the flow conditions in the microfluidic device. The diameter of the resulting products typically had a coefficient of variation (CV) below 2%. The specific binding sites that were created during the imprinting process were analysed via radioligand binding analysis. The molecularly imprinted microspheres produced in the liquid perfluorocarbon continuous phase had a higher binding capacity compared with the particles produced in the mineral oil continuous phase, though it should be noted that the aim of this study was not to optimize or maximize imprinting performance, but rather to demonstrate broad applicability and compatibility with known MIP production methods. The successful imprinting against a model compound using two very different continuous phases (one requiring a surfactant to stabilize the droplets the other not) demonstrates the generality of this current simple approach.

  3. Genomic Imprinting Was Evolutionarily Conserved during Wheat Polyploidization[OPEN

    PubMed Central

    Yang, Guanghui; Liu, Zhenshan; Gao, Lulu; Yu, Kuohai; Feng, Man; Peng, Huiru; Sun, Qixin; Ni, Zhongfu

    2018-01-01

    Genomic imprinting is an epigenetic phenomenon that causes genes to be differentially expressed depending on their parent of origin. To evaluate the evolutionary conservation of genomic imprinting and the effects of ploidy on this process, we investigated parent-of-origin-specific gene expression patterns in the endosperm of diploid (Aegilops spp), tetraploid, and hexaploid wheat (Triticum spp) at various stages of development via high-throughput transcriptome sequencing. We identified 91, 135, and 146 maternally or paternally expressed genes (MEGs or PEGs, respectively) in diploid, tetraploid, and hexaploid wheat, respectively, 52.7% of which exhibited dynamic expression patterns at different developmental stages. Gene Ontology enrichment analysis suggested that MEGs and PEGs were involved in metabolic processes and DNA-dependent transcription, respectively. Nearly half of the imprinted genes exhibited conserved expression patterns during wheat hexaploidization. In addition, 40% of the homoeolog pairs originating from whole-genome duplication were consistently maternally or paternally biased in the different subgenomes of hexaploid wheat. Furthermore, imprinted expression was found for 41.2% and 50.0% of homolog pairs that evolved by tandem duplication after genome duplication in tetraploid and hexaploid wheat, respectively. These results suggest that genomic imprinting was evolutionarily conserved between closely related Triticum and Aegilops species and in the face of polyploid hybridization between species in these genera. PMID:29298834

  4. Molecularly imprinted solid-phase extraction in the analysis of agrochemicals.

    PubMed

    Yi, Ling-Xiao; Fang, Rou; Chen, Guan-Hua

    2013-08-01

    The molecular imprinting technique is a highly predeterminative recognition technology. Molecularly imprinted polymers (MIPs) can be applied to the cleanup and preconcentration of analytes as the selective adsorbent of solid-phase extraction (SPE). In recent years, a new type of SPE has formed, molecularly imprinted polymer solid-phase extraction (MISPE), and has been widely applied to the extraction of agrochemicals. In this review, the mechanism of the molecular imprinting technique and the methodology of MIP preparations are explained. The extraction modes of MISPE, including offline and online, are discussed, and the applications of MISPE in the analysis of agrochemicals such as herbicides, fungicides and insecticides are summarized. It is concluded that MISPE is a powerful tool to selectively isolate agrochemicals from real samples with higher extraction and cleanup efficiency than commercial SPE and that it has great potential for broad applications.

  5. Steering and filtering white light with resonant waveguide gratings

    NASA Astrophysics Data System (ADS)

    Quaranta, Giorgio; Basset, Guillaume; Martin, Olivier J. F.; Gallinet, Benjamin

    2017-08-01

    A novel thin-film single-layer structure based on resonant waveguide gratings (RWGs) allows to engineer selective color filtering and steering of white light. The unit cell of the structure consists of two adjacent finite-length and cross-talking RWGs, where the former acts as in-coupler and the latter acts as out-coupler. The structure is made by only one nano-imprint lithography replication and one thin film layer deposition, making it fully compatible with up-scalable fabrication processes. We characterize a fabricated optical security element designed to work with the flash and the camera of a smartphone in off-axis light steering configuration, where the pattern is revealed only by placing the smartphone in the proper position. Widespread applications are foreseen in a variety of fields, such as multifocal or monochromatic lenses, solar cells, biosensors, security devices and seethrough optical combiners for near-eye displays.

  6. Nano-technology and nano-toxicology.

    PubMed

    Maynard, Robert L

    2012-01-01

    Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology.

  7. Nano-technology and nano-toxicology

    PubMed Central

    Maynard, Robert L.

    2012-01-01

    Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology. PMID:22662021

  8. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. Does genomic imprinting play a role in autoimmunity?

    PubMed

    Camprubí, Cristina; Monk, David

    2011-01-01

    In the 19th century Gregor Mendel defined the laws of genetic inheritance by crossing different types of peas. From these results arose his principle of equivalence: the gene will have the same behaviour whether it is inherited from the mother or the father. Today, several key exceptions to this principle are known, for example sex-linked traits and genes in the mitochondrial genome, whose inheritance patterns are referred to as 'non mendelian'. A third, important exception in mammals is that of genomic imprinting, where transcripts are expressed in a monoallelic fashion from only the maternal or the paternal chromosome. In this chapter, we discuss how parent-of-origin effects and genomic imprinting may play a role in autoimmunity and speculate how imprinted miRNAs may influence the expression of many target autoimmune associated genes.

  10. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  11. Characterization of molecularly imprinted polymers using a new polar solvent titration method.

    PubMed

    Song, Di; Zhang, Yagang; Geer, Michael F; Shimizu, Ken D

    2014-07-01

    A new method of characterizing molecularly imprinted polymers (MIPs) was developed and tested, which provides a more accurate means of identifying and measuring the molecular imprinting effect. In the new polar solvent titration method, a series of imprinted and non-imprinted polymers were prepared in solutions containing increasing concentrations of a polar solvent. The polar solvent additives systematically disrupted the templation and monomer aggregation processes in the prepolymerization solutions, and the extent of disruption was captured by the polymerization process. The changes in binding capacity within each series of polymers were measured, providing a quantitative assessment of the templation and monomer aggregation processes in the imprinted and non-imprinted polymers. The new method was tested using three different diphenyl phosphate imprinted polymers made using three different urea functional monomers. Each monomer had varying efficiencies of templation and monomer aggregation. The new MIP characterization method was found to have several advantages. To independently verify the new characterization method, the MIPs were also characterized using traditional binding isotherm analyses. The two methods appeared to give consistent conclusions. First, the polar solvent titration method is less susceptible to false positives in identifying the imprinting effect. Second, the method is able to differentiate and quantify changes in binding capacity, as measured at a fixed guest and polymer concentration, arising from templation or monomer aggregation processes in the prepolymerization solution. Third, the method was also easy to carry out, taking advantage of the ease of preparing MIPs. Copyright © 2014 John Wiley & Sons, Ltd.

  12. Self-oriented nanoparticles for site-selective immunoglobulin G recognition via epitope imprinting approach.

    PubMed

    Çorman, Mehmet Emin; Armutcu, Canan; Uzun, Lokman; Say, Rıdvan; Denizli, Adil

    2014-11-01

    Molecular imprinting is a polymerization technique that provides synthetic analogs for template molecules. Molecularly imprinted polymers (MIPs) have gained much attention due to their unique properties such as selectivity and specificity for target molecules. In this study, we focused on the development of polymeric materials with molecular recognition ability, so molecular imprinting was combined with miniemulsion polymerization to synthesize self-orienting nanoparticles through the use of an epitope imprinting approach. Thus, L-lysine imprinted nanoparticles (LMIP) were synthesized via miniemulsion polymerization technique. Immunoglobulin G (IgG) was then bound to the cavities that specifically formed for L-lysine molecules that are typically found at the C-terminus of the Fc region of antibody molecules. The resulting nanoparticles makes it possible to minimize the nonspecific interaction between monomer and template molecules. In addition, the orientation of the entire IgG molecule was controlled, and random imprinting of the IgG was prevented. The optimum conditions were determined for IgG recognition using the imprinted nanoparticles. The selectivity of the nanoparticles against IgG molecules was also evaluated using albumin and hemoglobin as competitor molecules. In order to show the self-orientation capability of imprinted nanoparticles, human serum albumin (HSA) adsorption onto both the plain nanoparticles and immobilized nanoparticles by anti-human serum albumin antibody (anti-HSA antibody) was also carried out. Due to anti-HSA antibody immobilization on the imprinted nanoparticles, the adsorption capability of nanoparticles against HSA molecules vigorously enhanced. It is proved that the oriented immobilization of antibodies was appropriately succeeded. Copyright © 2014 Elsevier B.V. All rights reserved.

  13. EXTRA-EMBRYONIC-SPECIFIC IMPRINTED EXPRESSION IS RESTRICTED TO DEFINED LINEAGES IN THE POST-IMPLANTATION EMBRYO

    PubMed Central

    Hudson, Quanah J.; Seidl, Christine I.M.; Kulinski, Tomasz M.; Huang, Ru; Warczok, Katarzyna E.; Bittner, Romana; Bartolomei, Marisa S.; Barlow, Denise P.

    2011-01-01

    A subset of imprinted genes in the mouse have been reported to show imprinted expression that is restricted to the placenta, a short-lived extra-embryonic organ. Notably these so-called 'placental-specific' imprinted genes are expressed from both parental alleles in embryo and adult tissues. The placenta is an embryonic-derived organ that is closely associated with maternal tissue and as a consequence, maternal contamination can be mistaken for maternal-specific imprinted expression. The complexity of the placenta, which arises from multiple embryonic lineages, poses additional problems in accurately assessing allele-specific repressive epigenetic modifications in genes that also show lineage-specific silencing in this organ. These problems require that extra evidence be obtained to support the imprinted status of genes whose imprinted expression is restricted to the placenta. We show here that the extra-embryonic visceral yolk sac (VYS), a nutritive membrane surrounding the developing embryo, shows a similar 'extra-embryonic-lineage-specific' pattern of imprinted expression. We present an improved enzymatic technique for separating the bilaminar VYS and show that this pattern of imprinted expression is restricted to the endoderm layer. Finally, we show that VYS 'extra-embryonic-lineage-specific' imprinted expression is regulated by DNA methylation in a similar manner as shown for genes showing multi-lineage imprinted expression in extra-embryonic, embryonic and adult tissues. These results show that the VYS is an improved model for studying the epigenetic mechanisms regulating extra-embryonic-lineage-specific imprinted expression. PMID:21354127

  14. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  15. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  16. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  17. Preparation and characterization of erythromycin molecularly imprinted polymers based on distillation-precipitation polymerization.

    PubMed

    Liu, Jiang; Li, Le; Tang, Hui; Zhao, Feilang; Ye, Bang-Ce; Li, Yingchun; Yao, Jun

    2015-09-01

    Erythromycin-imprinted polymers with excellent recognition properties were prepared by an innovative strategy called distillation-precipitation polymerization. The interaction between erythromycin and methacrylic acid was studied by ultraviolet absorption spectroscopy, and the as-prepared materials were characterized by Fourier-transform infrared spectroscopy and scanning electron microscopy. Moreover, their binding performances were evaluated in detail by static, kinetic and selective sorption tests. It was found that the molecularly imprinted polymers afforded good morphology, monodispersity, and high adsorption capacity when the fraction of the monomers was 7 vol% in the whole reaction system, and the adsorption data for imprinted polymers correlated well with the Langmuir model. The maximum capacity of the imprinted and the non-imprinted polymers for adsorbing erythromycin is 44.03 and 19.95 mg/g, respectively. The kinetic studies revealed that the adsorption process fitted a pseudo-second-order kinetic model. Furthermore, the imprinted polymers display higher affinity toward erythromycin, compared with its analogue roxithromycin. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Quantification of the memory imprint effect for a charged particle environment

    NASA Technical Reports Server (NTRS)

    Bhuva, B. L.; Johnson, R. L., Jr.; Gyurcsik, R. S.; Kerns, S. E.; Fernald, K. W.

    1987-01-01

    The effects of total accumulated dose on the single-event vulnerability of NMOS resistive-load SRAMs are investigated. The bias-dependent shifts in device parameters can imprint the memory state present during exposure or erase the imprinted state. Analysis of these effects is presented along with an analytic model developed for the quantification of these effects. The results indicate that the imprint effect is dominated by the difference in the threshold voltage of the n-channel devices.

  19. The correlation between relatives on the supposition of genomic imprinting.

    PubMed Central

    Spencer, Hamish G

    2002-01-01

    Standard genetic analyses assume that reciprocal heterozygotes are, on average, phenotypically identical. If a locus is subject to genomic imprinting, however, this assumption does not hold. We incorporate imprinting into the standard quantitative-genetic model for two alleles at a single locus, deriving expressions for the additive and dominance components of genetic variance, as well as measures of resemblance among relatives. We show that, in contrast to the case with Mendelian expression, the additive and dominance deviations are correlated. In principle, this correlation allows imprinting to be detected solely on the basis of different measures of familial resemblances, but in practice, the standard error of the estimate is likely to be too large for a test to have much statistical power. The effects of genomic imprinting will need to be incorporated into quantitative-genetic models of many traits, for example, those concerned with mammalian birthweight. PMID:12019254

  20. The correlation between relatives on the supposition of genomic imprinting.

    PubMed

    Spencer, Hamish G

    2002-05-01

    Standard genetic analyses assume that reciprocal heterozygotes are, on average, phenotypically identical. If a locus is subject to genomic imprinting, however, this assumption does not hold. We incorporate imprinting into the standard quantitative-genetic model for two alleles at a single locus, deriving expressions for the additive and dominance components of genetic variance, as well as measures of resemblance among relatives. We show that, in contrast to the case with Mendelian expression, the additive and dominance deviations are correlated. In principle, this correlation allows imprinting to be detected solely on the basis of different measures of familial resemblances, but in practice, the standard error of the estimate is likely to be too large for a test to have much statistical power. The effects of genomic imprinting will need to be incorporated into quantitative-genetic models of many traits, for example, those concerned with mammalian birthweight.