Sample records for nanoimprint lithography process

  1. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  2. An assessment of the process capabilities of nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  3. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  4. Simulation of exposure and alignment for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the exposure and alignment processes for nano-imprint lithography with attenuating thin-film molds. Parameters in the design of topographical features of the nano-imprint system and material choices of the components are analyzed. The small feature size limits light transmission through the feature. While little can be done with auxiliary structures to attract light into small holes, the use of an absorbing material with a low real part of the refractive index such as silver helps mitigates the problem. Results on complementary alignment marks shows that the small transmission through the metal layer and the vertical separation of two alignment marks create the leakage equivalent to 1 nm misalignment but satisfactory alignment can be obtained by measuring alignment signals over a +/- 30 nm range.

  5. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  6. Fabrication of flexible grating sensing waveguide based on nano-imprint lithography and micro-replication process

    NASA Astrophysics Data System (ADS)

    Liu, Yueming; Tian, Weijian; Zhang, Shaojun

    2009-05-01

    Soft and flexible grating sensing waveguides is urgently demanded in application of micro-bending sensing and surface distortion sensing in medical catheter and smart skin sensing unit etc. Based on Nano-imprint Lithography and micro-replication process, polymer grating waveguides with core size 4μm×20μm and pitch 0.75μm are fabricated successfully in this paper. This novel grating waveguides is soft and flexible enough for related application and with the bio-medical safe feature when used in human body catheter. Fabricated processes are presented including the fabrication of micro mould and UV-replication process, and relative skills are discussed also in this paper.

  7. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  8. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  9. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  10. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  11. Aluminum Nanowire Arrays via Soft Nanoimprint Lithography

    NASA Astrophysics Data System (ADS)

    Naughton, Michael J.; Nesbitt, Nathan T.; Merlo, Juan M.; Rose, Aaron H.; Calm, Yitzi M.; D'Imperio, Luke A.; Courtney, Dave T.; Shepard, Steve; Kempa, Krzysztof; Burns, Michael J.

    We have previously reported a method to fabricate freestanding, vertically-oriented, and lithographically-ordered Al nanowire arrays via directed assembly, and demonstrated their utility as a plasmonic waveguide. However, the process, a variation on the preparation of anodized aluminum oxide (AAO), involved imprinting Al with a hard stamp, which wore down the stamp and had a low yield of Al NWs. Here we show a new nanoimprint lithography (NIL) technique that uses a soft stamp to pattern a mask on the Al; it provides a greater yield of Al NWs and is less destructive to the stamp, providing a path to applications that require NW arrays over macroscopic areas. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  12. Novel organosilicone materials and patterning techniques for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Pina, Carlos Alberto

    Nanoimprint Lithography (NIL) is a high-throughput patterning technique that allows the fabrication of nanostructures with great precision. It has been listed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate technology for future generation Si chip manufacturing. In nanoimprint Lithography a resist material, e.g. a thermoplastic polymer, is placed in contact with a mold and then mechanically deformed under an applied load to transfer the nano-features on the mold surface into the resist. The success of NIL relies heavily in the capability of fabricating nanostructures on different types of materials. Thus, a key factor for NIL implementation in industrial settings is the development of advanced materials suitable as the nanoimprint resist. This dissertation focuses on the engineering of new polymer materials suitable as NIL resist. A variety of silicone-based polymer precursors were synthesized and formulated for NIL applications. High throughput and high yield nanopatterning was successfully achieved. Furthermore, additional capabilities of the developed materials were explored for a range of NIL applications such as their use as flexible, UV-transparent stamps and silicon compatible etching layers. Finally, new strategies were investigated to expand the NIL potentiality. High throughput, non-residual layer imprinting was achieved with the newly developed resist materials. In addition, several strategies were designed for the precise control of nanoscale size patterned structures with multifunctional resist systems by post-imprinting modification of the pattern size. These developments provide NIL with a new set of tools for a variety of additional important applications.

  13. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  14. Nanoimprint Lithography on curved surfaces prepared by fused deposition modelling

    NASA Astrophysics Data System (ADS)

    Köpplmayr, Thomas; Häusler, Lukas; Bergmair, Iris; Mühlberger, Michael

    2015-06-01

    Fused deposition modelling (FDM) is an additive manufacturing technology commonly used for modelling, prototyping and production applications. The achievable surface roughness is one of its most limiting aspects. It is however of great interest to create well-defined (nanosized) patterns on the surface for functional applications such as optical effects, electronics or bio-medical devices. We used UV-curable polymers of different viscosities and flexible stamps made of poly(dimethylsiloxane) (PDMS) to perform Nanoimprint Lithography (NIL) on FDM-printed curved parts. Substrates with different roughness and curvature were prepared using a commercially available 3D printer. The nanoimprint results were characterized by optical light microscopy, profilometry and atomic force microscopy (AFM). Our experiments show promising results in creating well-defined microstructures on the 3D-printed parts.

  15. Investigation of pattern transfer to piezoelectric jetted polymer using roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Menezes, Shannon John

    Nanoimprint Lithography (NIL) has existed since the mid 1990s as a proven concept of creating micro- and nanostructures using direct mechanical pattern transfer. Initially seen as a viable option to replace conventional lithography methods, the lack of technology to support large-scale manufacturing using NIL has motivated researchers to explore the application of NIL to create a better, more cost-efficient process with the ability to integrate NIL into a mass manufacturing system. One such method is the roll-to-roll process, similar to that used in printing presses of newspapers and plastics. This thesis is an investigation to characterize polymer deposition using a piezoelectric jetting head and attempt to create micro- and nanostructures on the polymer using R2RNIL technique.

  16. Metal hierarchical patterning by direct nanoimprint lithography

    PubMed Central

    Radha, Boya; Lim, Su Hui; Saifullah, Mohammad S. M.; Kulkarni, Giridhar U.

    2013-01-01

    Three-dimensional hierarchical patterning of metals is of paramount importance in diverse fields involving photonics, controlling surface wettability and wearable electronics. Conventionally, this type of structuring is tedious and usually involves layer-by-layer lithographic patterning. Here, we describe a simple process of direct nanoimprint lithography using palladium benzylthiolate, a versatile metal-organic ink, which not only leads to the formation of hierarchical patterns but also is amenable to layer-by-layer stacking of the metal over large areas. The key to achieving such multi-faceted patterning is hysteretic melting of ink, enabling its shaping. It undergoes transformation to metallic palladium under gentle thermal conditions without affecting the integrity of the hierarchical patterns on micro- as well as nanoscale. A metallic rice leaf structure showing anisotropic wetting behavior and woodpile-like structures were thus fabricated. Furthermore, this method is extendable for transferring imprinted structures to a flexible substrate to make them robust enough to sustain numerous bending cycles. PMID:23446801

  17. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    PubMed

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  18. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine

    NASA Astrophysics Data System (ADS)

    Greer, Andrew IM; Della-Rosa, Benoit; Khokhar, Ali Z.; Gadegaard, Nikolaj

    2016-03-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm2 of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  19. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  20. In-line metrology for roll-to-roll UV assisted nanoimprint lithography using diffractometry

    NASA Astrophysics Data System (ADS)

    Kreuzer, Martin; Whitworth, Guy L.; Francone, Achille; Gomis-Bresco, Jordi; Kehagias, Nikolaos; Sotomayor-Torres, Clivia M.

    2018-05-01

    We describe and discuss the optical design of a diffractometer to carry out in-line quality control during roll-to-roll nanoimprinting. The tool measures diffractograms in reflection geometry, through an aspheric lens to gain fast, non-invasive information of any changes to the critical dimensions of target grating structures. A stepwise tapered linear grating with constant period was fabricated in order to detect the variation in grating linewidth through diffractometry. The minimum feature change detected was ˜40 nm to a precision of 10 nm. The diffractometer was then integrated with a roll-to-roll UV assisted nanoimprint lithography machine to gain dynamic measurements in situ.

  1. Modification of symmetrically substituted phthalocyanines using click chemistry: phthalocyanine nanostructures by nanoimprint lithography.

    PubMed

    Chen, Xiaochun; Thomas, Jayan; Gangopadhyay, Palash; Norwood, Robert A; Peyghambarian, N; McGrath, Dominic V

    2009-09-30

    Phthalocyanines (Pcs) are commonly applied to advanced technologies such as optical limiting, photodynamic therapy (PDT), organic field-effect transistors (OFETs), and organic photovoltaic (OPV) devices, where they are used as the p-type layer. An approach to Pc structural diversity and the incorporation of a functional group that allows fabrication of solvent resistant Pc nanostructures formed by using a newly developed nanoimprint by melt processing (NIMP) technique, a variant of standard nanoimprint lithography (NIL), is reported. Copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC), a click chemistry reaction, serves as an approach to structural diversity in Pc macrocycles. We have prepared octaalkynyl Pc 1b and have modified this Pc using the CuAAC reaction to yield four Pc derivatives 5a-5d with different peripheral substituents on the macrocycle. One of these derivatives, 5c, has photo-cross-linkable cinnamate residues, and we have demonstrated the fabrication of robust cross-linked photopatterned and imprinted nanostructures from this material.

  2. Weak interfaces for UV cure nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Houle, Frances; Fornof, Ann; Simonyi, Eva; Miller, Dolores; Truong, Hoa

    2008-03-01

    Nanoimprint lithography using a photocurable organic resist provides a means of patterning substrates with a spatial resolution in the few nm range. The usefulness of the technique is limited by defect generation during template removal, which involves fracture at the interface between the template and the newly cured polymer. Although it is critical to have the lowest possible interfacial fracture toughness (Gc less than 0.1 Jm-2) to avoid cohesive failure in the polymer, there is little understanding on how to achieve this using reacting low viscosity resist fluids. Studies of debonding of a series of free-radical cured polyhedral silsesquioxane crosslinker formulations containing selected reactive diluents from fluorosilane-coated quartz template materials will be described. At constant diluent fraction the storage modulus of cured resists follows trends in initial reaction rate, not diluent Tg. Adhesion is uncorrelated with both Tg and storage modulus. XPS studies of near-interface compositions indicate that component segregation within the resist fluid on contact with the template, prior to cure, plays a significant role in controlling the fracture process.

  3. Computational study on UV curing characteristics in nanoimprint lithography: Stochastic simulation

    NASA Astrophysics Data System (ADS)

    Koyama, Masanori; Shirai, Masamitsu; Kawata, Hiroaki; Hirai, Yoshihiko; Yasuda, Masaaki

    2017-06-01

    A computational simulation model of UV curing in nanoimprint lithography based on a simplified stochastic approach is proposed. The activated unit reacts with a randomly selected monomer within a critical reaction radius. Cluster units are chained to each other. Then, another monomer is activated and the next chain reaction occurs. This process is repeated until a virgin monomer disappears within the reaction radius or until the activated monomers react with each other. The simulation model well describes the basic UV curing characteristics, such as the molecular weight distributions of the reacted monomers and the effect of the initiator concentration on the conversion ratio. The effects of film thickness on UV curing characteristics are also studied by the simulation.

  4. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  5. Nanohole and dot patterning processes on quartz substrate by R-θ electron beam lithography and nanoimprinting

    NASA Astrophysics Data System (ADS)

    Watanabe, Tsuyoshi; Taniguchi, Kazutake; Suzuki, Kouta; Iyama, Hiromasa; Kishimoto, Shuji; Sato, Takashi; Kobayashi, Hideo

    2016-06-01

    Fine hole and dot patterns with bit pitches (bp’s) of less than 40 nm were fabricated in the circular band area of a quartz substrate by R-θ electron beam lithography (EBL), reactive ion etching (RIE), and nanoimprinting. These patterning processes were studied to obtain minimum pitch sizes of hole and dot patterns without pattern collapse. The patterning on the circular band was aimed to apply these patterning processes to future high-density bit-patterned media (BPM) for hard disk drive (HDD) and permanent memory for the long life archiving of digital data. In hole patterning, a minimum-22-nm-bp and 8.2-nm-diameter pattern (1.3 Tbit/in.2) was obtained on a quartz substrate by optimizing the R-θ EBL and RIE processes. Dot patterns were replicated on another quartz substrate by nanoimprinting using a hole-patterned quartz substrate as a master mold followed by RIE. In dot patterning, a minimum-30-nm-bp and 18.5-nm-diameter pattern (0.7 Tbit/in.2) was obtained by introducing new descum conditions. It was observed that the minimum bp of successful patterning increased as the fabrication process proceeded, i.e., from 20 nm bp in the first EBL process to 30 nm bp in the last quartz dot patterning process. From the measured diameters of the patterns, it was revealed that pattern collapse was apt to occur when the value of average diameter plus 3 sigma of diameter was close to the bp. It was suggested that multiple fabrication processes caused the degradation of pattern quality; therefore, hole patterning is more suitable than dot patterning for future applications owing to the lower quality degradation by its simple fabrication process.

  6. A 3D-printed device for polymer nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  7. Direct nanoimprint lithography of Al2O3 using a chelated monomer-based precursor

    NASA Astrophysics Data System (ADS)

    Ganesan, Ramakrishnan; Safari Dinachali, Saman; Lim, Su Hui; Saifullah, M. S. M.; Tit Chong, Wee; Lim, Andrew H. H.; Jie Yong, Jin; San Thian, Eng; He, Chaobin; Low, Hong Yee

    2012-08-01

    Nanostructuring of Al2O3 is predominantly achieved by the anodization of aluminum film and is limited to obtaining porous anodized aluminum oxide (AAO). One of the main restrictions in developing approaches for direct fabrication of various types of Al2O3 patterns, such as lines, pillars, holes, etc, is the lack of a processable aluminum-containing resist. In this paper, we demonstrate a stable precursor prepared by reacting aluminum tri-sec-butoxide with 2-(methacryloyloxy)ethyl acetoacetate, a chelating monomer, which can be used for large area direct nanoimprint lithography of Al2O3. Chelation in the precursor makes it stable against hydrolysis whilst the presence of a reactive methacrylate group renders it polymerizable. The precursor was mixed with a cross-linker and their in situ thermal free-radical co-polymerization during nanoimprinting rigidly shaped the patterns, trapped the metal atoms, reduced the surface energy and strengthened the structures, thereby giving a ˜100% yield after demolding. The imprinted structures were heat-treated, leading to the loss of organics and their subsequent shrinkage. Amorphous Al2O3 patterns with line-widths as small as 17 nm were obtained. Our process utilizes the advantages of sol-gel and methacrylate routes for imprinting and at the same time alleviates the disadvantages associated with both these methods. With these benefits, the chelating monomer route may be the harbinger of the universal scheme for direct nanoimprinting of metal oxides.

  8. Fluid management in roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Jain, A.; Bonnecaze, R. T.

    2013-06-01

    The key process parameters of UV roll-to-roll nanoimprint lithography are identified from an analysis of the fluid, curing, and peeling dynamics. The process includes merging of droplets of imprint material, curing of the imprint material from a viscous liquid to elastic solid resist, and pattern replication and detachment of the resist from template. The time and distances on the web or rigid substrate over which these processes occur are determined as function of the physical properties of the uncured liquid, the cured solid, and the roller configuration. The upper convected Maxwell equation is used to model the viscoelastic liquid and to calculate the force on the substrate and the torque on the roller. The available exposure time is found to be the rate limiting parameter and it is O(√Rho /uo), where R is the radius of the roller, ho is minimum gap between the roller and web, and uo is the velocity of the web. The residual layer thickness of the resist should be larger than the gap between the roller and the substrate to ensure complete feature filling and optimal pattern replication. For lower residual layer thickness, the droplets may not merge to form a continuous film for pattern transfer.

  9. Study of nanoimprint lithography (NIL) for HVM of memory devices

    NASA Astrophysics Data System (ADS)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  10. Characterization of Antisticking Layers for UV Nanoimprint Lithography Molds with Scanning Probe Microscopy

    NASA Astrophysics Data System (ADS)

    Masaaki Kurihara,; Sho Hatakeyama,; Noriko Yamada,; Takeya Shimomura,; Takaharu Nagai,; Kouji Yoshida,; Tatsuya Tomita,; Morihisa Hoga,; Naoya Hayashi,; Hiroyuki Ohtani,; Masamichi Fujihira,

    2010-06-01

    Antisticking layers (ASLs) on UV nanoimprint lithography (UV-NIL) molds were characterized by scanning probe microscopies (SPMs) in addition to macroscopic analyses of work of adhesion and separation force. Local physical properties of the ASLs were measured by atomic force microscopy (AFM) and friction force microscopy (FFM). The behavior of local adhesive forces measured with AFM on several surfaces was consistent with that of work of adhesion obtained from contact angle. The ASLs were coated by two different processes, i.e., one is a vapor-phase process and the other a spin-coating process. The homogeneity of the ASLs prepared by the vapor-phase process was better than that of those prepared by the spin-coating process. In addition, we measured the thicknesses of ASL patterns prepared by a lift-off method to investigate the effect of the ASL thicknesses on critical dimensions of the molds with ASLs and found that this effect is not negligible.

  11. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography.

    PubMed

    Alayo, Nerea; Conde-Rubio, Ana; Bausells, Joan; Borrisé, Xavier; Labarta, Amilcar; Batlle, Xavier; Pérez-Murano, Francesc

    2015-11-06

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition.

  12. Large-Area Subwavelength Aperture Arrays Fabricated Using Nanoimprint Lithography

    DOE PAGES

    Skinner, J. L.; Hunter, L. L.; Talin, A. A.; ...

    2008-07-29

    In this paper, we report on the fabrication and characterization of large-area 2-D square arrays of subwavelength holes in Ag and Al films. Fabrication is based on thermal nanoimprint lithography and metal evaporation, without the need for etching, and is compatible with low-cost, large-scale production. Reflectance spectra for these arrays display an intensity minimum whose amplitude, center wavelength, and line width depend on the geometry of the array and the reflectivity of the metal film. By placing various fluids in contact with the subwavelength aperture arrays, we observe that the center wavelength of the reflectance minimum varies linearly with themore » refractive index of the fluid with a sensitivity of over 500 nm per refractive index unit. Lastly, the surface plasmon theory is used to predict sensitivities to refractive index change with accuracies better than 0.5%.« less

  13. Nanoimprinted polymer lasers with threshold below 100 W/cm2 using mixed-order distributed feedback resonators.

    PubMed

    Wang, Yue; Tsiminis, Georgios; Kanibolotsky, Alexander L; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-06-17

    Organic semiconductor lasers were fabricated by UV-nanoimprint lithography with thresholds as low as 57 W/cm(2) under 4 ns pulsed operation. The nanoimprinted lasers employed mixed-order distributed feedback resonators, with second-order gratings surrounded by first-order gratings, combined with a light-emitting conjugated polymer. They were pumped by InGaN LEDs to produce green-emitting lasers, with thresholds of 208 W/cm(2) (102 nJ/pulse). These hybrid lasers incorporate a scalable UV-nanoimprint lithography process, compatible with high-performance LEDs, therefore we have demonstrated a coherent, compact, low-cost light source.

  14. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  15. Enhanced light output from a nitride-based power chip of green light-emitting diodes with nano-rough surface using nanoimprint lithography.

    PubMed

    Huang, H W; Lin, C H; Yu, C C; Lee, B D; Chiu, C H; Lai, C F; Kuo, H C; Leung, K M; Lu, T C; Wang, S C

    2008-05-07

    Enhanced light extraction from a GaN-based power chip (PC) of green light-emitting diodes (LEDs) with a rough p-GaN surface using nanoimprint lithography is presented. At a driving current of 350 mA and with a chip size of 1 mm × 1 mm packaged on transistor outline (TO)-cans, the light output power of the green PC LEDs with nano-rough p-GaN surface is enhanced by 48% when compared with the same device without a rough p-GaN surface. In addition, by examining the radiation patterns, the green PC LED with nano-rough p-GaN surface shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices by using the technique of nanoimprint lithography under suitable nanopattern design.

  16. Study on induced strain in direct nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watanabe, Kenta; Iida, Tatsuya; Yasuda, Masaaki; Kawata, Hiroaki; Hirai, Yoshihiko

    2018-06-01

    The induced shear strain distribution in a polymer film is investigated by computational study in a direct nanoimprint process. The effects of the polymer thickness, mold pattern shape such as rectangular, triangular or overcut pattern shape, and the coefficient of friction between the mold and the polymer are studied by computational work. As the coefficient of friction increases, the induced shear strain increases along the mold surface. Depending on the polymer thickness, the shear strain is induced in the residual and/or pattern area. In the triangular pattern, the strain is induced in the pattern central area. The results suggest that shear stress remains in the triangular pattern area in the direct nanoimprint process. On the other hand, the rectangular pattern is suitable for suppressing the induced strain inside the pattern.

  17. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    PubMed

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  18. Porosity characteristics of ultra-low dielectric insulator films directly patterned by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Ro, Hyun Wook; Jones, Ronald L.; Peng, Huagen; Lee, Hae-Jeong; Lin, Eric K.; Karim, Alamgir; Yoon, Do Y.; Gidley, David W.; Soles, Christopher L.

    2008-03-01

    Direct patterning of low-dielectric constant (low-k) materials via nanoimprint lithography (NIL) has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. We report direct imprinting of sub-100 nm features into a high modulus methylsilsesquioxane-based organosilicate glass (OSG) material. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering (CD-SAXS) and specular X-ray reflectivity (SXR). X-ray porosimetry (XRP) and positron annihilation lifetime spectroscopy (PALS) measurements indicate that imprinting increases the inherent microporosity of the methylsilsequioxane-based OSG material. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.

  19. Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography

    PubMed Central

    2013-01-01

    Large-scale nanopatterned sapphire substrates were fabricated by annealing of patterned Al thin films. Patterned Al thin films were obtained by soft UV-nanoimprint lithography and reactive ion etching. The soft mold with 550-nm-wide lines separated by 250-nm space was composed of the toluene-diluted polydimethylsiloxane (PDMS) layer supported by the soft PDMS. Patterned Al thin films were subsequently subjected to dual-stage annealing due to the melting temperature of Al thin films (660°C). The first comprised a low-temperature oxidation anneal at 450°C for 24 h. This was followed by a high-temperature annealing in the range of 1,000°C and 1,200°C for 1 h to induce growth of the underlying sapphire single crystal to consume the oxide layer. The SEM results indicate that the patterns were retained on sapphire substrates after high-temperature annealing at less than 1,200°C. Finally, large-scale nanopatterned sapphire substrates were successfully fabricated by annealing of patterned Al thin films for 24 h at 450°C and 1 h at 1,000°C by soft UV-nanoimprint lithography. PMID:24215718

  20. Impact of polymer film thickness and cavity size on polymer flow during embossing : towards process design rules for nanoimprint lithography.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schunk, Peter Randall; King, William P.; Sun, Amy Cha-Tien

    2006-08-01

    This paper presents continuum simulations of polymer flow during nanoimprint lithography (NIL). The simulations capture the underlying physics of polymer flow from the nanometer to millimeter length scale and examine geometry and thermophysical process quantities affecting cavity filling. Variations in embossing tool geometry and polymer film thickness during viscous flow distinguish different flow driving mechanisms. Three parameters can predict polymer deformation mode: cavity width to polymer thickness ratio, polymer supply ratio, and Capillary number. The ratio of cavity width to initial polymer film thickness determines vertically or laterally dominant deformation. The ratio of indenter width to residual film thickness measuresmore » polymer supply beneath the indenter which determines Stokes or squeeze flow. The local geometry ratios can predict a fill time based on laminar flow between plates, Stokes flow, or squeeze flow. Characteristic NIL capillary number based on geometry-dependent fill time distinguishes between capillary or viscous driven flows. The three parameters predict filling modes observed in published studies of NIL deformation over nanometer to millimeter length scales. The work seeks to establish process design rules for NIL and to provide tools for the rational design of NIL master templates, resist polymers, and process parameters.« less

  1. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  2. Fabrication of SiC membrane HCG blue reflector using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lai, Ying-Yu; Matsutani, Akihiro; Lu, Tien-Chang; Wang, Shing-Chung; Koyama, Fumio

    2015-02-01

    We designed and fabricated a suspended SiC-based membrane high contrast grating (HCG) reflectors. The rigorous coupled-wave analysis (RCWA) was employed to verify the structural parameters including grating periods, grating height, filling factors and air-gap height. From the optimized simulation results, the designed SiC-based membrane HCG has a wide reflection stopband (reflectivity (R) <90%) of 135 nm for the TE polarization, which centered at 480 nm. The suspended SiC-based membrane HCG reflectors were fabricated by nanoimprint lithography and two-step etching technique. The corresponding reflectivity was measured by using a micro-reflectivity spectrometer. The experimental results show a high reflectivity (R<90%), which is in good agreement with simulation results. This achievement should have an impact on numerous III-N based photonic devices operating in the blue wavelength or even ultraviolet region.

  3. Flexible palladium-based H2 sensor with fast response and low leakage detection by nanoimprint lithography.

    PubMed

    Lim, Su Hui; Radha, Boya; Chan, Jie Yong; Saifullah, Mohammad S M; Kulkarni, Giridhar U; Ho, Ghim Wei

    2013-08-14

    Flexible palladium-based H2 sensors have a great potential in advanced sensing applications, as they offer advantages such as light weight, space conservation, and mechanical durability. Despite these advantages, the paucity of such sensors is due to the fact that they are difficult to fabricate while maintaining excellent sensing performance. Here, we demonstrate, using direct nanoimprint lithography of palladium, the fabrication of a flexible, durable, and fast responsive H2 sensor that is capable of detecting H2 gas concentration as low as 50 ppm. High resolution and high throughput patterning of palladium gratings over a 2 cm × 1 cm area on a rigid substrate was achieved by heat-treating nanoimprinted palladium benzyl mercaptide at 250 °C for 1 h. The flexible and robust H2 sensing device was fabricated by subsequent transfer nanoimprinting of these gratings into a polycarbonate film at its glass transition temperature. This technique produces flexible H2 sensors with improved durability, sensitivity, and response time in comparison to palladium thin films. At ambient pressure and temperature, the device showed a fast response time of 18 s at a H2 concentration of 3500 ppm. At 50 ppm concentration, the response time was found to be 57 s. The flexibility of the sensor does not appear to compromise its performance.

  4. Fabrication of resonant patterns using thermal nano-imprint lithography for thin-film photovoltaic applications.

    PubMed

    Khaleque, Tanzina; Svavarsson, Halldor Gudfinnur; Magnusson, Robert

    2013-07-01

    A single-step, low-cost fabrication method to generate resonant nano-grating patterns on poly-methyl-methacrylate (PMMA; plexiglas) substrates using thermal nano-imprint lithography is reported. A guided-mode resonant structure is obtained by subsequent deposition of thin films of transparent conductive oxide and amorphous silicon on the imprinted area. Referenced to equivalent planar structures, around 25% and 45% integrated optical absorbance enhancement is observed over the 450-nm to 900-nm wavelength range in one- and two-dimensional patterned samples, respectively. The fabricated elements provided have 300-nm periods. Thermally imprinted thermoplastic substrates hold potential for low-cost fabrication of nano-patterned thin-film solar cells for efficient light management.

  5. Fabrication of flexible oriented magnetic thin films with large in-plane uniaxial anisotropy by roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Thantirige, Rukshan M.; John, Jacob; Pradhan, Nihar R.; Carter, Kenneth R.; Tuominen, Mark T.

    2016-06-01

    Here, we report wafer scale fabrication of densely packed Fe nanostripe-based magnetic thin films on a flexible substrate and their magnetic anisotropy properties. We find that Fe nanostripes exhibit large in-plane uniaxial anisotropy and nearly square hysteresis loops with energy products (BHmax) exceeding 3 MGOe at room temperature. High density Fe nanostripes were fabricated on 70 nm flexible polyethylene terephthalate (PET) gratings, which were made by a roll-to-roll (R2R) UV nanoimprint lithography technique. We observed large in-plane uniaxial anisotropies along the long dimension of nanostripes that can be attributed to the shape. Temperature dependent hysteresis measurements confirm that the magnetization reversal is driven by non-coherent rotation reversal processes.

  6. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  7. Real-Time Label-Free Surface Plasmon Resonance Biosensing with Gold Nanohole Arrays Fabricated by Nanoimprint Lithography

    PubMed Central

    Martinez-Perdiguero, Josu; Retolaza, Aritz; Otaduy, Deitze; Juarros, Aritz; Merino, Santos

    2013-01-01

    In this work we present a surface plasmon resonance sensor based on enhanced optical transmission through sub-wavelength nanohole arrays. This technique is extremely sensitive to changes in the refractive index of the surrounding medium which result in a modulation of the transmitted light. The periodic gold nanohole array sensors were fabricated by high-throughput thermal nanoimprint lithography. Square periodic arrays with sub-wavelength hole diameters were obtained and characterized. Using solutions with known refractive index, the array sensitivities were obtained. Finally, protein absorption was monitored in real-time demonstrating the label-free biosensing capabilities of the fabricated devices. PMID:24135989

  8. Soft Nanoimprint Lithography for Direct Printing of Crystalline Metal Oxide Nanostructures

    NASA Astrophysics Data System (ADS)

    Kothari, Rohit; Beaulieu, Michael; Watkins, James

    2015-03-01

    We demonstrate a solution-based soft nanoimprint lithography technique to directly print dimensionally-stable crystalline metal oxide nanostructures. A patterned PDMS stamp is used in combination with a UV/thermal cure step to imprint a resist containing high concentrations of crystalline nanoparticles in an inorganic/organic binder phase. The as-imprinted nanostructures are highly crystalline and therefore undergo little shrinkage (less than 5% in some cases) upon thermal annealing. High aspect ratio nanostructures and sub-100 nm features are easily realized. Residual layer free direct imprinting (no etching) was achieved by choosing the resist with the appropriate surface energy to ensure dewetting at stamp-substrate interface. The technique was further extended to stack the nanostructures by deploying a layer-by-layer imprint strategy. The method is scalable and can produce large area device quality nanostructures in a rapid fashion at a low cost. CeO2, ITO and TiO2 nanopatterns are illustrated for their potential use in fuel cell electrodes, solar cell electrodes and photonic devices, respectively.

  9. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  10. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  11. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  12. High refractive index Fresnel lens on a fiber fabricated by nanoimprint lithography for immersion applications.

    PubMed

    Koshelev, Alexander; Calafiore, Giuseppe; Piña-Hernandez, Carlos; Allen, Frances I; Dhuey, Scott; Sassolini, Simone; Wong, Edward; Lum, Paul; Munechika, Keiko; Cabrini, Stefano

    2016-08-01

    In this Letter, we present a Fresnel lens fabricated on the end of an optical fiber. The lens is fabricated using nanoimprint lithography of a functional high refractive index material, which is suitable for mass production. The main advantage of the presented Fresnel lens compared to a conventional fiber lens is its high refractive index (n=1.68), which enables efficient light focusing even inside other media, such as water or an adhesive. Measurement of the lens performance in an immersion liquid (n=1.51) shows a near diffraction limited focal spot of 810 nm in diameter at the 1/e2 intensity level for a wavelength of 660 nm. Applications of such fiber lenses include integrated optics, optical trapping, and fiber probes.

  13. Enhanced efficiency of light emitting diodes with a nano-patterned gallium nitride surface realized by soft UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Zhou, Weimin; Min, Guoquan; Song, Zhitang; Zhang, Jing; Liu, Yanbo; Zhang, Jianping

    2010-05-01

    This paper reports a significant enhancement in the extraction efficiency of nano-patterned GaN light emitting diodes (LED) realized by soft UV nanoimprint lithography. The 2 inch soft stamp was fabricated using a replication stamp of anodic alumina oxide (AAO) membrane. The light output power was enhanced by 10.9% compared to that of the LED sample without a nano-patterned surface. Up to 41% enhancement in photoluminescence intensity was obtained from the nano-patterned GaN LED sample. The method is simple, cheap and suitable for mass production.

  14. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    NASA Astrophysics Data System (ADS)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  15. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  16. High-throughput fabrication of anti-counterfeiting colloid-based photoluminescent microtags using electrical nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Diaz, R.; Palleau, E.; Poirot, D.; Sangeetha, N. M.; Ressier, L.

    2014-08-01

    This work demonstrates the excellent capability of the recently developed electrical nanoimprint lithography (e-NIL) technique for quick, high-throughput production of well-defined colloid assemblies on surfaces. This is shown by fabricating micron-sized photoluminescent quick response (QR) codes based on the electrostatic directed trapping (so called nanoxerography process) of 28 nm colloidal lanthanide-doped upconverting NaYF4 nanocrystals. Influencing experimental parameters have been optimized and the contribution of triboelectrification in e-NIL was evidenced. Under the chosen conditions, more than 300 000 nanocrystal-based QR codes were fabricated on a 4 inch silicon wafer, in less than 15 min. These microtags were then transferred to transparent flexible films, to be easily integrated onto desired products. Invisible to the naked eye, they can be decoded and authenticated using an optical microscopy image of their specific photoluminescence mapping. Beyond this very promising application for product tracking and the anti-counterfeiting strategies, e-NIL nanoxerography, potentially applicable to any types of charged and/or polarizable colloids and pattern geometries opens up tremendous opportunities for industrial scale production of various other kinds of colloid-based devices and sensors.

  17. Ecofriendly antiglare film derived from biomass using ultraviolet curing nanoimprint lithography for high-definition display

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Murakami, Gaku; Mori, Yuto; Ichikawa, Takumi; Sekiguchi, Atsushi; Obata, Tsutomu; Yokoyama, Yoshiyuki; Mizuno, Wataru; Sumioka, Junji; Horita, Yuji

    2013-07-01

    Nanopatterning of an ecofriendly antiglare film derived from biomass using an ultraviolet curing nanoimprint lithography is reported. Developed sugar-related organic compounds with liquid glucose and trehalose derivatives derived from biomass produced high-quality imprint images of pillar patterns with a 230-nm diameter. Ecofriendly antiglare film with liquid glucose and trehalose derivatives derived from biomass was indicated to achieve the real refraction index of 1.45 to 1.53 at 350 to 800 nm, low imaginary refractive index of <0.005 and low volumetric shrinkage of 4.8% during ultraviolet irradiation. A distinctive bulky glucose structure in glucose and trehalose derivatives was considered to be effective for minimizing the volumetric shrinkage of resist film during ultraviolet irradiation, in addition to suitable optical properties for high-definition display.

  18. Efficient low bandgap polymer solar cell with ordered heterojunction defined by nanoimprint lithography.

    PubMed

    Yang, Yi; Mielczarek, Kamil; Zakhidov, Anvar; Hu, Walter

    2014-11-12

    In this work, we demonstrate the feasibility of using nanoimprint lithography (NIL) to make efficient low bandgap polymer solar cells with well-ordered heterojunction. High quality low bandgap conjugated polymer poly[2,6-(4,4-bis(2-ethylhexyl)-4H-cyclopenta[2,1-b;3,4-b']-dithiophene)-alt-4,7-(2,1,3-benzothiadiazole)] (PCPDTBT) nanogratings are fabricated using this technique for the first time. The geometry effect of PCPDTBT nanostructures on the solar cell performance is investigated by making PCPDTBT/C70 solar cells with different feature sizes of PCPDTBT nanogratings. It is found that the power conversion efficiency (PCE) increases with increasing nanograting height, PCPDTBT/C70 junction area, and decreasing nanograting width. We also find that NIL makes PCPDTBT chains interact more strongly and form an improved structural ordering. Solar cells made on the highest aspect ratio PCPDTBT nanostructures are among the best reported devices using the same material with a PCE of 5.5%.

  19. Large-area zinc oxide nanorod arrays templated by nanoimprint lithography: control of morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Huang, Xiaohu; Liu, Hongfei; Chua, Soo Jin; Ross, Caroline A.

    2016-12-01

    Vertically aligned, highly ordered, large area arrays of nanostructures are important building blocks for multifunctional devices. Here, ZnO nanorod arrays are selectively synthesized on Si substrates by a solution method within patterns created by nanoimprint lithography. The growth modes of two dimensional nucleation-driven wedding cakes and screw dislocation-driven spirals are inferred to determine the top end morphologies of the nanorods. Sub-bandgap photoluminescence of the nanorods is greatly enhanced by the manipulation of the hydrogen donors via a post-growth thermal treatment. Lasing behavior is facilitated in the nanorods with faceted top ends formed from wedding cakes growth mode. This work demonstrates the control of morphologies of oxide nanostructures in a large scale and the optimization of the optical performance.

  20. Soft nanoimprint lithography on SiO2 sol-gel to elaborate sensitive substrates for SERS detection

    NASA Astrophysics Data System (ADS)

    Hamouda, Frédéric; Bryche, Jean-François; Aassime, Abdelhanin; Maillart, Emmanuel; Gâté, Valentin; Zanettini, Silvia; Ruscica, Jérémy; Turover, Daniel; Bartenlian, Bernard

    2017-12-01

    This paper presents a new alternative fabrication of biochemical sensor based on surface enhanced Raman scattering (SERS) by soft nanoimprint lithography (S-NIL) on SiO2 sol-gel. Stabilization of the sol-gel film is obtained by annealing which simplifies the manufacturing of these biosensors and is compatible with mass production at low cost. This detector relies on a specific pattern of gold nanodisks on a thin gold film to obtain a better sensitivity of molecules' detection. Characterizations of SERS devices were performed on a confocal Raman microspectrophotometer after a chemical functionalization. We report a lateral collapse effect on poly(diméthylsiloxane) (PDMS) stamp for specific nanostructure dimensions. This unintentional effect is used to evaluate S-NIL resolution in SiO2 sol-gel.

  1. Nanostructured surfaces using thermal nanoimprint lithography: Applications in thin membrane technology, piezoelectric energy harvesting and tactile pressure sensing

    NASA Astrophysics Data System (ADS)

    Nabar, Bhargav Pradip

    Nanoimprint lithography (NIL) is emerging as a viable contender for fabrication of large-scale arrays of 5-500 nm features. The work presented in this dissertation aims to leverage the advantages of NIL for realization of novel Nano Electro Mechanical Systems (NEMS). The first application is a nanoporous membrane blood oxygenator system. A fabrication process for realization of thin nanoporous membranes using thermal nanoimprint lithography is presented. Suspended silicon nitride membranes were fabricated by Low-Pressure Chemical Vapor Deposition (LPCVD) in conjunction with a potassium hydroxide-based bulk micromachining process. Nanoscale features were imprinted into a commercially available thermoplastic polymer resist using a pre-fabricated silicon mold. The pattern was reversed and transferred to a thin aluminum oxide layer by means of a novel two stage lift-off technique. The patterned aluminum oxide was used as an etch mask in a CHF3/He based reactive ion etch process to transfer the pattern to silicon nitride. Highly directional etch profiles with near vertical sidewalls and excellent Si3N4/Al2O3 etch selectivity was observed. One-micrometer-thick porous membranes with varying dimensions of 250x250 microm2 to 450x450 microm 2 and pore diameter of 400 nm have been engineered and evaluated. Results indicate that the membranes have consistent nanopore dimensions and precisely defined porosity, which makes them ideal as gas exchange interfaces in blood oxygenation systems as well as other applications such as dialysis. Additionally, bulk -- micromachined microfluidic channels have been developed for uniform, laminar blood flow with minimal cell trauma. NIL has been used for ordered growth of crystalline nanostructures for sensing and energy harvesting. Highly ordered arrays of crystalline ZnO nanorods have been fabricated using a polymer template patterned by thermal nanoimprint lithography, in conjunction with a low temperature hydrothermal growth process. Zinc

  2. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  3. Demixing of polymers under nanoimprinting process

    NASA Astrophysics Data System (ADS)

    Wang, Zhen

    Polymer blend has been an important area in polymer science for decades. The knowledge of polymer blend in bulk is well established and technologies based on it have created products ubiquitous in our daily life. More intriguing problem arises when the phase separation of a polymer blend occurs under physical confinement. In this thesis, we investigated the effect of interfacial interactions between constituent polymers and confinement environment on phase evolution. Specifically, morphologies of thin films of binary polymer blends were examined on chemically homogenous substrates (preferential surface, neutral surface), on chemical pattern, between two parallel rigid substrates, and under thermal embossing/step-and-flash nanoimprint lithography conditions. We found that preferential wetting of selective component dominates the phase evolution, which can be suppressed by the use of neutral surfaces or external pressure. By manipulating these factors, a wide range of unique non-equilibrium micro or nanostructures can thus be achieved.

  4. Development of a paper based roll-to-roll nanoimprinting machine

    NASA Astrophysics Data System (ADS)

    Son, Byungwook

    Nanoimprint lithography (NIL) has been developed and studied since 1995. It is a technique where micro- or nanoscale patterns are transferred to soft materials such as polymer through pressing a stamp with certain patterns into this materials and then solidifying it by cooling at lower temperature or curing under ultra violet excitement. High Cost and low throughput of batch mode nanoimprint lithography (NIL) processes are limiting its wide range of applications in meeting industry manufacturing requirements. The roll-to-roll (R2R) nanoimprinting technology is emerged as a solution to this issue. This thesis study presents the design, build and test of an innovative R2R T-NIL process machine for nanofabrication and MEMS fabrication applications, which consists of individual modules of heating, inking, pressuring, and rotational speed control. The system utilizes PDMS as mold material, PMMA as imprinting material, and paper as substrate material. In order to achieve a uniform pressure on PMMA during imprinting process, an innovative air pressure device (APD) was developed and integrated with R2R machine. The APD replaces the conventional 2-roll line contact pressure approach and can cover one third of the surface of the imprinting roller with a uniform pressure (1-3 psi). During the imprinting experiment, a mixture of PMMA (20w %) and 2-Ethoxyethyl acetate is applied on the paper substrate by an inking roller using capillary force and an IR heater is used for pre-heating and drying of polymer layers before it is fed into the imprinting module. Two 500-Watt cartridge heaters are installed on the roller and provide the heat to raise the PMMA film temperature during the imprinting.

  5. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    PubMed

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Chip-scale pattern modification method for equalizing residual layer thickness in nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Youn, Sung-Won; Suzuki, Kenta; Hiroshima, Hiroshi

    2018-06-01

    A software program for modifying a mold design to obtain a uniform residual layer thickness (RLT) distribution has been developed and its validity was verified by UV-nanoimprint lithography (UV-NIL) simulation. First, the effects of granularity (G) on both residual layer uniformity and filling characteristics were characterized. For a constant complementary pattern depth and a granularity that was sufficiently larger than the minimum pattern width, filling time decreased with the decrease in granularity. For a pattern design with a wide density range and an irregular distribution, the choice of a small granularity was not always a good strategy since the etching depth required for a complementary pattern occasionally exceptionally increased with the decrease in granularity. On basis of the results obtained, the automated method was applied to a chip-scale pattern modification. Simulation results showed a marked improvement in residual layer thickness uniformity for a capacity-equalized (CE) mold. For the given conditions, the standard deviation of RLT decreased in the range from 1/3 to 1/5 in accordance with pattern designs.

  7. Advances in nanoimprint lithography and applications in plasmonic-enhanced electron source

    NASA Astrophysics Data System (ADS)

    Liang, Yixing

    The research work in this thesis comprises of two parts. The first part focuses on nanofabrication techniques for better control of nanostructures, such as line edge roughness control and critical structure dimensions, for improvement in large area lift-off of ultra-thin (sub-40 nm) and ultra-small (sub-20 nm) nanostructures, and for improvement in mold-substrate separation. The second part of this thesis studies one important application of nanoimprint lithography (NIL) in the field of plasmonic-enhanced electron source. In the first part, a post-fabrication method, termed Self-limited Self Perfection by Liquefaction (SP-SPEL), is studied. SP-SPEL has experimentally demonstrated to reduce the trench width with precise control down to 20 nm from original 90 nm width, - 450% reduction. In addition, SP-SPEL increases the trench width uniformity and reduces the low-frequency line edge roughness. Second, a tri-layer method is studied to offer large area, efficient lift-off of ultra-thin (sub-40 nm) and ultra-fine (sub-20 nm) nanostructures. Using this method, a nanoimprint mold is fabricated. Third, tribo-electronics in NIL has been studied. It has been shown that tribo-charge can not only skew the AFM measurement by over 400%, but also largely increase the mold-substrate separation force. To solve this problem, a new mold structure is firstly proposed by Professor Stephen Y Chou and has demonstrated to reduce the separation force by over 8 fold. In the second part, a plasmonic-enhanced nanostructured electron source is studied, for both semiconducting and metallic photoemissive materials. For the semiconducting photocathode, a vertical cavity structure, comprising a top sub-wavelength mesh, ultra-thin (~ 40 nm) semiconducting materials in the middle and metallic back-plane, has demonstrated a 30 fold enhancement in photoelectron emission over a planar thin film. In addition, for the metallic photocathode, a 3D nanocavity, termed "Disk coupled Dots-on-Pillar Antenna

  8. Nano-imprint gold grating as refractive index sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive indexmore » sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.« less

  9. Photoluminescence enhancement of silicon quantum dot monolayer by plasmonic substrate fabricated by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Yanagawa, Hiroto; Inoue, Asuka; Sugimoto, Hiroshi; Shioi, Masahiko; Fujii, Minoru

    2017-12-01

    Near-field coupling between a silicon quantum dot (Si-QD) monolayer and a plasmonic substrate fabricated by nano-imprint lithography and having broad multiple resonances in the near-infrared (NIR) window of biological substances was studied by precisely controlling the QDs-substrate distance. A strong enhancement of the NIR photoluminescence (PL) of Si-QDs was observed. Detailed analyses of the PL and PL excitation spectra, the PL decay dynamics, and the reflectance spectra revealed that both the excitation cross-sections and the emission rates are enhanced by the surface plasmon resonances, thanks to the broad multiple resonances of the plasmonic substrate, and that the relative contribution of the two enhancement processes depends strongly on the excitation wavelength. Under excitation by short wavelength photons (405 nm), where enhancement of the excitation cross-section is not expected, the maximum enhancement was obtained when the QDs-substrate distance was around 30 nm. On the other hand, under long wavelength excitation (641 nm), where strong excitation cross-section enhancement is expected, the largest enhancement was obtained when the distance was minimum (around 1 nm). The achievement of efficient excitation of NIR luminescence of Si-QDs by long wavelength photons paves the way for the development of Si-QD-based fluorescence bio-sensing devices with a high bound-to-free ratio.

  10. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  11. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  12. Insertion of two-dimensional photonic crystal pattern on p-GaN layer of GaN-based light-emitting diodes using bi-layer nanoimprint lithography.

    PubMed

    Byeon, Kyeong-Jae; Hwang, Seon-Yong; Hong, Chang-Hee; Baek, Jong Hyeob; Lee, Heon

    2008-10-01

    Nanoimprint lithography (NIL) was adapted to fabricate two-dimensional (2-D) photonic crystal (PC) pattern on the p-GaN layer of InGaN/GaN multi quantum well light-emitting diodes (LEDs) structure to improve the light extraction efficiency. For the uniform transfer of the PC pattern, a bi-layer imprinting method with liquid phase resin was used. The p-GaN layer was patterned with a periodic array of holes by an inductively coupled plasma etching process, based on SiCl4/Ar plasmas. As a result, 2-D photonic crystal patterns with 144 nm, 200 nm and 347 nm diameter holes were uniformly formed on the p-GaN layer and the photoluminescence (PL) intensity of each patterned LED samples was increased by more than 2.6 times, as compared to that of the un-patterned LED sample.

  13. Nanoimprinted photonic crystal color filters for solar-powered reflective displays.

    PubMed

    Cho, Eun-Hyoung; Kim, Hae-Sung; Sohn, Jin-Seung; Moon, Chang-Youl; Park, No-Cheol; Park, Young-Pil

    2010-12-20

    A novel concept for reflective displays that uses two-dimensional photonic crystals with subwavelength gratings is introduced. A solar-powered reflective display with photonic crystal color filters was analyzed by a theoretical approach. We fabricated the photonic crystal color filters on a glass substrate by using low-cost nanoimprint lithography and multi-scan excimer laser annealing to produce RGB color filters through a single patterning process. The theoretical and experimental results show that the color filters have high reflectance and angular tolerance, which was qualitatively confirmed by chromaticity coordination analysis.

  14. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  15. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  16. Fabrication Process for Large Size Mold and Alignment Method for Nanoimprint System

    NASA Astrophysics Data System (ADS)

    Ishibashi, Kentaro; Kokubo, Mitsunori; Goto, Hiroshi; Mizuno, Jun; Shoji, Shuichi

    Nanoimprint technology is considered one of the mass production methods of the display for cellular phone or notebook computer, with Anti-Reflection Structures (ARS) pattern and so on. In this case, the large size mold with nanometer order pattern is very important. Then, we describe the fabrication process for large size mold, and the alignment method for UV nanoimprint system. We developed the original mold fabrication process using nanoimprint method and etching techniques. In 66 × 45 mm2 area, 200nm period seamless patterns were formed using this process. And, we constructed original alignment system that consists of the CCD-camera system, X-Y-θ table, method of moiré fringe, and image processing system, because the accuracy of pattern connection depends on the alignment method. This alignment system accuracy was within 20nm.

  17. Study on Light Extraction from GaN-based Green Light-Emitting Diodes Using Anodic Aluminum Oxide Pattern and Nanoimprint Lithography

    PubMed Central

    Jiang, Shengxiang; Feng, Yulong; Chen, Zhizhong; Zhang, Lisheng; Jiang, Xianzhe; Jiao, Qianqian; Li, Junze; Chen, Yifan; Li, Dongsan; Liu, Lijian; Yu, Tongjun; Shen, Bo; Zhang, Guoyi

    2016-01-01

    An anodic aluminum oxide (AAO) patterned sapphire substrate, with the lattice constant of 520 ± 40 nm, pore dimension of 375 ± 50 nm, and height of 450 ± 25 nm was firstly used as a nanoimprint lithography (NIL) stamp and imprinted onto the surface of the green light-emitting diode (LED). A significant light extraction efficiency (LEE) was improved by 116% in comparison to that of the planar LED. A uniform broad protrusion in the central area and some sharp lobes were also obtained in the angular resolution photoluminescence (ARPL) for the AAO patterned LED. The mechanism of the enhancement was correlated to the fluctuations of the lattice constant and domain orientation of the AAO-pattern, which enabled the extraction of more guided modes from the LED device. PMID:26902178

  18. Replication of surface nano-structure of the wing of dragonfly ( Pantala Flavescens) using nano-molding and UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Cho, Joong-Yeon; Kim, Gyutae; Kim, Sungwook; Lee, Heon

    2013-07-01

    The hydrophobicity of a dragonfly's wing originates from the naturally occurring nano-structure on its surface. The nano-structure on a dragonfly's wing consists of an array of nano-sized pillars, 100 nm in diameter. We re-create this hydrophobicity on various substrates, such as Si, glass, curved acrylic polymer, and flexible PET film, by replicating the nano-structure using UV curable nano-imprint lithography (NIL) and PDMS molding. The success of the nano-structure duplication was confirmed using scanning electron microscopy (SEM). The hydrophobicity was measured by water-based contact angle measurements. The water contact angle of the replica made of UV cured polymer was 135° ± 2°, which was slightly lower than that of the original dragonfly's wing (145° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (80°). The hydrophobicity was further improved by applying a coating of Teflon-like material.

  19. Fabrication of semiconductor-polymer compound nonlinear photonic crystal slab with highly uniform infiltration based on nano-imprint lithography technique.

    PubMed

    Qin, Fei; Meng, Zi-Ming; Zhong, Xiao-Lan; Liu, Ye; Li, Zhi-Yuan

    2012-06-04

    We present a versatile technique based on nano-imprint lithography to fabricate high-quality semiconductor-polymer compound nonlinear photonic crystal (NPC) slabs. The approach allows one to infiltrate uniformly polystyrene materials that possess large Kerr nonlinearity and ultrafast nonlinear response into the cylindrical air holes with diameter of hundred nanometers that are perforated in silicon membranes. Both the structural characterization via the cross-sectional scanning electron microscopy images and the optical characterization via the transmission spectrum measurement undoubtedly show that the fabricated compound NPC samples have uniform and dense polymer infiltration and are of high quality in optical properties. The compound NPC samples exhibit sharp transmission band edges and nondegraded high quality factor of microcavities compared with those in the bare silicon PC. The versatile method can be expanded to make general semiconductor-polymer hybrid optical nanostructures, and thus it may pave the way for reliable and efficient fabrication of ultrafast and ultralow power all-optical tunable integrated photonic devices and circuits.

  20. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE PAGES

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.; ...

    2017-05-10

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  1. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  2. Solar-blind deep-UV band-pass filter (250 - 350 nm) consisting of a metal nano-grid fabricated by nanoimprint lithography.

    PubMed

    Li, Wen-Di; Chou, Stephen Y

    2010-01-18

    We designed, fabricated and demonstrated a solar-blind deep-UV pass filter, that has a measured optical performance of a 27% transmission peak at 290 nm, a pass-band width of 100 nm (from 250 to 350 nm), and a 20dB rejection ratio between deep-UV wavelength and visible wavelength. The filter consists of an aluminum nano-grid, which was made by coating 20 nm Al on a SiO(2) square grid with 190 nm pitch, 30 nm linewidth and 250 nm depth. The performances agree with a rigorous coupled wave analysis. The wavelength for the peak transmission and the pass-bandwidth can be tuned through adjusting the metal nano-grid dimensions. The filter was fabricated by nanoimprint lithography, hence is large area and low cost. Combining with Si photodetectors, the filter offers simple yet effective and low cost solar-blind deep-UV detection at either a single device or large-area complex integrated imaging array level.

  3. Contact electrification induced interfacial reactions and direct electrochemical nanoimprint lithography in n-type gallium arsenate wafer.

    PubMed

    Zhang, Jie; Zhang, Lin; Wang, Wei; Han, Lianhuan; Jia, Jing-Chun; Tian, Zhao-Wu; Tian, Zhong-Qun; Zhan, Dongping

    2017-03-01

    Although metal assisted chemical etching (MacEtch) has emerged as a versatile micro-nanofabrication method for semiconductors, the chemical mechanism remains ambiguous in terms of both thermodynamics and kinetics. Here we demonstrate an innovative phenomenon, i.e. , the contact electrification between platinum (Pt) and an n-type gallium arsenide (100) wafer (n-GaAs) can induce interfacial redox reactions. Because of their different work functions, when the Pt electrode comes into contact with n-GaAs, electrons will move from n-GaAs to Pt and form a contact electric field at the Pt/n-GaAs junction until their electron Fermi levels ( E F ) become equal. In the presence of an electrolyte, the potential of the Pt/electrolyte interface will shift due to the contact electricity and induce the spontaneous reduction of MnO 4 - anions on the Pt surface. Because the equilibrium of contact electrification is disturbed, electrons will transfer from n-GaAs to Pt through the tunneling effect. Thus, the accumulated positive holes at the n-GaAs/electrolyte interface make n-GaAs dissolve anodically along the Pt/n-GaAs/electrolyte 3-phase interface. Based on this principle, we developed a direct electrochemical nanoimprint lithography method applicable to crystalline semiconductors.

  4. Fabrication of a cost-effective polymer nanograting as a disposable plasmonic biosensor using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Mohapatra, Saswat; Kumari, Sudha; Moirangthem, Rakesh S.

    2017-07-01

    A simple and cost-effective flexible plasmonic sensor is developed using a gold-coated polymer nanograting structure prepared via soft UV nanoimprint lithography. The sub-wavelength nanograting patterns of digital versatile discs were used as a template to prepare the polydimethylsiloxane stamp. The plasmonic sensing substrate was achieved after coating a gold thin film on top of the imprinted nanograting sample. The surface plasmon resonance (SPR) modes excited on the gold-coated nanograting structure appeared as a dip in the reflectance spectrum measured at normal incidence under white light illumination in the ambient air medium. Electromagnetic simulation based on the finite element method was carried out to analyze the excited SPR modes. The simulated result shows very close agreement with the experimental data. The performance of the sensor with respect to changing the surrounding dielectric medium yields a bulk refractive index sensitivity of 788  ±  21 nm per refractive index unit. Further, label-free detection of proteins using a plasmonic sensing substrate was demonstrated by monitoring specific interactions between bovine serum albumin (BSA) and anti-BSA proteins, which gave a detection limit of 123 pg mm-2 with respect to target anti-BSA protein binding. Thus, our proposed plasmonic sensor has potential for the development of an economical and highly sensitive label-free optical biosensing device for biomedical applications.

  5. Nanoimprint of a 3D structure on an optical fiber for light wavefront manipulation.

    PubMed

    Calafiore, Giuseppe; Koshelev, Alexander; Allen, Frances I; Dhuey, Scott; Sassolini, Simone; Wong, Edward; Lum, Paul; Munechika, Keiko; Cabrini, Stefano

    2016-09-16

    Integration of complex photonic structures onto optical fiber facets enables powerful platforms with unprecedented optical functionalities. Conventional nanofabrication technologies, however, do not permit viable integration of complex photonic devices onto optical fibers owing to their low throughput and high cost. In this paper we report the fabrication of a three-dimensional structure achieved by direct nanoimprint lithography on the facet of an optical fiber. Nanoimprint processes and tools were specifically developed to enable a high lithographic accuracy and coaxial alignment of the optical device with respect to the fiber core. To demonstrate the capability of this new approach, a 3D beam splitter has been designed, imprinted and optically characterized. Scanning electron microscopy and optical measurements confirmed the good lithographic capabilities of the proposed approach as well as the desired optical performance of the imprinted structure. The inexpensive solution presented here should enable advancements in areas such as integrated optics and sensing, achieving enhanced portability and versatility of fiber optic components.

  6. High throughput nanoimprint lithography for semiconductor memory applications

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  7. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  8. Au nanostructure arrays for plasmonic applications: annealed island films versus nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lopatynskyi, Andrii M.; Lytvyn, Vitalii K.; Nazarenko, Volodymyr I.; Guo, L. Jay; Lucas, Brandon D.; Chegel, Volodymyr I.

    2015-03-01

    This paper attempts to compare the main features of random and highly ordered gold nanostructure arrays (NSA) prepared by thermally annealed island film and nanoimprint lithography (NIL) techniques, respectively. Each substrate possesses different morphology in terms of plasmonic enhancement. Both methods allow such important features as spectral tuning of plasmon resonance position depending on size and shape of nanostructures; however, the time and cost is quite different. The respective comparison was performed experimentally and theoretically for a number of samples with different geometrical parameters. Spectral characteristics of fabricated NSA exhibited an expressed plasmon peak in the range from 576 to 809 nm for thermally annealed samples and from 606 to 783 nm for samples prepared by NIL. Modelling of the optical response for nanostructures with typical shapes associated with these techniques (parallelepiped for NIL and semi-ellipsoid for annealed island films) was performed using finite-difference time-domain calculations. Mathematical simulations have indicated the dependence of electric field enhancement on the shape and size of the nanoparticles. As an important point, the distribution of electric field at so-called `hot spots' was considered. Parallelepiped-shaped nanoparticles were shown to yield maximal enhancement values by an order of magnitude greater than their semi-ellipsoid-shaped counterparts; however, both nanoparticle shapes have demonstrated comparable effective electrical field enhancement values. Optimized Au nanostructures with equivalent diameters ranging from 85 to 143 nm and height equal to 35 nm were obtained for both techniques, resulting in the largest electrical field enhancement. The application of island film thermal annealing method for nanochips fabrication can be considered as a possible cost-effective platform for various surface-enhanced spectroscopies; while the NIL-fabricated NSA looks like more effective for sensing of

  9. Multilayered Magnetic Nanoparticles Fabricated by Nanoimprint Lithography for Magnetomechanical Treatment of Cancer

    NASA Astrophysics Data System (ADS)

    Kwon, Byung Seok

    Fe3O4-magetite nanoparticles have received wide interest as prominent agents for various biomedical applications, ranging from target-specific cancer treatment, gene therapy, and Magnetic Particle Imaging (MPI). However, Fe3O4-magnetite nanoparticles, synthesized by chemical methods beyond a certain size, present challenges in controlling size distribution and shape. Similarly, Fe3O 4-magnetite nanoparticles fabricated by conventional top-down lithographic methods present difficulty of controlling defects and lead to agglomeration due to large size. In order to overcome the difficulties associated with the conventional chemical and top-down lithographic methods, it is critical to develop a fabrication method which produces homogeneous nanoparticles in large quantities with the control of size, defects, and structure. Furthermore, the concept of cell death induced by mechanical perturbation has received wide attention as a way to maximize the cancer cell death with minimal side effects. Previous study has proposed the use of permalloy disk-shaped vortex state microparticles, in order to create cancer cell death by mechanical force. However, insufficient biocompatibility, inadequate mechanical force created by vortex switching, and inability to control the particle size have been critical issues to be further researched and proceeded for in vivo application. Hence, we studied physical and magnetic properties of Fe3O 4 as a material in thin film form and proceeded to develop Fe3 O4 based synthetic antiferromagnetic (SAF) thin films. Then, we combined these favorable physical/magnetic properties with nanoimprint lithography to fabricate homogeneously patterned synthetic antiferromagnetic (SAF) nanoparticles (wafer area >1 x 1 cm2) with the control of size, shape and structure. Then we demonstrated the release of these particles in an aqueous environment. The fabrication process combines a tetrafluoroethylene (ETFE) "working stamp", a bi-layer resist lift-off, defect

  10. Hydrothermal Growth of ZnO Nanowires on UV-Nanoimprinted Polymer Structures.

    PubMed

    Park, Sooyeon; Moore, Sean A; Lee, Jaejong; Song, In-Hyouk; Farshchian, Bahador; Kim, Namwon

    2018-05-01

    Integration of zinc oxide (ZnO) nanowires on miniaturized polymer structures can broaden its application in multi-functional polymer devices by taking advantages of unique physical properties of ZnO nanowires and recent development of polymer microstructures in analytical systems. In this paper, we demonstrate the hydrothermal growth of ZnO nanowires on polymer microstructures fabricated by UV nanoimprinting lithography (NIL) using a polyurethane acrylate (PUA). Since PUA is a siloxane-urethane-acrylate compound containing the alpha-hydroxyl ketone, UV-cured PUA include carboxyl groups, which inhibit and suppress the nucleation and growth of ZnO nanowires on polymer structures. The presence of carboxyl groups in UV-cured PUA was substantiated by Fourier transform infrared spectroscopy (FTIR), and a Ag thin film was deposited on the nanoimprinted polymer structures to limit their inhibitive influence on the growth of ZnO nanowires. Furthermore, the naturally oxidized Ag layer (Ag2O) reduced crystalline lattice mismatches at the interface between ZnO-Ag during the seed annealing process. The ZnO nanowires grown on the Ag-deposited PUA microstructures were found to have comparable morphological characteristics with ZnO nanowires grown on a Si wafer.

  11. Nanoimprinted ultrafine line and space nanogratings for liquid crystal alignment.

    PubMed

    Liu, Yan Jun; Loh, Wei Wei; Leong, Eunice Sok Ping; Kustandi, Tanu Suryadi; Sun, Xiao Wei; Teng, Jing Hua

    2012-11-23

    Ultrafine 50 nm line and space nanogratings were fabricated using nanoimprint lithography, and were further used as an alignment layer for liquid crystals. The surface morphologies of the nanogratings were characterized and their surface energies were estimated through the measurement of the contact angles for two different liquids. Experimental results show that the surface energies of the nanogratings are anisotropic: the surface free energy towards the direction parallel to the grating lines is higher than that in the direction perpendicular to the grating lines. Electro-optical characteristics were tested from a twisted nematic liquid crystal cell, which was assembled using two identical nanogratings. Experimental results show that such a kind of nanograting is promising as an alternative to the conventional rubbing process for liquid crystal alignment.

  12. An oxygen-insensitive degradable resist for fabricating metallic patterns on highly curved surfaces by UV-nanoimprint lithography.

    PubMed

    Hu, Xin; Huang, Shisong; Gu, Ronghua; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2014-10-01

    In this paper, an oxygen-insensitive degradable resist for UV-nanoimprint is designed, com-prising a polycyclic degradable acrylate monomer, 2,10-diacryloyloxymethyl-1,4,9,12-tetraoxa-spiro [4.2.4.2] tetradecane (DAMTT), and a multifunctional thiol monomer pentaerythritol tetra(3-mercaptopropionate) (PETMP). The resist can be quickly UV-cured in the air atmosphere and achieve a high monomer conversion of over 98%, which greatly reduce the adhesion force between the resist and the soft mold. High conversion, in company with an adequate Young's modulus (about 1 GPa) and an extremely low shrinkage (1.34%), promises high nanoimprint resolution of sub-50 nm. The cross-linked resist is able to break into linear molecules in a hot acid solvent. As a result, metallic patterns are fabricated on highly curved surfaces via the lift off process without the assistance of a thermoplastic polymer layer. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  14. Single Layer Broadband Anti-Reflective Coatings for Plastic Substrates Produced by Full Wafer and Roll-to-Roll Step-and-Flash Nano-Imprint Lithography

    PubMed Central

    Burghoorn, Marieke; Roosen-Melsen, Dorrit; de Riet, Joris; Sabik, Sami; Vroon, Zeger; Yakimets, Iryna; Buskens, Pascal

    2013-01-01

    Anti-reflective coatings (ARCs) are used to lower the reflection of light on the surface of a substrate. Here, we demonstrate that the two main drawbacks of moth eye-structured ARCs—i.e., the lack of suitable coating materials and a process for large area, high volume applications—can be largely eliminated, paving the way for cost-efficient and large-scale production of durable moth eye-structured ARCs on polymer substrates. We prepared moth eye coatings on polymethylmethacrylate (PMMA) and polycarbonate using wafer-by-wafer step-and-flash nano-imprint lithography (NIL). The reduction in reflection in the visible field achieved with these coatings was 3.5% and 4.0%, respectively. The adhesion of the coating to both substrates was good. The moth eye coating on PMMA demonstrated good performance in three prototypical accelerated ageing tests. The pencil hardness of the moth eye coatings on both substrates was <4B, which is less than required for most applications and needs further optimization. Additionally, we developed a roll-to-roll UV NIL pilot scale process and produced moth eye coatings on polyethylene terephthalate (PET) at line speeds up to two meters per minute. The resulting coatings showed a good replication of the moth eye structures and, consequently, a lowering in reflection of the coated PET of 3.0%. PMID:28788301

  15. Design and fabrication of nano-imprint templates using unique pattern transforms and primitives

    NASA Astrophysics Data System (ADS)

    MacDonald, Susan; Mellenthin, David; Rentzsch, Kevin; Kramer, Kenneth; Ellenson, James; Hostetler, Tim; Enck, Ron

    2005-11-01

    Increasing numbers of MEMS, photonic, and integrated circuit manufacturers are investigating the use of Nano-imprint Lithography or Step and Flash Imprint Lithography (SFIL) as a lithography choice for making various devices and products. Their main interests in using these technologies are the lack of aberrations inherent in traditional optical reduction lithography, and the relative low cost of imprint tools. Since imprint templates are at 1X scale, the small sizes of these structures have necessitated the use of high-resolution 50KeV, and 100KeV e-beam lithography tools to build these templates. For MEMS and photonic applications, the structures desired are often circles, arches, and other non-orthogonal shapes. It has long been known that both 50keV, and especially 100keV e-beam lithography tools are extremely accurate, and can produce very high resolution structures, but the trade off is long write times. The main drivers in write time are shot count and stage travel. This work will show how circles and other non-orthogonal shapes can be produced with a 50KeV Variable Shaped Beam (VSB) e-beam lithography system using unique pattern transforms and primitive shapes, while keeping the shot count and write times under control. The quality of shapes replicated into the resist on wafer using an SFIL tool will also be presented.

  16. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint

    PubMed Central

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-01-01

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way. PMID:27698465

  17. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    PubMed

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  18. Toward a nanoimprinted nanoantenna to perform optical rectification through molecular diodes

    NASA Astrophysics Data System (ADS)

    Reynaud, C. A.; Duché, D.; Ruiz, C. M.; Palanchoke, U.; Patrone, L.; Le Rouzo, J.; Labau, S.; Frolet, N.; Gourgon, C.; Alfonso, C.; Charaï, A.; Lebouin, C.; Simon, J.-J.; Escoubas, L.

    2017-12-01

    This work presents investigations about the realization and modelization of rectenna solar cells. Rectennas are antennas coupled with a rectifier to convert the alternative current originating from the antenna into direct current that can be harvested and stored. By reducing the size of the antennas to the nanoscale, interactions with visible and near-infrared light become possible. If techniques such as nanoimprint lithography make possible the fabrication of sufficiently small plasmonic structures to act as optical antennas, the concept of rectenna still faces several challenges. One of the most critical point is to achieve rectification at optical frequencies. To address this matter, we propose to use molecular diodes (ferrocenyl-alkanethiol) that can be self-assembled on metallic surfaces such as gold or silver. In this paper, we present a basic rectenna theory as well as finite-difference time-domain (FDTD) optical simulations of plasmonic structures and experimental results of both nanoimprint fabrication of samples and characterizations by electron microscopy, Raman spectroscopy, and cyclic voltammetry techniques.

  19. Nanoimprinted High-Refractive Index Active Photonic Nanostructures Based on Quantum Dots for Visible Light

    DOE PAGES

    Pina-Hernandez, Carlos; Koshelev, Alexander; Dhuey, Scott; ...

    2017-12-15

    A novel method to realizing printed active photonic devices was developed using nanoimprint lithography (NIL), combining a printable high-refractive index material and colloidal CdSe/CdS quantum dots (QDs) for applications in the visible region. Active media QDs were applied in two different ways: embedded inside a printable high-refractive index matrix to form an active printable hybrid nanocomposite, and used as a uniform coating on top of printed photonic devices. As a proof-of-demonstration for printed active photonic devices, two-dimensional (2-D) photonic crystals as well as 1D and 2D photonic nanocavities were successfully fabricated following a simple reverse-nanoimprint process. We observed enhanced photoluminescencemore » from the 2D photonic crystal and the 1D nanocavities. Outstandingly, the process presented in this study is fully compatible with large-scale manufacturing where the patterning areas are only limited by the size of the corresponding mold. This work shows that the integration of active media and functional materials is a promising approach to the realization of integrated photonics for visible light using high throughput technologies. We believe that this work represents a powerful and cost-effective route for the development of numerous nanophotonic structures and devices that will lead to the emergence of new applications.« less

  20. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  1. The opportunity and challenge of spin coat based nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Jung, Wooyung; Cho, Jungbin; Choi, Eunhyuk; Lim, Yonghyun; Bok, Cheolkyu; Tsuji, Masatoshi; Kobayashi, Kei; Kono, Takuya; Nakasugi, Tetsuro

    2017-03-01

    Since multi patterning with spacer was introduced in NAND flash memory1, multi patterning with spacer has been a promising solution to overcome the resolution limit. However, the increase in process cost of multi patterning with spacer must be a serious burden to device manufacturers as half pitch of patterns gets smaller.2, 3 Even though Nano Imprint Lithography (NIL) has been considered as one of strong candidates to avoid cost issue of multi patterning with spacer, there are still negative viewpoints; template damage induced from particles between template and wafer, overlay degradation induced from shear force between template and wafer, and throughput loss induced from dispensing and spreading resist droplet. Jet and Flash Imprint Lithography (J-FIL4, 5, 6) has contributed to throughput improvement, but still has these above problems. J-FIL consists of 5 steps; dispense of resist droplets on wafer, imprinting template on wafer, filling the gap between template and wafer with resist, UV curing, and separation of template from wafer. If dispensing resist droplets by inkjet is replaced with coating resist at spin coater, additional progress in NIL can be achieved. Template damage from particle can be suppressed by thick resist which is spin-coated at spin coater and covers most of particles on wafer, shear force between template and wafer can be minimized with thick resist, and finally additional throughput enhancement can be achieved by skipping dispense of resist droplets on wafer. On the other hand, spin-coat-based NIL has side effect such as pattern collapse which comes from high separation energy of resist. It is expected that pattern collapse can be improved by the development of resist with low separation energy.

  2. Label-free optical detection of C-reactive protein by nanoimprint lithography-based 2D-photonic crystal film.

    PubMed

    Endo, Tatsuro; Kajita, Hiroshi; Kawaguchi, Yukio; Kosaka, Terumasa; Himi, Toshiyuki

    2016-06-01

    The development of high-sensitive, and cost-effective novel biosensors have been strongly desired for future medical diagnostics. To develop novel biosensor, the authors focused on the specific optical characteristics of photonic crystal. In this study, a label-free optical biosensor, polymer-based two-dimensional photonic crystal (2D-PhC) film fabricated using nanoimprint lithography (NIL), was developed for detection of C-reactive protein (CRP) in human serum. The nano-hole array constructed NIL-based 2D-PhC (hole diameter: 230 nm, distance: 230, depth: 200 nm) was fabricated on a cyclo-olefin polymer (COP) film (100 µm) using thermal NIL and required surface modifications to reduce nonspecific adsorption of target proteins. Antigen-antibody reactions on the NIL-based 2D-PhC caused changes to the surrounding refractive index, which was monitored as reflection spectrum changes in the visible region. By using surface modified 2D-PhC, the calculated detection limit for CRP was 12.24 pg/mL at an extremely short reaction time (5 min) without the need for additional labeling procedures and secondary antibody. Furthermore, using the dual-functional random copolymer, CRP could be detected in a pooled blood serum diluted 100× with dramatic reduction of nonspecific adsorption. From these results, the NIL-based 2D-PhC film has great potential for development of an on-site, high-sensitivity, cost-effective, label-free biosensor for medical diagnostics applications. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Pattern fidelity in nanoimprinted films using CD-SAXS

    NASA Astrophysics Data System (ADS)

    Jones, Ronald L.; Soles, Christopher L.; Lin, Eric K.; Hu, Walter; Reano, Ronald M.; Pang, Stella W.; Weigand, Steven J.; Keane, Denis T.; Quintana, John P.

    2005-05-01

    The primary measure of process quality in nanoimprint lithography (NIL) is the fidelity of pattern transfer, comparing the dimensions of the imprinted pattern to those of the mold. As a potential next generation lithography, NIL is capable of true nanofabrication, producing patterns of sub-10 nm dimensions. Routine production of nanoscale patterns will require new metrologies capable of non-destructive dimensional measurements of both the mold and the pattern with sub-nm precision. In this article, a rapid, non-destructive technique termed Critical Dimension Small Angle X-ray Scattering (CD-SAXS) is used to measure the cross sectional shape of both a pattern master, or mold, and the resulting imprinted films. CD-SAXS data are used to extract periodicity as well as pattern height, width, and sidewall angles. Films of varying materials are molded by thermal embossed NIL at temperatures both near and far from the bulk glass transition (TG). The polymer systems include a photoresist, representing a mixture of a polymer and small molecular components, and two pure homopolymers. Molding at low temperatures (T-TG < 40°C) produces small aspect ratio patterns that maintain periodicity to within a single nanometer, but feature large sidewall angles. While the pattern height does not reach that of the mold until very large imprinting temperatures (T-TG ~ 70°C), the pattern width of the mold is accurately transferred for T-TG > 30°C. In addition to obtaining basic dimensions, CD-SAXS data are used to assess the origin of loss in pattern fidelity.

  4. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    PubMed Central

    Morris, Michael A.

    2017-01-01

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance. PMID:28973987

  5. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    PubMed

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  6. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  7. New self-assembly strategies for next generation lithography

    NASA Astrophysics Data System (ADS)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  8. The measurement capabilities of cross-sectional profile of Nanoimprint template pattern using small angle x-ray scattering

    NASA Astrophysics Data System (ADS)

    Yamanaka, Eiji; Taniguchi, Rikiya; Itoh, Masamitsu; Omote, Kazuhiko; Ito, Yoshiyasu; Ogata, Kiyoshi; Hayashi, Naoya

    2016-05-01

    Nanoimprint lithography (NIL) is one of the most potential candidates for the next generation lithography for semiconductor. It will achieve the lithography with high resolution and low cost. High resolution of NIL will be determined by a high definition template. Nanoimprint lithography will faithfully transfer the pattern of NIL template to the wafer. Cross-sectional profile of the template pattern will greatly affect the resist profile on the wafer. Therefore, the management of the cross-sectional profile is essential. Grazing incidence small angle x-ray scattering (GI-SAXS) technique has been proposed as one of the method for measuring cross-sectional profile of periodic nanostructure pattern. Incident x-rays are irradiated to the sample surface with very low glancing angle. It is close to the critical angle of the total reflection of the x-ray. The scattered x-rays from the surface structure are detected on a two-dimensional detector. The observed intensity is discrete in the horizontal (2θ) direction. It is due to the periodicity of the structure, and diffraction is observed only when the diffraction condition is satisfied. In the vertical (β) direction, the diffraction intensity pattern shows interference fringes reflected to height and shape of the structure. Features of the measurement using x-ray are that the optical constant for the materials are well known, and it is possible to calculate a specific diffraction intensity pattern based on a certain model of the cross-sectional profile. The surface structure is estimated by to collate the calculated diffraction intensity pattern that sequentially while changing the model parameters with the measured diffraction intensity pattern. Furthermore, GI-SAXS technique can be measured an object in a non-destructive. It suggests the potential to be an effective tool for product quality assurance. We have developed a cross-sectional profile measurement of quartz template pattern using GI-SAXS technique. In this

  9. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting.

    PubMed

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-09-23

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132 degrees +/- 2 degrees , which was slightly lower than that of the original cicada wing (138 degrees +/- 2 degrees ), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86 degrees ).

  10. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting

    NASA Astrophysics Data System (ADS)

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-09-01

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132° ± 2°, which was slightly lower than that of the original cicada wing (138° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86°).

  11. Nanoimprinted backside reflectors for a-Si:H thin-film solar cells: critical role of absorber front textures.

    PubMed

    Tsao, Yao-Chung; Fisker, Christian; Pedersen, Thomas Garm

    2014-05-05

    The development of optimal backside reflectors (BSRs) is crucial for future low cost and high efficiency silicon (Si) thin-film solar cells. In this work, nanostructured polymer substrates with aluminum coatings intended as BSRs were produced by positive and negative nanoimprint lithography (NIL) techniques, and hydrogenated amorphous silicon (a-Si:H) was deposited hereon as absorbing layers. The relationship between optical properties and geometry of front textures was studied by combining experimental reflectance spectra and theoretical simulations. It was found that a significant height variation on front textures plays a critical role for light-trapping enhancement in solar cell applications. As a part of sample preparation, a transfer NIL process was developed to overcome the problem of low heat deflection temperature of polymer substrates during solar cell fabrication.

  12. Nanoimprinting on optical fiber end faces for chemical sensing

    NASA Astrophysics Data System (ADS)

    Kostovski, G.; White, D. J.; Mitchell, A.; Austin, M. W.; Stoddart, P. R.

    2008-04-01

    Optical fiber surface-enhanced Raman scattering (SERS) sensors offer a potential solution to monitoring low chemical concentrations in-situ or in remote sensing scenarios. We demonstrate the use of nanoimprint lithography to fabricate SERS-compatible nanoarrays on the end faces of standard silica optical fibers. The antireflective nanostructure found on cicada wings was used as a convenient template for the nanoarray, as high sensitivity SERS substrates have previously been demonstrated on these surfaces. Coating the high fidelity replicas with silver creates a dense array of regular nanoscale plasmonic resonators. A monolayer of thiophenol was used as a low concentration analyte, from which strong Raman spectra were collected using both direct endface illumination and through-fiber interrogation. This unique combination of nanoscale replication with optical fibers demonstrates a high-resolution, low-cost approach to fabricating high-performance optical fiber chemical sensors.

  13. Jet and flash imprint defectivity: assessment and reduction for semiconductor applications

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.; Johnson, Steve; Resnick, Douglas J.; Lovell, David

    2011-04-01

    Defectivity has been historically identified as a leading technical roadblock to the implementation of nanoimprint lithography for semiconductor high volume manufacturing. The lack of confidence in nanoimprint's ability to meet defect requirements originates in part from the industry's past experiences with 1X lithography and the shortage in end-user generated defect data. SEMATECH has therefore initiated a defect assessment aimed at addressing these concerns. The goal is to determine whether nanoimprint, specifically Jet and Flash Imprint Lithography from Molecular Imprints, is capable of meeting semiconductor industry defect requirements. At this time, several cycles of learning have been completed in SEMATECH's defect assessment, with promising results. J-FIL process random defectivity of < 0.1 def/cm2 has been demonstrated using a 120nm half-pitch template, providing proof of concept that a low defect nanoimprint process is possible. Template defectivity has also improved significantly as shown by a pre-production grade template at 80nm pitch. Cycles of learning continue on feature sizes down to 22nm.

  14. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  15. Three-dimensional particle tracking in concave structures made by ultraviolet nanoimprint via total internal reflection fluorescence microscopy and refractive-index-matching method

    NASA Astrophysics Data System (ADS)

    Fujinami, Taku; Kigami, Hiroshi; Unno, Noriyuki; Taniguchi, Jun; Satake, Shin-ichi

    2018-06-01

    Total internal reflection fluorescence microscopy (TIRFM) is a promising method for measuring fluid flow close to a wall with nanoscale resolution in a process that is termed "multilayer nanoparticle image velocimetry" (MnPIV). TIRFM uses evanescent light that is generated on a substrate (typically a glass slide) by total internal reflection of light. Many researchers have previously studied x- y- z (3D) flows of water close to flat glass slides using MnPIV. On the other hand, a fluid flow close to a structured surface is also important. To measure flows of water near micro-patterns, we previously developed an MnPIV technique that uses a refractive-index-matching method. In previous study, the micropattern is made of a thermoplastic material with a refractive index that closely matches that of water. In this study, ultraviolet nanoimprint lithography was used for fabricating the appropriate micro-patterns because this technique can fabricate a pattern with a high resolution. As a result, we succeeded in performing MnPIV in water with a circular hole array pattern made by ultraviolet nanoimprint using a refractive-index-matching method. We believe that this technique will be helpful in elucidating fluid flows around microstructures.

  16. Three-dimensional particle tracking in concave structures made by ultraviolet nanoimprint via total internal reflection fluorescence microscopy and refractive-index-matching method

    NASA Astrophysics Data System (ADS)

    Fujinami, Taku; Kigami, Hiroshi; Unno, Noriyuki; Taniguchi, Jun; Satake, Shin-ichi

    2018-03-01

    Total internal reflection fluorescence microscopy (TIRFM) is a promising method for measuring fluid flow close to a wall with nanoscale resolution in a process that is termed "multilayer nanoparticle image velocimetry" (MnPIV). TIRFM uses evanescent light that is generated on a substrate (typically a glass slide) by total internal reflection of light. Many researchers have previously studied x-y-z (3D) flows of water close to flat glass slides using MnPIV. On the other hand, a fluid flow close to a structured surface is also important. To measure flows of water near micro-patterns, we previously developed an MnPIV technique that uses a refractive-index-matching method. In previous study, the micropattern is made of a thermoplastic material with a refractive index that closely matches that of water. In this study, ultraviolet nanoimprint lithography was used for fabricating the appropriate micro-patterns because this technique can fabricate a pattern with a high resolution. As a result, we succeeded in performing MnPIV in water with a circular hole array pattern made by ultraviolet nanoimprint using a refractive-index-matching method. We believe that this technique will be helpful in elucidating fluid flows around microstructures.

  17. Highly uniform residual layers for arrays of 3D nanoimprinted cavities in Fabry-Pérot-filter-array-based nanospectrometers

    NASA Astrophysics Data System (ADS)

    Memon, Imran; Shen, Yannan; Khan, Abdullah; Woidt, Carsten; Hillmer, Hartmut

    2016-04-01

    Miniaturized optical spectrometers can be implemented by an array of Fabry-Pérot (FP) filters. FP filters are composed of two highly reflecting parallel mirrors and a resonance cavity. Each filter transmits a small spectral band (filter line) depending on its individual cavity height. The optical nanospectrometer, a miniaturized FP-based spectrometer, implements 3D NanoImprint technology for the fabrication of multiple FP filter cavities in a single process step. However, it is challenging to avoid the dependency of residual layer (RL) thickness on the shape of the printed patterns in NanoImprint. Since in a nanospectrometer the filter cavities vary in height between neighboring FP filters and, thus, the volume of each cavity varies causing that the RL varies slightly or noticeably between different filters. This is one of the few disadvantages of NanoImprint using soft templates such as substrate conformal imprint lithography which is used in this paper. The advantages of large area soft templates can be revealed substantially if the problem of laterally inhomogeneous RLs can be avoided or reduced considerably. In the case of the nanospectrometer, non-uniform RLs lead to random variations in the designed cavity heights resulting in the shift of desired filter lines. To achieve highly uniform RLs, we report a volume-equalized template design with the lateral distribution of 64 different cavity heights into several units with each unit comprising four cavity heights. The average volume of each unit is kept constant to obtain uniform filling of imprint material per unit area. The imprint results, based on the volume-equalized template, demonstrate highly uniform RLs of 110 nm thickness.

  18. Durability to oxygen reactive ion etching enhanced by addition of synthesized bis(trimethylsilyl)phenyl-containing (meth)acrylates in ultraviolet nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ito, Shunya; Sato, Hiroki; Tasaki, Yuhei; Watanuki, Kimihito; Nemoto, Nobukatsu; Nakagawa, Masaru

    2016-06-01

    We investigated the selection of bis(trimethylsilyl)phenyl-containing (meth)acrylates as additives to improve the durability to oxygen reactive ion etching (O2 RIE) of sub-50 nm imprint resist patterns suitable for bubble-defect-free UV nanoimprinting with a readily condensable gas. 2,5-Bis(2-acryloyloxyethoxy)-1,4-bis(trimethylsilyl)benzene, which has a diacrylate chemical structure similar to that of glycerol 1,3-diglycerolate diacrylate used as a base monomer, and 3-(2-methacryloyloxyethoxy)-1-(hydroxylethoxy)-2-propoxy-3,5-bis(trimethylsilyl)benzene, which has a hydroxy group similar to the base monomer, were synthesized taking into consideration the Ohnishi and ring parameters, and the oxidization of the trimethylsilyl moiety to inorganic species during O2 RIE. The addition of the latter liquid additive to the base monomer decreased etching rate owing to the good miscibility of the additive in the base monomer, while the addition of the former crystalline additive caused phase separation after UV nanoimprinting. The latter additive worked as a compatibilizer to the former additive, which is preferred for etching durability improvement. The coexistence of the additives enabled the fabrication of a 45 nm line-and-space resist pattern by UV nanoimprinting, and its residual layer could be removed by O2 RIE.

  19. ZEP520A cold-development technique and tool for ultimate resolution to fabricate 1Xnm bit pattern EB master mold for nano-imprinting lithography for HDD/BPM development

    NASA Astrophysics Data System (ADS)

    Kobayashi, Hideo; Iyama, Hiromasa

    2012-06-01

    Poor solvent developers are effective for resolution enhancement on a polymer-type EB resist such as ZEP520A. Another way is to utilize "cold-development" technique which was accomplished by a dip-development technique usually. We then designed and successfully built a single-wafer spin-development tool for the cold-development down to -10degC in order to dissolve difficulties of the dip-development. The cold-development certainly helped improve ZEP520A resolution and hole CD size uniformity, and achieved 35nm pitch BPM patterns with the standard developer ZED-N50, but not 25nm pitch yet. By employing a poor solvent mixture of iso-Propyl Alcohol (IPA) and Fluoro-Carbon (FC), 25nm pitch BPM patterns were accomplished. However, the cold-development showed almost no improvement on the IPA/FC mixture developer solvent. This paper describes cold-development technique and a tool, as well as its results, for ZEP520A resolution enhancement to fabricate 1Xnm bits (holes) for EB master-mold for Nano-Imprinting Lithography for 1Tbit/inch2 and 25nm pitch Bit Patterned Media development.

  20. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  1. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  2. Molecular dynamics modeling framework for overcoming nanoshape retention limits of imprint lithography

    NASA Astrophysics Data System (ADS)

    Cherala, Anshuman; Sreenivasan, S. V.

    2018-12-01

    Complex nanoshaped structures (nanoshape structures here are defined as shapes enabled by sharp corners with radius of curvature <5 nm) have been shown to enable emerging nanoscale applications in energy, electronics, optics, and medicine. This nanoshaped fabrication at high throughput is well beyond the capabilities of advanced optical lithography. While the highest-resolution e-beam processes (Gaussian beam tools with non-chemically amplified resists) can achieve <5 nm resolution, this is only available at very low throughputs. Large-area e-beam processes, needed for photomasks and imprint templates, are limited to 18 nm half-pitch lines and spaces and 20 nm half-pitch hole patterns. Using nanoimprint lithography, we have previously demonstrated the ability to fabricate precise diamond-like nanoshapes with 3 nm radius corners over large areas. An exemplary shaped silicon nanowire ultracapacitor device was fabricated with these nanoshaped structures, wherein the half-pitch was 100 nm. The device significantly exceeded standard nanowire capacitor performance (by 90%) due to relative increase in surface area per unit projected area, enabled by the nanoshape. Going beyond the previous work, in this paper we explore the scaling of these nanoshaped structures to 10 nm half-pitch and below. At these scales a new "shape retention" resolution limit is observed due to polymer relaxation in imprint resists, which cannot be predicted with a linear elastic continuum model. An all-atom molecular dynamics model of the nanoshape structure was developed here to study this shape retention phenomenon and accurately predict the polymer relaxation. The atomistic framework is an essential modeling and design tool to extend the capability of imprint lithography to sub-10 nm nanoshapes. This framework has been used here to propose process refinements that maximize shape retention, and design template assist features (design for nanoshape retention) to achieve targeted nanoshapes.

  3. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  4. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  5. The Fabrication of Nanoimprinted P3HT Nanograting by Patterned ETFE Mold at Room Temperature and Its Application for Solar Cell

    NASA Astrophysics Data System (ADS)

    Ding, Guangzhu; Wang, Kaixuan; Li, Xiaohui; Chen, Qing; Hu, Zhijun; Liu, Jieping

    2016-05-01

    Nanoimprinting lithography (NIL) is investigated as a promising method to define nanostructure; however, finding a practical method to achieve large area patterning of conjugated polymer remains a challenge. We demonstrate here that a simple and cost-effective technique is proposed to fabricate the nanoimprinted P3HT nanograting by solvent-assisted room temperature NIL (SART-NIL) method with patterned ETFE film as mold. The patterned ETFE template is produced by embossing ETFE film into a patterned silicon master and is used as template to transfer nanogratings during the SART-NIL process. It indicates that highly reproducible and well-controlled P3HT nanograting film is obtained successfully with feature size of nanogratings ranging from 130 to 700 nm, due to the flexibility, stiffness, and low surface energy of ETFE mold. Moreover, the SART-NIL method using ETFE mold is able to fabricate nanogratings but not to induce the change of molecular orientation within conjugated polymer. The conducting ability of P3HT nanograting in the vertical direction is also not damaged after patterning. Finally, we further apply P3HT nanograting for the fabrication of active layer of OBHJ solar cell device, to investigate the morphology role presented by ETFE mold in device performance. The device performance of OBHJ solar cell is preferential to that of PBHJ device obviously.

  6. The Fabrication of Nanoimprinted P3HT Nanograting by Patterned ETFE Mold at Room Temperature and Its Application for Solar Cell.

    PubMed

    Ding, Guangzhu; Wang, Kaixuan; Li, Xiaohui; Chen, Qing; Hu, Zhijun; Liu, Jieping

    2016-12-01

    Nanoimprinting lithography (NIL) is investigated as a promising method to define nanostructure; however, finding a practical method to achieve large area patterning of conjugated polymer remains a challenge. We demonstrate here that a simple and cost-effective technique is proposed to fabricate the nanoimprinted P3HT nanograting by solvent-assisted room temperature NIL (SART-NIL) method with patterned ETFE film as mold. The patterned ETFE template is produced by embossing ETFE film into a patterned silicon master and is used as template to transfer nanogratings during the SART-NIL process. It indicates that highly reproducible and well-controlled P3HT nanograting film is obtained successfully with feature size of nanogratings ranging from 130 to 700 nm, due to the flexibility, stiffness, and low surface energy of ETFE mold. Moreover, the SART-NIL method using ETFE mold is able to fabricate nanogratings but not to induce the change of molecular orientation within conjugated polymer. The conducting ability of P3HT nanograting in the vertical direction is also not damaged after patterning. Finally, we further apply P3HT nanograting for the fabrication of active layer of OBHJ solar cell device, to investigate the morphology role presented by ETFE mold in device performance. The device performance of OBHJ solar cell is preferential to that of PBHJ device obviously.

  7. Nanoimprint methods for the fabrication of macroscopic plasmonically active metal nanostructures

    NASA Astrophysics Data System (ADS)

    Nagel, Robin D.; Filser, Simon; Zhang, Tianyue; Manzi, Aurora; Schönleber, Konrad; Lindsly, James; Zimmermann, Josef; Maier, Thomas L.; Scarpa, Giuseppe; Krischer, Katharina; Lugli, Paolo

    2017-02-01

    In this article, we present a refined nanostructuring method, lift-off nanoimprint lithography (LO-NIL), which allows the deposition of high-quality metal nanostructures due to a bilayer resist process and compare it to nano-transfer printing (nTP), a purely additive metal printing technique. LO-NIL and nTP are used as accurate methods for the fabrication of ordered plasmonic metal nanostructure arrays on semiconducting substrates over large areas using the example of gold nanodisks on silicon. The possibility of feature size adjustment in LO-NIL during the fabrication process is especially useful for tuning plasmonic resonance peaks between the visible and the mid-infrared range as well as fine-tuning of these resonances. In UV-VIS-NIR spectroscopic measurements, a significant blueshift in the plasmonic resonance was found for nTP samples compared to the ones fabricated with the lift-off technique. It was concluded that this shift originates from a metal/substrate interface roughness resulting in a change in the dielectric properties of this layer. This finding was verified with finite difference time-domain simulations where a similar trend was found for a model with an assumed thin air gap in this interface. In cyclic voltammetry measurements under illumination, a reduced overpotential by almost 400 mV for CO2 reduction and hydrogen evolution was found for LO-NIL samples.

  8. Development of UV-curable liquid for in-liquid fluorescence alignment in ultraviolet nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ochiai, Kento; Kikuchi, Eri; Ishito, Yota; Kumagai, Mari; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    We studied a fluorescent UV-curable resin suitable for fluorescence alignment in UV nanoimprinting. The addition of a cationic fluorescent dye caused radical photopolymerization of a UV-curable resin by exposure to visible excitation light for fluorescence microscope observation. The microscope observation of a resin film prepared by pressing resin droplets on a silica substrate with a fluorinated silica superstrate revealed that the cationic dye molecules were preferably adsorbed onto the silica surface. It was indicated that the dye molecules concentrated on the silica surface may cause the photocuring. A nonionic fluorescent dye was selected owing to its low polar symmetrical structure and its solubility parameter close to monomers. The fluorescent UV-curable resin with the nonionic dye showed uncured stability to exposure to visible excitation light for 30 min with a light intensity of 8.5 mW cm‑2 detected at 530 nm.

  9. Nanoimprint system development and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control and IP accuracy. In particular, by improving the specifications on the mask chuck, residual errors of only 1nm can be realized.

  10. Cold-development tool and technique for the ultimate resolution of ZEP520A to fabricate an EB master mold for nano-imprint lithography for 1Tbit/inch2 BPM development

    NASA Astrophysics Data System (ADS)

    Kobayashi, Hideo; Iyama, Hiromasa; Kagatsume, Takeshi; Watanabe, Tsuyoshi

    2012-11-01

    Cold-development is well-known for resolution enhancement on ZEP520A. Dipping a wafer in a developer solvent chilled by a freezer, such a typical method had been employed. But, it is obvious that the dip-development method has several inferiorities such as developer temperature instability, temperature inconsistency between developer and a wafer, water-condensation on drying. We then built a single wafer spin-develop tool, and established a process sequence, to solve those difficulties. And, we tried to see their effect down to -10degC over various developers. In specific, we tried to make hole patterns in hexagonal closest packing in 40nm, 35nm, 30nm, 25nm pitch, and examined holes pattern quality and resolution limit by varying setting temperature from room temperature to -10degC in the cold-development, as well as varying developer chemistry from the standard developer ZED N-50 (n-amyl acetate, 100%) to MiBK and IPA mixture which was a rinsing solvent mixture originally. We also examined the other developer (poor solvent mixture) we designed, N-50 and fluorocarbon (FC) mixture, MiBK and FC mixture, and IPA+FC mixture. This paper describes cold-development tool and technique, and its results down to minus (-) 10degC, for ZEP520A resolution enhancement to obtain 1Xnm bits (holes) in 25nm pitch to fabricate an EB master mold for Nano-Imprinting Lithography for 1Tbit/in2 bit patterned media (BPM) in HDD development and production.

  11. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  12. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  13. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  14. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  15. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  16. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  17. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  18. SCIL nanoimprint solutions: high-volume soft NIL for wafer scale sub-10nm resolution

    NASA Astrophysics Data System (ADS)

    Voorkamp, R.; Verschuuren, M. A.; van Brakel, R.

    2016-10-01

    Nano-patterning materials and surfaces can add unique functionalities and properties which cannot be obtained in bulk or micro-structured materials. Examples range from hetro-epitaxy of semiconductor nano-wires to guiding cell expression and growth on medical implants. [1] Due to the cost and throughput requirements conventional nano-patterning techniques such as deep UV lithography (cost and flat substrate demands) and electron-beam lithography (cost, throughput) are not an option. Self-assembly techniques are being considered for IC manufacturing, but require nano-sized guiding patterns, which have to be fabricated in any case.[2] Additionally, the self-assembly process is highly sensitive to the environment and layer thickness, which is difficult to control on non-flat surfaces such as PV silicon wafers or III/V substrates. Laser interference lithography can achieve wafer scale periodic patterns, but is limited by the throughput due to intensity of the laser at the pinhole and only regular patterns are possible where the pattern fill fraction cannot be chosen freely due to the interference condition.[3] Nanoimprint lithography (NIL) is a promising technology for the cost effective fabrication of sub-micron and nano-patterns on large areas. The challenges for NIL are related to the technique being a contact method where a stamp which holds the patterns is required to be brought into intimate contact with the surface of the product. In NIL a strong distinction is made between the type of stamp used, either rigid or soft. Rigid stamps are made from patterned silicon, silica or plastic foils and are capable of sub-10nm resolution and wafer scale patterning. All these materials behave similar at the micro- to nm scale and require high pressures (5 - 50 Bar) to enable conformal contact to be made on wafer scales. Real world conditions such as substrate bow and particle contaminants complicate the use of rigid stamps for wafer scale areas, reducing stamp lifetime and

  19. Superhydrophobic polymeric films with hierarchical structures produced by nanoimprint (NIL) and plasma roughening

    NASA Astrophysics Data System (ADS)

    Durret, Jérôme; Szkutnik, Pierre-David; Frolet, Nathalie; Labau, Sebastien; Gourgon, Cécile

    2018-07-01

    The structuration of various polymeric films has been studied to create superhydrophobic surfaces. Nanoimprint lithography and/or plasma etching processes with CF4/Ar have been used on FEP, PMMA and PET polymer films. On the one hand, the effect of the CF4/Ar gases, the input power and the plasma treatment duration have been investigated in terms of etching and fluorination degree, and XPS analyses are precisely discussed. On the other hand, wettability performances were characterized. Relationships between the contact angle, the contact angle hysteresis and the surface structures have been investigated. The wetting behaviors and the transition between the Wenzel and the Cassie-Baxter states was discussed as a function of the roughness. We have prepared each studied polymer films in transparent and flexible superhydrophobic surfaces whose contact angle are ∼160° and hysteresis are ∼2°. A short plasma treatment time (10 s) is sufficient to obtain a superhydrophobic behavior on FEP and PMMA. Results indicate that hierarchical structures allow a more stable superhydrophobic state regarding inhomogeneities. Moreover, the use of plasma etching is suggested to overcome some limitations of the NIL in the case of structures with a high aspect ratio. Finally, a quick and large surface fabrication method for superhydrophobic films is detailed.

  20. Toward large-area roll-to-roll printed nanophotonic sensors

    NASA Astrophysics Data System (ADS)

    Karioja, Pentti; Hiltunen, Jussi; Aikio, Sanna M.; Alajoki, Teemu; Tuominen, Jarkko; Hiltunen, Marianne; Siitonen, Samuli; Kontturi, Ville; Böhlen, Karl; Hauser, Rene; Charlton, Martin; Boersma, Arjen; Lieberzeit, Peter; Felder, Thorsten; Eustace, David; Haskal, Eliav

    2014-05-01

    Polymers have become an important material group in fabricating discrete photonic components and integrated optical devices. This is due to their good properties: high optical transmittance, versatile processability at relative low temperatures and potential for low-cost production. Recently, nanoimprinting or nanoimprint lithography (NIL) has obtained a plenty of research interest. In NIL, a mould is pressed against a substrate coated with a moldable material. After deformation of the material, the mold is separated and a replica of the mold is formed. Compared with conventional lithographic methods, imprinting is simple to carry out, requires less-complicated equipment and can provide high-resolution with high throughput. Nanoimprint lithography has shown potential to become a method for low-cost and high-throughput fabrication of nanostructures. We show the development process of nano-structured, large-area multi-parameter sensors using Photonic Crystal (PC) and Surface Enhanced Raman Scattering (SERS) methodologies for environmental and pharmaceutical applications. We address these challenges by developing roll-to-roll (R2R) UV-nanoimprint fabrication methods. Our development steps are the following: Firstly, the proof of concept structures are fabricated by the use of wafer-level processes in Si-based materials. Secondly, the master molds of successful designs are fabricated, and they are used to transfer the nanophotonic structures into polymer materials using sheet-level UV-nanoimprinting. Thirdly, the sheet-level nanoimprinting processes are transferred to roll-to-roll fabrication. In order to enhance roll-to-roll manufacturing capabilities, silicone-based polymer material development was carried out. In the different development phases, Photonic Crystal and SERS sensor structures with increasing complexities were fabricated using polymer materials in order to enhance sheet-level and roll-to-roll manufacturing processes. In addition, chemical and molecular

  1. Resolution Limits of Nanoimprinted Patterns by Fluorescence Microscopy

    NASA Astrophysics Data System (ADS)

    Kubo, Shoichi; Tomioka, Tatsuya; Nakagawa, Masaru

    2013-06-01

    The authors investigated optical resolution limits to identify minimum distances between convex lines of fluorescent dye-doped nanoimprinted resist patterns by fluorescence microscopy. Fluorescent ultraviolet (UV)-curable resin and thermoplastic resin films were transformed into line-and-space patterns by UV nanoimprinting and thermal nanoimprinting, respectively. Fluorescence immersion observation needed an immersion medium immiscible to the resist films, and an ionic liquid of triisobutyl methylphosphonium tosylate was appropriate for soluble thermoplastic polystyrene patterns. Observation with various numerical aperture (NA) values and two detection wavelength ranges showed that the resolution limits were smaller than the values estimated by the Sparrow criterion. The space width to identify line patterns became narrower as the line width increased. The space width of 100 nm was demonstrated to be sufficient to resolve 300-nm-wide lines in the detection wavelength range of 575-625 nm using an objective lens of NA= 1.40.

  2. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  3. Enhanced photovoltaic performance in nanoimprinted pentacene-PbS nanocrystal hybrid device

    NASA Astrophysics Data System (ADS)

    Dissanayake, D. M. N. M.; Adikaari, A. A. D. T.; Silva, S. R. P.

    2008-03-01

    Pentacene and PbS nanocrystal bilayer photovoltaic devices are fabricated after the pentacene layer is subjected to nanoimprinting using a laser textured silicon stamp. Increased short circuit current densities are observed for the imprinted devices, which are attributed to increased charge mobility in the pentacene film caused by the decrease in the intermolecular distances during nanoimprinting. This work is consistent with previous reports where hydrostatic pressure induced mobility increases have been observed in polyacenes under gigapascal pressure regimes. It is believed that the pentacene film undergoes localized high pressures during nanoimprinting, giving rise to the increased hole mobilities.

  4. All-optical lithography process for contacting nanometer precision donor devices

    NASA Astrophysics Data System (ADS)

    Ward, D. R.; Marshall, M. T.; Campbell, D. M.; Lu, T. M.; Koepke, J. C.; Scrymgeour, D. A.; Bussmann, E.; Misra, S.

    2017-11-01

    We describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  5. Frequency and amplitude dependences of molding accuracy in ultrasonic nanoimprint technology

    NASA Astrophysics Data System (ADS)

    Mekaru, Harutaka; Takahashi, Masaharu

    2009-12-01

    We use neither a heater nor ultraviolet lights, and are researching and developing an ultrasonic nanoimprint as a new nano-patterning technology. In our ultrasonic nanoimprint technology, ultrasonic vibration is not used as a heat generator instead of the heater. A mold is connected with an ultrasonic generator, and mold patterns are pushed down and pulled up at a high speed into a thermoplastic. Frictional heat is generated by ultrasonic vibration between mold patterns and thermoplastic patterns formed by an initial contact force. However, because frictional heat occurs locally, the whole mold is not heated. Therefore, a molding material can be comprehensively processed at room temperature. A magnetostriction actuator was built into our ultrasonic nanoimprint system as an ultrasonic generator, and the frequency and amplitude can be changed between dc-10 kHz and 0-4 µm, respectively. First, the ultrasonic nanoimprint was experimented by using this system on polyethylene terephthalate (PET, Tg = 69 °C), whose the glass transition temperature (Tg) is comparatively low in engineering plastics, and it was ascertained that the most suitable elastic material for this technique was an ethyl urethane rubber. In addition, we used a changeable frequency of the magnetostriction actuator, and nano-patterns in an electroformed-Ni mold were transferred to a 0.5 mm thick sheet of PET, polymethylmethacrylate (PMMA) and polycarbonate (PC), which are typical engineering plastics, under variable molding conditions. The frequency and amplitude dependence of ultrasonic vibration to the molding accuracy were investigated by measuring depth and width of imprinted patterns. As a result, regardless of the molding material, the imprinted depth was changed drastically when the frequency exceeded 5 kHz. On the other hand, when the amplitude of ultrasonic vibration grew, the imprinted depth gradually deepened. Influence of the frequency and amplitude of ultrasonic vibration was not observed

  6. All-optical lithography process for contacting nanometer precision donor devices

    DOE PAGES

    Ward, Daniel Robert; Marshall, Michael Thomas; Campbell, DeAnna Marie; ...

    2017-11-06

    In this article, we describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  7. All-optical lithography process for contacting nanometer precision donor devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ward, Daniel Robert; Marshall, Michael Thomas; Campbell, DeAnna Marie

    In this article, we describe an all-optical lithography process that can make electrical contact to nanometer-precision donor devices fabricated in silicon using scanning tunneling microscopy (STM). This is accomplished by implementing a cleaning procedure in the STM that allows the integration of metal alignment marks and ion-implanted contacts at the wafer level. Low-temperature transport measurements of a patterned device establish the viability of the process.

  8. Effects of polymer surface energy on morphology and properties of silver nanowire fabricated via nanoimprint and E-beam evaporation

    NASA Astrophysics Data System (ADS)

    Zhao, Zhi-Jun; Hwang, Soon Hyoung; Jeon, Sohee; Jung, Joo-Yun; Lee, Jihye; Choi, Dae-Geun; Choi, Jun-Hyuk; Park, Sang-Hu; Jeong, Jun-Ho

    2017-10-01

    In this paper, we demonstrate that use of different nanoimprint resins as a polymer pattern has a significant effect on the morphology of silver (Ag) nanowires deposited via an E-beam evaporator. RM-311 and Ormo-stamp resins are chosen as a polymer pattern to form a line with dimensions of width (100 nm) × space (100 nm) × height (120 nm) by using nanoimprint lithography (NIL). Their contact angles are then measured to evaluate their surface energies. In order to compare the properties of the Ag nanowires deposited on the various polymer patterns with different surface energies, hydrophobic surface treatment of the polymer pattern surface is implemented using self-assembled monolayers. In addition, gold and aluminum nanowires are fabricated for comparison with the Ag nanowires, with the differences in the nanowire morphologies being determined by the different atomic properties. The monocrystalline and polycrystalline structures of the various Ag nanowire formations are observed using transmission electron microscopy. In addition, the melting temperatures and optical properties of four kinds of Ag nanowire morphologies deposited on various polymer patterns are evaluated using a hot plate and an ultraviolet-visible (UV-vis) spectrometer, respectively. The results indicate that the morphology of the Ag nanowire determines the melting temperature and the transmission. We believe that these findings will greatly aid the development of NIL, along with physical evaporation and chemical deposition techniques, and will be widely employed in optics, biology, and surface wettability applications.

  9. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  10. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  11. Servo-integrated patterned media by hybrid directed self-assembly.

    PubMed

    Xiao, Shuaigang; Yang, Xiaomin; Steiner, Philip; Hsu, Yautzong; Lee, Kim; Wago, Koichi; Kuo, David

    2014-11-25

    A hybrid directed self-assembly approach is developed to fabricate unprecedented servo-integrated bit-patterned media templates, by combining sphere-forming block copolymers with 5 teradot/in.(2) resolution capability, nanoimprint and optical lithography with overlay control. Nanoimprint generates prepatterns with different dimensions in the data field and servo field, respectively, and optical lithography controls the selective self-assembly process in either field. Two distinct directed self-assembly techniques, low-topography graphoepitaxy and high-topography graphoepitaxy, are elegantly integrated to create bit-patterned templates with flexible embedded servo information. Spinstand magnetic test at 1 teradot/in.(2) shows a low bit error rate of 10(-2.43), indicating fully functioning bit-patterned media and great potential of this approach for fabricating future ultra-high-density magnetic storage media.

  12. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  13. Experimental demonstration of monolithically integrated 16 channel DFB laser array fabricated by nanoimprint lithography with AWG multiplexer and SOA for WDM-PON application

    NASA Astrophysics Data System (ADS)

    Zhao, Jianyi; Chen, Xin; Zhou, Ning; Huang, Xiaodong; Cao, Mingde; Wang, Lei; Liu, Wen

    2015-03-01

    A 16-channel monolithically integrated distributed feedback (DFB) laser array with arrayed waveguide gratings (AWGs) multiplexer and semiconductor optical amplifier (SOA) has been fabricated using nanoimprint technology. Selective lasing wavelength with 200 GHz frequency space has been obtained. The typical threshold current is between 20 mA and 30 mA. The output power is higher than 1 mW with 350 mA current in SOA. The side mode suppression ratio (SMSR) of the spectrum is better than 40 dB.

  14. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  15. Fabrication of n-type Si nanostructures by direct nanoimprinting with liquid-Si ink

    NASA Astrophysics Data System (ADS)

    Takagishi, Hideyuki; Masuda, Takashi; Yamazaki, Ken; Shimoda, Tatsuya

    2018-01-01

    Nanostructures of n-type amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) with a height of 270 nm and line widths of 110-165 nm were fabricated directly onto a substrate through a simple imprinting process that does not require vacuum conditions or photolithography. The n-type Liquid-Si ink was synthesized via photopolymerization of cyclopentasilane (Si5H10) and white phosphorus (P4). By raising the temperature from 160 °C to 200 °C during the nanoimprinting process, well-defined angular patterns were fabricated without any cracking, peeling, or deflections. After the nanoimprinting process, a-Si was produced by heating the nanostructures at 400°C-700 °C, and poly-Si was produced by heating at 800 °C. The dopant P diffuses uniformly in the Si films, and its concentration can be controlled by varying the concentration of P4 in the ink. The specific resistance of the n-type poly-Si pattern was 7.0 × 10-3Ω ṡ cm, which is comparable to the specific resistance of flat n-type poly-Si films.

  16. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  17. Polymer nanoimprinting using an anodized aluminum mold for structural coloration

    NASA Astrophysics Data System (ADS)

    Kikuchi, Tatsuya; Nishinaga, Osamu; Natsui, Shungo; Suzuki, Ryosuke O.

    2015-06-01

    Polymer nanoimprinting of submicrometer-scale dimple arrays with structural coloration was demonstrated. Highly ordered aluminum dimple arrays measuring 530-670 nm in diameter were formed on an aluminum substrate via etidronic acid anodizing at 210-270 V and subsequent anodic oxide dissolution. The nanostructured aluminum surface led to bright structural coloration with a rainbow spectrum, and the reflected wavelength strongly depends on the angle of the specimen and the period of the dimple array. The reflection peak shifts gradually with the dimple diameter toward longer wavelength, reaching 800 nm in wavelength at 670 nm in diameter. The shape of the aluminum dimple arrays were successfully transferred to a mercapto-ester ultra-violet curable polymer via self-assembled monolayer coating and polymer replications using a nanoimprinting technique. The nanostructured polymer surfaces with positively and negatively shaped dimple arrays also exhibited structural coloration based on the periodic nanostructure, and reflected light mostly in the visible region, 400-800 nm. This nanostructuring with structural coloration can be easily realized by simple techniques such as anodizing, SAM coating, and nanoimprinting.

  18. Fabrication and characterization of multi-stopband Fabry-Pérot filter array for nanospectrometers in the VIS range using SCIL nanoimprint technology

    NASA Astrophysics Data System (ADS)

    Shen, Yannan; Istock, André; Zaman, Anik; Woidt, Carsten; Hillmer, Hartmut

    2018-05-01

    Miniaturization of optical spectrometers can be achieved by Fabry-Pérot (FP) filter arrays. Each FP filter consists of two parallel highly reflecting mirrors and a resonance cavity in between. Originating from different individual cavity heights, each filter transmits a narrow spectral band (transmission line) with different wavelengths. Considering the fabrication efficiency, plasma enhanced chemical vapor deposition (PECVD) technology is applied to implement the high-optical-quality distributed Bragg reflectors (DBRs), while substrate conformal imprint lithography (one type of nanoimprint technology) is utilized to achieve the multiple cavities in just a single step. The FP filter array fabricated by nanoimprint combined with corresponding detector array builds a so-called "nanospectrometer". However, the silicon nitride and silicon dioxide stacks deposited by PECVD result in a limited stopband width of DBR (i.e., < 100 nm), which then limits the sensing range of filter arrays. However, an extension of the spectral range of filter arrays is desired and the topic of this investigation. In this work, multiple DBRs with different central wavelengths (λ c) are structured, deposited, and combined on a single substrate to enlarge the entire stopband. Cavity arrays are successfully aligned and imprinted over such terrace like surface in a single step. With this method, small chip size of filter arrays can be preserved, and the fabrication procedure of multiple resonance cavities is kept efficient as well. The detecting range of filter arrays is increased from roughly 50 nm with single DBR to 163 nm with three different DBRs.

  19. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  20. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  1. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  2. 28nm node process optimization: a lithography centric view

    NASA Astrophysics Data System (ADS)

    Seltmann, Rolf

    2014-10-01

    Many experts claim that the 28nm technology node will be the most cost effective technology node forever. This results from primarily from the cost of manufacturing due to the fact that 28nm is the last true Single Patterning (SP) node. It is also affected by the dramatic increase of design costs and the limited shrink factor of the next following nodes. Thus, it is assumed that this technology still will be alive still for many years. To be cost competitive, high yields are mandatory. Meanwhile, leading edge foundries have optimized the yield of the 28nm node to such a level that that it is nearly exclusively defined by random defectivity. However, it was a long way to go to come to that level. In my talk I will concentrate on the contribution of lithography to this yield learning curve. I will choose a critical metal patterning application. I will show what was needed to optimize the process window to a level beyond the usual OPC model work that was common on previous nodes. Reducing the process (in particular focus) variability is a complementary need. It will be shown which improvements were needed in tooling, process control and design-mask-wafer interaction to remove all systematic yield detractors. Over the last couple of years new scanner platforms were introduced that were targeted for both better productivity and better parametric performance. But this was not a clear run-path. It needed some extra affords of the tool suppliers together with the Fab to bring the tool variability down to the necessary level. Another important topic to reduce variability is the interaction of wafer none-planarity and lithography optimization. Having an accurate knowledge of within die topography is essential for optimum patterning. By completing both the variability reduction work and the process window enhancement work we were able to transfer the original marginal process budget to a robust positive budget and thus ensuring high yield and low costs.

  3. New 3D structuring process for non-integrated circuit related technologies (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Nouri, Lamia; Possémé, Nicolas; Landis, Stéfan; Milesi, Frédéric; Gaillard, Frédéric-Xavier

    2017-04-01

    Fabrication processes that microelectronic developed for Integrated circuit (IC) technologies for decades, do not meet the new emerging structuration's requirements, in particular non-IC related technologies one, such as MEMS/NEMS, Micro-Fluidics, photovoltaics, lenses. Actually complex 3D structuration requires complex lithography patterning approaches such as gray-scale electron beam lithography, laser ablation, focused ion beam lithography, two photon polymerization. It is now challenging to find cheaper and easiest technique to achieve 3D structures. In this work, we propose a straightforward process to realize 3D structuration, intended for silicon based materials (Si, SiN, SiOCH). This structuration technique is based on nano-imprint lithography (NIL), ion implantation and selective wet etching. In a first step a pattern is performed by lithography on a substrate, then ion implantation is realized through a resist mask in order to create localized modifications in the material, thus the pattern is transferred into the subjacent layer. Finally, after the resist stripping, a selective wet etching is carried out to remove selectively the modified material regarding the non-modified one. In this paper, we will first present results achieved with simple 2D line array pattern processed either on Silicon or SiOCH samples. This step have been carried out to demonstrate the feasibility of this new structuration process. SEM pictures reveals that "infinite" selectivity between the implanted areas versus the non-implanted one could be achieved. We will show that a key combination between the type of implanted ion species and wet etching chemistries is required to obtain such results. The mechanisms understanding involved during both implantation and wet etching processes will also be presented through fine characterizations with Photoluminescence, Raman and Secondary Ion Mass Spectrometry (SIMS) for silicon samples, and ellipso-porosimetry and Fourier Transform Infra

  4. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Technical Reports Server (NTRS)

    Sewell, James S.; Bozada, Christopher A.

    1994-01-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially

  5. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Astrophysics Data System (ADS)

    Sewell, James S.; Bozada, Christopher A.

    1994-02-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially

  6. Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Makoto; Sakaguchi, Takahiro; Hashimoto, Keisuke; Sakamoto, Rikimaru; Kishioka, Takahiro; Takei, Satoshi; Enomoto, Tomoyuki; Nakajima, Yasuyuki

    2006-03-01

    Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.

  7. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  8. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  9. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  10. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  11. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  12. High-throughput screening with nanoimprinting 3D culture for efficient drug development by mimicking the tumor environment.

    PubMed

    Yoshii, Yukie; Furukawa, Takako; Waki, Atsuo; Okuyama, Hiroaki; Inoue, Masahiro; Itoh, Manabu; Zhang, Ming-Rong; Wakizaka, Hidekatsu; Sogawa, Chizuru; Kiyono, Yasushi; Yoshii, Hiroshi; Fujibayashi, Yasuhisa; Saga, Tsuneo

    2015-05-01

    Anti-cancer drug development typically utilizes high-throughput screening with two-dimensional (2D) cell culture. However, 2D culture induces cellular characteristics different from tumors in vivo, resulting in inefficient drug development. Here, we report an innovative high-throughput screening system using nanoimprinting 3D culture to simulate in vivo conditions, thereby facilitating efficient drug development. We demonstrated that cell line-based nanoimprinting 3D screening can more efficiently select drugs that effectively inhibit cancer growth in vivo as compared to 2D culture. Metabolic responses after treatment were assessed using positron emission tomography (PET) probes, and revealed similar characteristics between the 3D spheroids and in vivo tumors. Further, we developed an advanced method to adopt cancer cells from patient tumor tissues for high-throughput drug screening with nanoimprinting 3D culture, which we termed Cancer tissue-Originated Uniformed Spheroid Assay (COUSA). This system identified drugs that were effective in xenografts of the original patient tumors. Nanoimprinting 3D spheroids showed low permeability and formation of hypoxic regions inside, similar to in vivo tumors. Collectively, the nanoimprinting 3D culture provides easy-handling high-throughput drug screening system, which allows for efficient drug development by mimicking the tumor environment. The COUSA system could be a useful platform for drug development with patient cancer cells. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Nanomoulding of Functional Materials, a Versatile Complementary Pattern Replication Method to Nanoimprinting

    PubMed Central

    Battaglia, Corsin; Söderström, Karin; Escarré, Jordi; Haug, Franz-Josef; Despeisse, Matthieu; Ballif, Christophe

    2013-01-01

    We describe a nanomoulding technique which allows low-cost nanoscale patterning of functional materials, materials stacks and full devices. Nanomoulding combined with layer transfer enables the replication of arbitrary surface patterns from a master structure onto the functional material. Nanomoulding can be performed on any nanoimprinting setup and can be applied to a wide range of materials and deposition processes. In particular we demonstrate the fabrication of patterned transparent zinc oxide electrodes for light trapping applications in solar cells. PMID:23380874

  14. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  15. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  16. Fabrication of a Ni nano-imprint stamp for an anti-reflective layer using an anodic aluminum oxide template.

    PubMed

    Park, Eun-Mi; Lim, Seung-Kyu; Ra, Senug-Hyun; Suh, Su-Jung

    2013-11-01

    Aluminum anodizing can alter pore diameter, density distribution, periodicity and layer thickness in a controlled way. Because of this property, porous type anodic aluminum oxide (AAO) was used as a template for nano-structure fabrication. The alumina layer generated at a constant voltage increased the pore size from 120 nm to 205 nm according to an increasing process time from 60 min to 150 min. The resulting fabricated AAO templates had pore diameters at or less than 200 nm. Ni was sputtered as a conductive layer onto this AAO template and electroplated using DC and pulse power. Comparing these Ni stamps, those generated from electroplating using on/reverse/off pulsing had an ordered pillar array and maintained the AAO template morphology. This stamp was used for nano-imprinting on UV curable resin coated glass wafer. Surface observations via electron microscopy showed that the nano-imprinted patterned had the same shape as the AAO template. A soft mold was subsequently fabricated and nano-imprinted to form a moth-eye structure on the glass wafer. An analysis of the substrate transmittance using UV-VIS/NIR spectroscopy showed that the transmittance of the substrate with the moth-eye structure was 5% greater that the non-patterned substrate.

  17. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    PubMed

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  18. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  19. M-shaped grating by nanoimprinting: a replicable, large-area, highly active plasmonic surface-enhanced Raman scattering substrate with nanogaps.

    PubMed

    Zhu, Zhendong; Bai, Benfeng; Duan, Huigao; Zhang, Haosu; Zhang, Mingqian; You, Oubo; Li, Qunqing; Tan, Qiaofeng; Wang, Jia; Fan, Shoushan; Jin, Guofan

    2014-04-24

    Plasmonic nanostructures separated by nanogaps enable strong electromagnetic-field confinement on the nanoscale for enhancing light-matter interactions, which are in great demand in many applications such as surface-enhanced Raman scattering (SERS). A simple M-shaped nanograting with narrow V-shaped grooves is proposed. Both theoretical and experimental studies reveal that the electromagnetic field on the surface of the M grating can be pronouncedly enhanced over that of a grating without such grooves, due to field localization in the nanogaps formed by the narrow V grooves. A technique based on room-temperature nanoimprinting lithography and anisotropic reactive-ion etching is developed to fabricate this device, which is cost-effective, reliable, and suitable for fabricating large-area nanostructures. As a demonstration of the potential application of this device, the M grating is used as a SERS substrate for probing Rhodamine 6G molecules. Experimentally, an average SERS enhancement factor as high as 5×10⁸ has been achieved, which verifies the greatly enhanced light-matter interaction on the surface of the M grating over that of traditional SERS surfaces. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Manipulating the assembly of perovskites onto soft nanoimprinted titanium dioxide templates

    NASA Astrophysics Data System (ADS)

    Baca, Alfred J.; Roberts, M. Joseph; Stenger-Smith, John; Baldwin, Lawrence

    2018-06-01

    Soft nanoimprinted titanium dioxide (TiO2) substrates decorated with methylammonium lead halide perovskite (MAPbI3) crystals were fabricated by controlling the perovskite precursor concentration and volume during spin coat processing combined with the use of hydrophobic TiO2 templates. The patterned growth was demonstrated with different perovskite crystallization methods. We investigated and successfully demonstrated the controlled assembly of two MAPbI3 nanomaterials, one a nanocomposite formed between the perovskite and a hole conducting polymer poly(2,5-bis(N-methyl-N-hexylamino)phenylene vinylene) (BAMPPV), and a second formed from perovskite crystals using common solution based MAPbI3 growth methods (1-step and 2-step processing). Both types of MAPbI3 crystals were fabricated on hydrophobic TiO2 nanotemplates composed of nanowells or grating patterns. Patterned areas as large as 100 μm × 100 μm were achieved. We examined and characterized the substrates using atomic force microscopy, scanning electron microscopy, x-ray diffraction, and energy dispersive spectroscopy. We present the optical properties (i.e. fluorescence and transmission) of soft nanoimprinted nanowells decorated with perovskites demonstrating the successful synthesis of MAPbI3 perovskite nanocrystals. As an example of their use, we demonstrate a two terminal device and show photocurrent response of a perovskite patterned micro-grating. Our method is a nondestructive approach to nanopatterning perovskites, and produces patterned arrays that maintain their photo-electric properties. The results presented herein suggests an attractive route to developing nanopatterned and small area perovskite substrates for applications in photovoltaics, x-ray sensing/detection, image sensor arrays, and others.

  1. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  2. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  3. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  4. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  5. Manipulating the assembly of perovskites onto soft nanoimprinted titanium dioxide templates.

    PubMed

    Baca, Alfred J; Roberts, M Joseph; Stenger-Smith, John; Baldwin, Lawrence

    2018-06-22

    Soft nanoimprinted titanium dioxide (TiO 2 ) substrates decorated with methylammonium lead halide perovskite (MAPbI 3 ) crystals were fabricated by controlling the perovskite precursor concentration and volume during spin coat processing combined with the use of hydrophobic TiO 2 templates. The patterned growth was demonstrated with different perovskite crystallization methods. We investigated and successfully demonstrated the controlled assembly of two MAPbI 3 nanomaterials, one a nanocomposite formed between the perovskite and a hole conducting polymer poly(2,5-bis(N-methyl-N-hexylamino)phenylene vinylene) (BAMPPV), and a second formed from perovskite crystals using common solution based MAPbI 3 growth methods (1-step and 2-step processing). Both types of MAPbI 3 crystals were fabricated on hydrophobic TiO 2 nanotemplates composed of nanowells or grating patterns. Patterned areas as large as 100 μm × 100 μm were achieved. We examined and characterized the substrates using atomic force microscopy, scanning electron microscopy, x-ray diffraction, and energy dispersive spectroscopy. We present the optical properties (i.e. fluorescence and transmission) of soft nanoimprinted nanowells decorated with perovskites demonstrating the successful synthesis of MAPbI 3 perovskite nanocrystals. As an example of their use, we demonstrate a two terminal device and show photocurrent response of a perovskite patterned micro-grating. Our method is a nondestructive approach to nanopatterning perovskites, and produces patterned arrays that maintain their photo-electric properties. The results presented herein suggests an attractive route to developing nanopatterned and small area perovskite substrates for applications in photovoltaics, x-ray sensing/detection, image sensor arrays, and others.

  6. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  7. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  8. A Nanopore Structured High Performance Toluene Gas Sensor Made by Nanoimprinting Method

    PubMed Central

    Kim, Kwang-Su; Baek, Woon-Hyuk; Kim, Jung-Min; Yoon, Tae-Sik; Lee, Hyun Ho; Kang, Chi Jung; Kim, Yong-Sang

    2010-01-01

    Toluene gas was successfully measured at room temperature using a device microfabricated by a nanoimprinting method. A highly uniform nanoporous thin film was produced with a dense array of titania (TiO2) pores with a diameter of 70∼80 nm using this method. This thin film had a Pd/TiO2 nanoporous/SiO2/Si MIS layered structure with Pd-TiO2 as the catalytic sensing layer. The nanoimprinting method was useful in expanding the TiO2 surface area by about 30%, as confirmed using AFM and SEM imaging. The measured toluene concentrations ranged from 50 ppm to 200 ppm. The toluene was easily detected by changing the Pd/TiO2 interface work function, resulting in a change in the I–V characteristics. PMID:22315567

  9. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  10. Precision process calibration and CD predictions for low-k1 lithography

    NASA Astrophysics Data System (ADS)

    Chen, Ting; Park, Sangbong; Berger, Gabriel; Coskun, Tamer H.; de Vocht, Joep; Chen, Fung; Yu, Linda; Hsu, Stephen; van den Broeke, Doug; Socha, Robert; Park, Jungchul; Gronlund, Keith; Davis, Todd; Plachecki, Vince; Harris, Tom; Hansen, Steve; Lambson, Chuck

    2005-06-01

    Leading resist calibration for sub-0.3 k1 lithography demands accuracy <2nm for CD through pitch. An accurately calibrated resist process is the prerequisite for establishing production-worthy manufacturing under extreme low k1. From an integrated imaging point of view, the following key components must be simultaneously considered during the calibration - high numerical aperture (NA>0.8) imaging characteristics, customized illuminations (measured vs. modeled pupil profiles), resolution enhancement technology (RET) mask with OPC, reticle metrology, and resist thin film substrate. For imaging at NA approaching unity, polarized illumination can impact significantly the contrast formation in the resist film stack, and therefore it is an important factor to consider in the CD-based resist calibration. For aggressive DRAM memory core designs at k1<0.3, pattern-specific illumination optimization has proven to be critical for achieving the required imaging performance. Various optimization techniques from source profile optimization with fixed mask design to the combined source and mask optimization have been considered for customer designs and available imaging capabilities. For successful low-k1 process development, verification of the optimization results can only be made with a sufficiently tunable resist model that can predicate the wafer printing accurately under various optimized process settings. We have developed, for resist patterning under aggressive low-k1 conditions, a novel 3D diffusion model equipped with double-Gaussian convolution in each dimension. Resist calibration with the new diffusion model has demonstrated a fitness and CD predication accuracy that rival or outperform the traditional 3D physical resist models. In this work, we describe our empirical approach to achieving the nm-scale precision for advanced lithography process calibrations, using either measured 1D CD through-pitch or 2D memory core patterns. We show that for ArF imaging, the

  11. First Results From A Multi-Ion Beam Lithography And Processing System At The University Of Florida

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gila, Brent; Appleton, Bill R.; Fridmann, Joel

    2011-06-01

    The University of Florida (UF) have collaborated with Raith to develop a version of the Raith ionLiNE IBL system that has the capability to deliver multi-ion species in addition to the Ga ions normally available. The UF system is currently equipped with a AuSi liquid metal alloy ion source (LMAIS) and ExB filter making it capable of delivering Au and Si ions and ion clusters for ion beam processing. Other LMAIS systems could be developed in the future to deliver other ion species. This system is capable of high performance ion beam lithography, sputter profiling, maskless ion implantation, ion beammore » mixing, and spatial and temporal ion beam assisted writing and processing over large areas (100 mm2)--all with selected ion species at voltages from 15-40 kV and nanometer precision. We discuss the performance of the system with the AuSi LMAIS source and ExB mass separator. We report on initial results from the basic system characterization, ion beam lithography, as well as for basic ion-solid interactions.« less

  12. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xiuguo; Ma, Zhichao; Xu, Zhimou

    Mueller matrix ellipsometry (MME) is applied to detect foot-like asymmetry encountered in nanoimprint lithography (NIL) processes. We present both theoretical and experimental results which show that MME has good sensitivity to both the magnitude and direction of asymmetric profiles. The physics behind the use of MME for asymmetry detection is the breaking of electromagnetic reciprocity theorem for the zeroth-order diffraction of asymmetric gratings. We demonstrate that accurate characterization of asymmetric nanoimprinted gratings can be achieved by performing MME measurements in a conical mounting with the plane of incidence parallel to grating lines and meanwhile incorporating depolarization effects into the opticalmore » model. The comparison of MME-extracted asymmetric profile with the measurement by cross-sectional scanning electron microscopy also reveals the strong potential of this technique for in-line monitoring NIL processes, where symmetric structures are desired.« less

  13. Extreme-ultraviolet and electron beam lithography processing using water developable resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi

    2017-08-01

    In order to achieve the use of pure water in the developable process of extreme-ultraviolet and electron beam lithography, instead of conventionally used tetramethylammonium hydroxide and organic solvents, a water developable resist material was designed and developed. The water-developable resist material was derived from woody biomass with beta-linked disaccharide unit for environmental affair, safety, easiness of handling, and health of the working people. 80 nm dense line patterning images with exposure dose of 22 μC/cm2 and CF4 etching selectivity of 1.8 with hardmask layer were provided by specific process conditions. The approach of our water-developable resist material will be one of the most promising technologies ready to be investigated into production of medical device applications.

  14. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  15. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  16. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  17. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  18. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  19. Assembly of metallic nanoparticle arrays on glass via nanoimprinting and thin-film dewetting

    PubMed Central

    Lee, Sun-Kyu; Hwang, Sori; Kim, Yoon-Kee

    2017-01-01

    We propose a nanofabrication process to generate large-area arrays of noble metal nanoparticles on glass substrates via nanoimprinting and dewetting of metallic thin films. Glass templates were made via pattern transfer from a topographic Si mold to an inorganically cross-linked sol–gel (IGSG) resist on glass using a two-layer polydimethylsiloxane (PDMS) stamp followed by annealing, which turned the imprinted resist into pure silica. The transparent, topographic glass successfully templated the assembly of Au and Ag nanoparticle arrays via thin-film deposition and dewetting at elevated temperatures. The microstructural and mechanical characteristics that developed during the processes were discussed. The results are promising for low-cost mass fabrication of devices for several photonic applications. PMID:28546899

  20. Assembly of metallic nanoparticle arrays on glass via nanoimprinting and thin-film dewetting.

    PubMed

    Lee, Sun-Kyu; Hwang, Sori; Kim, Yoon-Kee; Oh, Yong-Jun

    2017-01-01

    We propose a nanofabrication process to generate large-area arrays of noble metal nanoparticles on glass substrates via nanoimprinting and dewetting of metallic thin films. Glass templates were made via pattern transfer from a topographic Si mold to an inorganically cross-linked sol-gel (IGSG) resist on glass using a two-layer polydimethylsiloxane (PDMS) stamp followed by annealing, which turned the imprinted resist into pure silica. The transparent, topographic glass successfully templated the assembly of Au and Ag nanoparticle arrays via thin-film deposition and dewetting at elevated temperatures. The microstructural and mechanical characteristics that developed during the processes were discussed. The results are promising for low-cost mass fabrication of devices for several photonic applications.

  1. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  2. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  3. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  4. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  5. Ecofriendly ethanol-developable processes for electron beam lithography using positive-tone dextrin resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sugino, Naoto; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2017-07-01

    From the viewpoints of the utilization of agricultural resources and advanced use of biomass, this study is aimed at expanding the resolution limits of ecofriendly ethanol-developable processes for electron-beam lithography using a positive-tone dextrin resist material with high hydrophilicity on a cellulose-based underlayer. The images of 20-nm-hole and 40-nm-line patterns with an exposure dose of approximately 1800 µC/cm2 were provided by ecofriendly ethanol-developable processes instead of the common development processes using tetramethylammonium hydroxide and organic solvents. The CF4 etching selectivity of the positive-tone dextrin resist material was approximately 10% lower than that of the polymethyl methacrylate used as a reference resist material.

  6. Physically transient photonics: random versus distributed feedback lasing based on nanoimprinted DNA.

    PubMed

    Camposeo, Andrea; Del Carro, Pompilio; Persano, Luana; Cyprych, Konrad; Szukalski, Adam; Sznitko, Lech; Mysliwiec, Jaroslaw; Pisignano, Dario

    2014-10-28

    Room-temperature nanoimprinted, DNA-based distributed feedback (DFB) laser operation at 605 nm is reported. The laser is made of a pure DNA host matrix doped with gain dyes. At high excitation densities, the emission of the untextured dye-doped DNA films is characterized by a broad emission peak with an overall line width of 12 nm and superimposed narrow peaks, characteristic of random lasing. Moreover, direct patterning of the DNA films is demonstrated with a resolution down to 100 nm, enabling the realization of both surface-emitting and edge-emitting DFB lasers with a typical line width of <0.3 nm. The resulting emission is polarized, with a ratio between the TE- and TM-polarized intensities exceeding 30. In addition, the nanopatterned devices dissolve in water within less than 2 min. These results demonstrate the possibility of realizing various physically transient nanophotonics and laser architectures, including random lasing and nanoimprinted devices, based on natural biopolymers.

  7. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  8. Analysis of e-beam impact on the resist stack in e-beam lithography process

    NASA Astrophysics Data System (ADS)

    Indykeiwicz, K.; Paszkiewicz, B.

    2013-07-01

    Paper presents research on the sub-micron gate, AlGaN /GaN HEMT type transistors, fabrication by e-beam lithography and lift-off technique. The impact of the electron beam on the resists layer and the substrate was analyzed by MC method in Casino v3.2 software. The influence of technological process parameters on the metal structures resolution and quality for paths 100 nm, 300 nm and 500 nm wide and 20 μm long was studied. Qualitative simulation correspondences to the conducted experiments were obtained.

  9. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  10. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  11. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  12. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  13. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  14. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  15. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  16. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  17. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  18. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  19. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  20. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  1. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  2. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  3. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  4. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  5. Contact electrification induced interfacial reactions and direct electrochemical nanoimprint lithography in n-type gallium arsenate wafer† †Electronic supplementary information (ESI) available: Electrochemical measurements of the interfaces, optimization of the contact force and temperature of ECNL, XPS analysis, and more examples of ECNL on n-GaAs. See DOI: 10.1039/c6sc04091h Click here for additional data file.

    PubMed Central

    Zhang, Jie; Zhang, Lin; Wang, Wei; Han, Lianhuan; Jia, Jing-Chun; Tian, Zhao-Wu; Tian, Zhong-Qun

    2017-01-01

    Although metal assisted chemical etching (MacEtch) has emerged as a versatile micro-nanofabrication method for semiconductors, the chemical mechanism remains ambiguous in terms of both thermodynamics and kinetics. Here we demonstrate an innovative phenomenon, i.e., the contact electrification between platinum (Pt) and an n-type gallium arsenide (100) wafer (n-GaAs) can induce interfacial redox reactions. Because of their different work functions, when the Pt electrode comes into contact with n-GaAs, electrons will move from n-GaAs to Pt and form a contact electric field at the Pt/n-GaAs junction until their electron Fermi levels (E F) become equal. In the presence of an electrolyte, the potential of the Pt/electrolyte interface will shift due to the contact electricity and induce the spontaneous reduction of MnO4 – anions on the Pt surface. Because the equilibrium of contact electrification is disturbed, electrons will transfer from n-GaAs to Pt through the tunneling effect. Thus, the accumulated positive holes at the n-GaAs/electrolyte interface make n-GaAs dissolve anodically along the Pt/n-GaAs/electrolyte 3-phase interface. Based on this principle, we developed a direct electrochemical nanoimprint lithography method applicable to crystalline semiconductors. PMID:28451347

  6. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing.

    PubMed

    Lai, Fang-I; Yang, Jui-Fu

    2013-05-17

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  7. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing

    PubMed Central

    2013-01-01

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography. PMID:23683526

  8. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  9. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  10. Development of a 0.1 μm linewidth fabrication process for x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Fedosejevs, Robert; Broughton, James N.

    1999-06-01

    A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.

  11. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    NASA Astrophysics Data System (ADS)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  12. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  13. Influence of the watermark in immersion lithography process

    NASA Astrophysics Data System (ADS)

    Kawamura, Daisuke; Takeishi, Tomoyuki; Sho, Koutarou; Matsunaga, Kentarou; Shibata, Naofumi; Ozawa, Kaoru; Shimura, Satoru; Kyoda, Hideharu; Kawasaki, Tetsu; Ishida, Seiki; Toshima, Takayuki; Oonishi, Yasunobu; Ito, Shinichi

    2005-05-01

    In the liquid immersion lithography, uses of the cover material (C/M) films were discussed to reduce elution of resist components to fluid. With fluctuation of exposure tool or resist process, it is possible to remain of waterdrop on the wafer and watermark (W/M) will be made. The investigation of influence of the W/M on resist patterns, formation process of W/M, and reduction of pattern defect due to W/M will be discussed. Resist patterns within and around the intentionally made W/M were observed in three cases, which were without C/M, TOK TSP-3A and alkali-soluble C/M. In all C/M cases, pattern defect were T-topped shapes. Reduction of pattern defects due to waterdrop was examined. It was found that remained waterdrop made defect. It should be required to remove waterdrop before drying, and/or to remove the defect due to waterdrop. But new dry technique and/or unit will be need for making no W/M. It was examined that the observation of waterdrop through the drying step and simulative reproduction of experiment in order to understand the formation mechanism of W/M. If maximum drying time of waterdrop using immersion exposure tool is estimated 90 seconds, the watermark of which volume and diameter are less than 0.02 uL and 350um will be dried and will make pattern defect. The threshold will be large with wafer speed become faster. From result and speculations in this work, it is considered that it will be difficult to development C/M as single film, which makes no pattern defects due to remained waterdrop.

  14. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  15. SWS grating for UV band filter by nano-imprint

    NASA Astrophysics Data System (ADS)

    Lin, Jian-Shian; Liao, Ke-Hao; Chen, Chang-Tai; Lai, Chieh-Lung; Ko, Cheng-Hao

    2009-05-01

    Regarding to researches on manufacturing process, the fabrication of nano structures on SWS (subwavelength structured) grating are mainly produced by photo lithography. We find that UV light transmission efficiency of PET film significantly drops 50% when we put nano structures on the surface of material. In this paper, we add nano structures on the surface of PET film and create a UV band filter. Decent optical filtering effects can be achieved by combining the characteristics of PET materials with nano structures on their surfaces.

  16. Nanoimprinting-induced nanomorphological transition in polymer solar cells: enhanced electrical and optical performance.

    PubMed

    Jeong, Seonju; Cho, Changsoon; Kang, Hyunbum; Kim, Ki-Hyun; Yuk, Youngji; Park, Jeong Young; Kim, Bumjoon J; Lee, Jung-Yong

    2015-03-24

    We have investigated the effects of a directly nanopatterned active layer on the electrical and optical properties of inverted polymer solar cells (i-PSCs). The capillary force in confined molds plays a critical role in polymer crystallization and phase separation of the film. The nanoimprinting process induced improved crystallization and multidimensional chain alignment of polymers for more effective charge transfer and a fine phase-separation between polymers and [6,6]-phenyl-C71-butyric acid methyl ester (PC71BM) to favor exciton dissociation and increase the generation rate of charge transfer excitons. Consequently, the power conversion efficiency with a periodic nanostructure was enhanced from 7.40% to 8.50% and 7.17% to 9.15% in PTB7 and PTB7-Th based i-PSCs, respectively.

  17. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  18. Nanoimprint-Assisted Shear Exfoliation (NASE) for Producing Multilayer MoS2 Structures as Field-Effect Transistor Channel Arrays.

    PubMed

    Chen, Mikai; Nam, Hongsuk; Rokni, Hossein; Wi, Sungjin; Yoon, Jeong Seop; Chen, Pengyu; Kurabayashi, Katsuo; Lu, Wei; Liang, Xiaogan

    2015-09-22

    MoS2 and other semiconducting transition metal dichalcogenides (TMDCs) are of great interest due to their excellent physical properties and versatile chemistry. Although many recent research efforts have been directed to explore attractive properties associated with MoS2 monolayers, multilayer/few-layer MoS2 structures are indeed demanded by many practical scale-up device applications, because multilayer structures can provide sizable electronic/photonic state densities for driving upscalable electrical/optical signals. Currently there is a lack of processes capable of producing ordered, pristine multilayer structures of MoS2 (or other relevant TMDCs) with manufacturing-grade uniformity of thicknesses and electronic/photonic properties. In this article, we present a nanoimprint-based approach toward addressing this challenge. In this approach, termed as nanoimprint-assisted shear exfoliation (NASE), a prepatterned bulk MoS2 stamp is pressed into a polymeric fixing layer, and the imprinted MoS2 features are exfoliated along a shear direction. This shear exfoliation can significantly enhance the exfoliation efficiency and thickness uniformity of exfoliated flakes in comparison with previously reported exfoliation processes. Furthermore, we have preliminarily demonstrated the fabrication of multiple transistors and biosensors exhibiting excellent device-to-device performance consistency. Finally, we present a molecular dynamics modeling analysis of the scaling behavior of NASE. This work holds significant potential to leverage the superior properties of MoS2 and other emerging TMDCs for practical scale-up device applications.

  19. Design and fabrication of label-free biochip using a guided mode resonance filter with nano grating structures by injection molding process.

    PubMed

    Cho, E; Kim, B; Choi, S; Han, J; Jin, J; Han, J; Lim, J; Heo, Y; Kim, S; Sung, G Y; Kang, S

    2011-01-01

    This paper introduces technology to fabricate a guided mode resonance filter biochip using injection molding. Of the various nanofabrication processes that exist, injection molding is the most suitable for the mass production of polymer nanostructures. Fabrication of a nanograting pattern for guided mode resonance filters by injection molding requires a durable metal stamp, because of the high injection temperature and pressure. Careful consideration of the optimized process parameters is also required to achieve uniform sub-wavelength gratings with high fidelity. In this study, a metallic nanostructure pattern to be used as the stamp for the injection molding process was fabricated using electron beam lithography, a UV nanoimprinting process, and an electroforming process. A one-dimensional nanograting substrate was replicated by injection molding, during which the process parameters were controlled. To evaluate the geometric quality of the injection molded nanograting patterns, the surface profile of the fabricated nanograting for different processing conditions was analyzed using an atomic force microscope and a scanning electron microscope. Finally, to demonstrate the feasibility of the proposed process for fabricating guided mode resonance filter biochips, a high-refractive-index material was deposited on the polymer nanograting and its guided mode resonance characteristics were analyzed.

  20. Design and implementation of a cloud based lithography illumination pupil processing application

    NASA Astrophysics Data System (ADS)

    Zhang, Youbao; Ma, Xinghua; Zhu, Jing; Zhang, Fang; Huang, Huijie

    2017-02-01

    Pupil parameters are important parameters to evaluate the quality of lithography illumination system. In this paper, a cloud based full-featured pupil processing application is implemented. A web browser is used for the UI (User Interface), the websocket protocol and JSON format are used for the communication between the client and the server, and the computing part is implemented in the server side, where the application integrated a variety of high quality professional libraries, such as image processing libraries libvips and ImageMagic, automatic reporting system latex, etc., to support the program. The cloud based framework takes advantage of server's superior computing power and rich software collections, and the program could run anywhere there is a modern browser due to its web UI design. Compared to the traditional way of software operation model: purchased, licensed, shipped, downloaded, installed, maintained, and upgraded, the new cloud based approach, which is no installation, easy to use and maintenance, opens up a new way. Cloud based application probably is the future of the software development.

  1. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  2. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  3. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  4. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  5. Fabrication and testing of freestanding Si nanogratings for UV filtration on space-based particle sensors.

    PubMed

    Mukherjee, Pran; Zurbuchen, Thomas H; Guo, L Jay

    2009-08-12

    We demonstrate complete fabrication process integration and device performance of sturdy, self-supported transmission gratings in silicon. Gratings are patterned with nanoimprint lithography and aluminum liftoff on silicon-on-insulator wafers. Double-sided deep reactive ion etching (DRIE) creates freestanding 120 nm half-pitch gratings with 2000 nm depth and built-in 1 mm pitch bulk silicon support structures. Optical characterization demonstrates 10(-4) transmission of UV in the 190-250 nm band while a 25-30% geometric transparency allows particles to pass unimpeded for space plasma measurements.

  6. Function follows form: combining nanoimprint and inkjet printing

    NASA Astrophysics Data System (ADS)

    Muehlberger, M.; Haslinger, M. J.; Kurzmann, J.; Ikeda, M.; Fuchsbauer, A.; Faury, T.; Koepplmayr, T.; Ausserhuber, H.; Kastner, J.; Woegerer, C.; Fechtig, D.

    2017-06-01

    We are investigating the possibilities and the technical requirements to do nanopatterning on arbitrary curved surfaces. This is done considering the opportunities and possibilities of additive manufacturing. One of the key elements is the necessity to deposit material in well-defined areas of various complex 3D objects. In order to achieve this we are developing a robot-based inkjet printing. We report on our progress with this respect and also on our efforts to perform nanoimprinting on curved, possibly 3D-printed objects using materials that can be deposited by inkjet printing. In the framework of this article, we provide an overview over our current status, the challenges and an outlook.

  7. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  8. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  9. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  10. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  11. Improving 130nm node patterning using inverse lithography techniques for an analog process

    NASA Astrophysics Data System (ADS)

    Duan, Can; Jessen, Scott; Ziger, David; Watanabe, Mizuki; Prins, Steve; Ho, Chi-Chien; Shu, Jing

    2018-03-01

    Developing a new lithographic process routinely involves usage of lithographic toolsets and much engineering time to perform data analysis. Process transfers between fabs occur quite often. One of the key assumptions made is that lithographic settings are equivalent from one fab to another and that the transfer is fluid. In some cases, that is far from the truth. Differences in tools can change the proximity effect seen in low k1 imaging processes. If you use model based optical proximity correction (MBOPC), then a model built in one fab will not work under the same conditions at another fab. This results in many wafers being patterned to try and match a baseline response. Even if matching is achieved, there is no guarantee that optimal lithographic responses are met. In this paper, we discuss the approach used to transfer and develop new lithographic processes and define MBOPC builds for the new lithographic process in Fab B which was transferred from a similar lithographic process in Fab A. By using PROLITHTM simulations to match OPC models for each level, minimal downtime in wafer processing was observed. Source Mask Optimization (SMO) was also used to optimize lithographic processes using novel inverse lithography techniques (ILT) to simultaneously optimize mask bias, depth of focus (DOF), exposure latitude (EL) and mask error enhancement factor (MEEF) for critical designs for each level.

  12. Nanoimprint-Transfer-Patterned Solids Enhance Light Absorption in Colloidal Quantum Dot Solar Cells.

    PubMed

    Kim, Younghoon; Bicanic, Kristopher; Tan, Hairen; Ouellette, Olivier; Sutherland, Brandon R; García de Arquer, F Pelayo; Jo, Jea Woong; Liu, Mengxia; Sun, Bin; Liu, Min; Hoogland, Sjoerd; Sargent, Edward H

    2017-04-12

    Colloidal quantum dot (CQD) materials are of interest in thin-film solar cells due to their size-tunable bandgap and low-cost solution-processing. However, CQD solar cells suffer from inefficient charge extraction over the film thicknesses required for complete absorption of solar light. Here we show a new strategy to enhance light absorption in CQD solar cells by nanostructuring the CQD film itself at the back interface. We use two-dimensional finite-difference time-domain (FDTD) simulations to study quantitatively the light absorption enhancement in nanostructured back interfaces in CQD solar cells. We implement this experimentally by demonstrating a nanoimprint-transfer-patterning (NTP) process for the fabrication of nanostructured CQD solids with highly ordered patterns. We show that this approach enables a boost in the power conversion efficiency in CQD solar cells primarily due to an increase in short-circuit current density as a result of enhanced absorption through light-trapping.

  13. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  14. Large-area metallic photonic lattices for military applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luk, Ting Shan

    2007-11-01

    In this project we developed photonic crystal modeling capability and fabrication technology that is scaleable to large area. An intelligent optimization code was developed to find the optimal structure for the desired spectral response. In terms of fabrication, an exhaustive survey of fabrication techniques that would meet the large area requirement was reduced to Deep X-ray Lithography (DXRL) and nano-imprint. Using DXRL, we fabricated a gold logpile photonic crystal in the <100> plane. For the nano-imprint technique, we fabricated a cubic array of gold squares. These two examples also represent two classes of metallic photonic crystal topologies, the connected networkmore » and cermet arrangement.« less

  15. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  16. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  17. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  18. Finding the right way: DFM versus area efficiency for 65 nm gate layer lithography

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra S.; Scheer, Steven; Herold, Klaus; Fonseca, Carlos; Thomas, Alan; Schroeder, Uwe P.

    2006-03-01

    DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.

  19. Expanding the printable design space for lithography processes utilizing a cut mask

    NASA Astrophysics Data System (ADS)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  20. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  1. Flexible organic light-emitting diodes with enhanced light out-coupling efficiency fabricated on a double-sided nanotextured substrate.

    PubMed

    Luo, Yu; Wang, Chunhui; Wang, Li; Ding, Yucheng; Li, Long; Wei, Bin; Zhang, Jianhua

    2014-07-09

    High-efficiency organic light-emitting diodes (OLEDs) have generated tremendous research interest. One of the exciting possibilities of OLEDs is the use of flexible plastic substrates, which unfortunately have a mismatching refractive index compared with the conventional ITO anode and the air. To unlock the light loss on flexible plastic, we report a high-efficiency flexible OLED directly fabricated on a double-sided nanotextured polycarbonate substrate by thermal nanoimprint lithography. The template for the nanoimprint process is a replicate from a silica arrayed with nanopillars and fabricated by ICP etching through a SiO2 colloidal spheres mask. It has been shown that with the internal quasi-periodical scattering gratings the efficiency enhancement can reach 50% for a green light OLED, and with an external antireflection structure, the normal transmittance is increased from 89% to 94% for paraboloid-like pillars. The OLED directly fabricated on the double-sided nanotextured polycarbonate substrate has reached an enhancing factor of ∼2.8 for the current efficiency.

  2. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  3. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  4. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  5. Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings

    NASA Astrophysics Data System (ADS)

    Palitzsch, Katrin; Kubis, Michael; Schroeder, Uwe P.; Schumacher, Karl; Frangen, Andreas

    2004-05-01

    CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be

  6. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  7. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  8. Applying the miniaturization technologies for biosensor design.

    PubMed

    Derkus, Burak

    2016-05-15

    Microengineering technologies give us some opportunities in developing high-tech sensing systems that operate with low volumes of samples, integrates one or more laboratory functions on a single substrate, and enables automation. These millimetric sized devices can be produced for only a few dollars, which makes them promising candidates for mass-production. Besides electron beam lithography, stencil lithography, nano-imprint lithography or dip pen lithography, basic photolithography is the technique which is extensively used for the design of microengineered sensing systems. This technique has some advantages such as easy-to-manufacture, do not require expensive instrumentation, and allow creation of lower micron-sized patterns. In this review, it has been focused on three different type of microengineered sensing devices which are developed using micro/nano-patterning techniques, microfluidic technology, and microelectromechanics system based technology. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  10. Effects of Nanoimprinted Structures on the Performance of Organic Solar Cells

    DOE PAGES

    Gill, Hardeep Singh; Li, Lian; Ren, Haizhou; ...

    2018-01-01

    The effect of nanoimprinted structures on the performance of organic bulk heterojunction solar cells was investigated. The nanostructures were formed over the active layer employing the soft lithographic technique. The measured incident photon-to-current efficiency revealed that the nanostructured morphology over the active layer can efficiently enhance both light harvesting and charge carrier collection due to improvement of the absorption of incident light and the buried nanostructured cathode, respectively. The devices prepared with the imprinted nanostructures exhibited significantly higher power conversion efficiencies as compared to those of the control cells.

  11. Effects of Nanoimprinted Structures on the Performance of Organic Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gill, Hardeep Singh; Li, Lian; Ren, Haizhou

    The effect of nanoimprinted structures on the performance of organic bulk heterojunction solar cells was investigated. The nanostructures were formed over the active layer employing the soft lithographic technique. The measured incident photon-to-current efficiency revealed that the nanostructured morphology over the active layer can efficiently enhance both light harvesting and charge carrier collection due to improvement of the absorption of incident light and the buried nanostructured cathode, respectively. The devices prepared with the imprinted nanostructures exhibited significantly higher power conversion efficiencies as compared to those of the control cells.

  12. Sequential infiltration synthesis for advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned usingmore » photolithography, electron-beam lithography or a block copolymer self-assembly process.« less

  13. Sequential infiltration synthesis for advanced lithography

    DOEpatents

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2015-03-17

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  14. Mask manufacturing of advanced technology designs using multi-beam lithography (Part 1)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-10-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.

  15. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  16. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  17. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  18. Rapid prototyping of microstructures in polydimethylsiloxane (PDMS) by direct UV-lithography.

    PubMed

    Scharnweber, Tim; Truckenmüller, Roman; Schneider, Andrea M; Welle, Alexander; Reinhardt, Martina; Giselbrecht, Stefan

    2011-04-07

    Microstructuring of polydimethylsiloxane (PDMS) is a key step for many lab-on-a-chip (LOC) applications. In general, the structure is generated by casting the liquid prepolymer against a master. The production of the master in turn calls for special equipment and know how. Furthermore, a given master only allows the reproduction of the defined structure. We report on a simple, cheap and practical method to produce microstructures in already cured PDMS by direct UV-lithography followed by chemical development. Due to the available options during the lithographic process like multiple exposures, the method offers a high design flexibility granting easy access to complex and stepped structures. Furthermore, no master is needed and the use of pre-cured PDMS allows processing at ambient (light) conditions. Features down to approximately 5 µm and a depth of 10 µm can be realised. As a proof of principle, we demonstrate the feasibility of the process by applying the structures to various established soft lithography techniques.

  19. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  20. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  1. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  2. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  3. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  4. Enhanced linearly polarized lasing emission from nanoimprinted surface-emitting distributed feedback laser based on polymeric liquid crystals

    NASA Astrophysics Data System (ADS)

    Jeong, Soon Moon; Ha, Na Young; Chee, Mu Guen; Araoka, Fumito; Ishikawa, Ken; Takezoe, Hideo; Nishimura, Suzushi; Suzaki, Goro

    2008-12-01

    The authors have demonstrated the enhancement of linearly polarized lasing emission intensity using a structure made by a simple fabrication process. The enhanced lasing is achieved using a nanoimprinted distributed feedback structure together with spin-coated polymeric liquid crystals. The backward linearly TE-polarized lasing emission is transformed to left-handed circularly polarized light (L-CPL) by employing a dye-doped polymeric nematic liquid crystal (PNLC) film as a (-1/4)λ[=(3/4)λ] plate. The L-CPL is effectively reflected by a L-polymeric cholesteric liquid crystal film as a reflector and transformed back to TE-polarized light by the PNLC film; as a result one-directional emission intensity is enhanced.

  5. Mask manufacturing of advanced technology designs using multi-beam lithography (part 2)

    NASA Astrophysics Data System (ADS)

    Green, Michael; Ham, Young; Dillon, Brian; Kasprowicz, Bryan; Hur, Ik Boum; Park, Joong Hee; Choi, Yohan; McMurran, Jeff; Kamberian, Henry; Chalom, Daniel; Klikovits, Jan; Jurkovic, Michal; Hudek, Peter

    2016-09-01

    As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for 10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.

  6. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  7. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  8. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  9. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  10. Lithography hotspot discovery at 70nm DRAM 300mm fab: process window qualification using design base binning

    NASA Astrophysics Data System (ADS)

    Chen, Daniel; Chen, Damian; Yen, Ray; Cheng, Mingjen; Lan, Andy; Ghaskadvi, Rajesh

    2008-11-01

    Identifying hotspots--structures that limit the lithography process window--become increasingly important as the industry relies heavily on RET to print sub-wavelength designs. KLA-Tencor's patented Process Window Qualification (PWQ) methodology has been used for this purpose in various fabs. PWQ methodology has three key advantages (a) PWQ Layout--to obtain the best sensitivity (b) Design Based Binning--for pattern repeater analysis (c) Intelligent sampling--for the best DOI sampling rate. This paper evaluates two different analysis strategies for SEM review sampling successfully deployed at Inotera Memories, Inc. We propose a new approach combining the location repeater and pattern repeaters. Based on a recent case study the new sampling flow reduces the data analysis and sampling time from 6 hours to 1.5 hour maintaining maximum DOI sample rate.

  11. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  12. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  13. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  14. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  15. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  16. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  17. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  18. Top coat or no top coat for immersion lithography?

    NASA Astrophysics Data System (ADS)

    Stepanenko, N.; Kim, Hyun-Woo; Kishimura, S.; Van Den Heuvel, D.; Vandenbroeck, N.; Kocsis, M.; Foubert, P.; Maenhoudt, M.; Ercken, M.; Van Roey, F.; Gronheid, R.; Pollentier, I.; Vangoidsenhoven, D.; Delvaux, C.; Baerts, C.; O'Brien, S.; Fyen, W.; Wells, G.

    2006-03-01

    Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of

  19. Inedible cellulose-based biomass resist material amenable to water-based processing for use in electron beam lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Maki, Hirotaka; Sugahara, Kigen; Ito, Kenta; Hanabata, Makoto

    2015-07-01

    An electron beam (EB) lithography method using inedible cellulose-based resist material derived from woody biomass has been successfully developed. This method allows the use of pure water in the development process instead of the conventionally used tetramethylammonium hydroxide and anisole. The inedible cellulose-based biomass resist material, as an alternative to alpha-linked disaccharides in sugar derivatives that compete with food supplies, was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB-sensitive 2-methacryloyloxyethyl groups. A 75 nm line and space pattern at an exposure dose of 19 μC/cm2, a resist thickness uniformity of less than 0.4 nm on a 200 mm wafer, and low film thickness shrinkage under EB irradiation were achieved with this inedible cellulose-based biomass resist material using a water-based development process.

  20. A weak pattern random creation and scoring method for lithography process tuning

    NASA Astrophysics Data System (ADS)

    Zhang, Meili; Deng, Guogui; Wang, Mudan; Yu, Shirui; Hu, Xinyi; Du, Chunshan; Wan, Qijian; Liu, Zhengfang; Gao, Gensheng; Kabeel, Aliaa; Madkour, Kareem; ElManhawy, Wael; Kwan, Joe

    2018-03-01

    As the IC technology node moves forward, critical dimension becomes smaller and smaller, which brings huge challenge to IC manufacturing. Lithography is one of the most important steps during the whole manufacturing process and litho hotspots become a big source of yield detractors. Thus tuning lithographic recipes to cover a big range of litho hotspots is very essential to yield enhancing. During early technology developing stage, foundries only have limited customer layout data for recipe tuning. So collecting enough patterns is significant for process optimization. After accumulating enough patterns, a general way to treat them is not precise and applicable. Instead, an approach to scoring these patterns could provide a priority and reference to address different patterns more effectively. For example, the weakest group of patterns could be applied the most limited specs to ensure process robustness. This paper presents a new method of creation of real design alike patterns of multiple layers based on design rules using Layout Schema Generator (LSG) utility and a pattern scoring flow using Litho-friendly Design (LFD) and Pattern Matching. Through LSG, plenty of new unknown patterns could be created for further exploration. Then, litho simulation through LFD and topological matches by using Pattern Matching is applied on the output patterns of LSG. Finally, lithographical severity, printability properties and topological distribution of every pattern are collected. After a statistical analysis of pattern data, every pattern is given a relative score representing the pattern's yield detracting level. By sorting the output pattern score tables, weak patterns could be filtered out for further research and process tuning. This pattern generation and scoring flow is demonstrated on 28nm logic technology node. A weak pattern library is created and scored to help improve recipe coverage of litho hotspots and enhance the reliability of process.

  1. Line-frequency doubling of directed self-assembly patterns for single-digit bit pattern media lithography

    NASA Astrophysics Data System (ADS)

    Patel, K. C.; Ruiz, R.; Lille, J.; Wan, L.; Dobiz, E.; Gao, H.; Robertson, N.; Albrecht, T. R.

    2012-03-01

    Directed self-assembly is emerging as a promising technology to define sub-20nm features. However, a straightforward path to scale block copolymer lithography to single-digit fabrication remains challenging given the diverse material properties found in the wide spectrum of self-assembling materials. A vast amount of block copolymer research for industrial applications has been dedicated to polystyrene-b-methyl methacrylate (PS-b-PMMA), a model system that displays multiple properties making it ideal for lithography, but that is limited by a weak interaction parameter that prevents it from scaling to single-digit lithography. Other block copolymer materials have shown scalability to much smaller dimensions, but at the expense of other material properties that could delay their insertion into industrial lithographic processes. We report on a line doubling process applied to block copolymer patterns to double the frequency of PS-b-PMMA line/space features, demonstrating the potential of this technique to reach single-digit lithography. We demonstrate a line-doubling process that starts with directed self-assembly of PS-b-PMMA to define line/space features. This pattern is transferred into an underlying sacrificial hard-mask layer followed by a growth of self-aligned spacers which subsequently serve as hard-masks for transferring the 2x frequency doubled pattern to the underlying substrate. We applied this process to two different block copolymer materials to demonstrate line-space patterns with a half pitch of 11nm and 7nm underscoring the potential to reach single-digit critical dimensions. A subsequent patterning step with perpendicular lines can be used to cut the fine line patterns into a 2-D array of islands suitable for bit patterned media. Several integration challenges such as line width control and line roughness are addressed.

  2. Plasmonic colour generation

    NASA Astrophysics Data System (ADS)

    Kristensen, Anders; Yang, Joel K. W.; Bozhevolnyi, Sergey I.; Link, Stephan; Nordlander, Peter; Halas, Naomi J.; Mortensen, N. Asger

    2017-01-01

    Plasmonic colours are structural colours that emerge from resonant interactions between light and metallic nanostructures. The engineering of plasmonic colours is a promising, rapidly emerging research field that could have a large technological impact. We highlight basic properties of plasmonic colours and recent nanofabrication developments, comparing technology-performance indicators for traditional and nanophotonic colour technologies. The structures of interest include diffraction gratings, nanoaperture arrays, thin films, and multilayers and structures that support Mie resonances and whispering-gallery modes. We discuss plasmonic colour nanotechnology based on localized surface plasmon resonances, such as gap plasmons and hybridized disk-hole plasmons, which allow for colour printing with sub-diffraction resolution. We also address a range of fabrication approaches that enable large-area printing and nanoscale lithography compatible with complementary metal-oxide semiconductor technologies, including nanoimprint lithography and self-assembly. Finally, we review recent developments in dynamically reconfigurable plasmonic colours and in the laser-induced post-processing of plasmonic colour surfaces.

  3. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  4. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  5. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  6. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  7. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  8. Memristor-CMOS hybrid integrated circuits for reconfigurable logic.

    PubMed

    Xia, Qiangfei; Robinett, Warren; Cumbie, Michael W; Banerjee, Neel; Cardinali, Thomas J; Yang, J Joshua; Wu, Wei; Li, Xuema; Tong, William M; Strukov, Dmitri B; Snider, Gregory S; Medeiros-Ribeiro, Gilberto; Williams, R Stanley

    2009-10-01

    Hybrid reconfigurable logic circuits were fabricated by integrating memristor-based crossbars onto a foundry-built CMOS (complementary metal-oxide-semiconductor) platform using nanoimprint lithography, as well as materials and processes that were compatible with the CMOS. Titanium dioxide thin-film memristors served as the configuration bits and switches in a data routing network and were connected to gate-level CMOS components that acted as logic elements, in a manner similar to a field programmable gate array. We analyzed the chips using a purpose-built testing system, and demonstrated the ability to configure individual devices, use them to wire up various logic gates and a flip-flop, and then reconfigure devices.

  9. Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production

    NASA Astrophysics Data System (ADS)

    Yagawa, Keisuke; Ugajin, Kunihiro; Suenaga, Machiko; Kanamitsu, Shingo; Motokawa, Takeharu; Hagihara, Kazuki; Arisawa, Yukiyasu; Kobayashi, Sachiko; Saito, Masato; Ito, Masamitsu

    2016-04-01

    Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it's quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.

  10. Design and process development of a photonic crystal polymer biosensor for point-of-care diagnostics

    NASA Astrophysics Data System (ADS)

    Dortu, F.; Egger, H.; Kolari, K.; Haatainen, T.; Furjes, P.; Fekete, Z.; Bernier, D.; Sharp, G.; Lahiri, B.; Kurunczi, S.; Sanchez, J.-C.; Turck, N.; Petrik, P.; Patko, D.; Horvath, R.; Eiden, S.; Aalto, T.; Watts, S.; Johnson, N. P.; De La Rue, R. M.; Giannone, D.

    2011-07-01

    In this work, we report advances in the fabrication and anticipated performance of a polymer biosensor photonic chip developed in the European Union project P3SENS (FP7-ICT4-248304). Due to the low cost requirements of point-ofcare applications, the photonic chip is fabricated from nanocomposite polymeric materials, using highly scalable nanoimprint- lithography (NIL). A suitable microfluidic structure transporting the analyte solutions to the sensor area is also fabricated in polymer and adequately bonded to the photonic chip. We first discuss the design and the simulated performance of a high-Q resonant cavity photonic crystal sensor made of a high refractive index polyimide core waveguide on a low index polymer cladding. We then report the advances in doped and undoped polymer thin film processing and characterization for fabricating the photonic sensor chip. Finally the development of the microfluidic chip is presented in details, including the characterisation of the fluidic behaviour, the technological and material aspects of the 3D polymer structuring and the stable adhesion strategies for bonding the fluidic and the photonic chips, with regards to the constraints imposed by the bioreceptors supposedly already present on the sensors.

  11. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  12. Transfer the multiscale texture of crystalline Si onto thin-film micromorph cell by UV nanoimprint for light trapping

    NASA Astrophysics Data System (ADS)

    Liu, Daiming; Wang, Qingkang; Wang, Qing

    2018-05-01

    Surface texturing is of great significance in light trapping for solar cells. Herein, the multiscale texture, consisting of microscale pyramids and nanoscale porous arrangement, was fabricated on crystalline Si by KOH etching and Ag-assisted HF etching processes and subsequently replicated onto glass with high fidelity by UV nanoimprint method. Light trapping of the multiscale texture was studied by spectral (reflectance, haze ratio) characterizations. Results reveal the multiscale texture provides the broadband reflection reducing, the highlighted light scattering and the additional self-cleaning behaviors. Compared with bare cell, the multiscale textured micromorph cell achieves a 4% relative increase in power conversion efficiency. This surface texturing route paves a promising way for developing low-cost, large-scale and high-efficiency solar applications.

  13. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  14. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  15. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  16. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  17. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  18. When lithography meets self-assembly: a review of recent advances in the directed assembly of complex metal nanostructures on planar and textured surfaces

    NASA Astrophysics Data System (ADS)

    Hughes, Robert A.; Menumerov, Eredzhep; Neretina, Svetlana

    2017-07-01

    One of the foremost challenges in nanofabrication is the establishment of a processing science that integrates wafer-based materials, techniques, and devices with the extraordinary physicochemical properties accessible when materials are reduced to nanoscale dimensions. Such a merger would allow for exacting controls on nanostructure positioning, promote cooperative phenomenon between adjacent nanostructures and/or substrate materials, and allow for electrical contact to individual or groups of nanostructures. With neither self-assembly nor top-down lithographic processes being able to adequately meet this challenge, advancements have often relied on a hybrid strategy that utilizes lithographically-defined features to direct the assembly of nanostructures into organized patterns. While these so-called directed assembly techniques have proven viable, much of this effort has focused on the assembly of periodic arrays of spherical or near-spherical nanostructures comprised of a single element. Work directed toward the fabrication of more complex nanostructures, while still at a nascent stage, has nevertheless demonstrated the possibility of forming arrays of nanocubes, nanorods, nanoprisms, nanoshells, nanocages, nanoframes, core-shell structures, Janus structures, and various alloys on the substrate surface. In this topical review, we describe the progress made in the directed assembly of periodic arrays of these complex metal nanostructures on planar and textured substrates. The review is divided into three broad strategies reliant on: (i) the deterministic positioning of colloidal structures, (ii) the reorganization of deposited metal films at elevated temperatures, and (iii) liquid-phase chemistry practiced directly on the substrate surface. These strategies collectively utilize a broad range of techniques including capillary assembly, microcontact printing, chemical surface modulation, templated dewetting, nanoimprint lithography, and dip-pen nanolithography and

  19. Optimized filtration for reduced defectivity and improved dispense recipe in 193-nm BARC lithography

    NASA Astrophysics Data System (ADS)

    Do, Phong; Pender, Joe; Lehmann, Thomas; Mc Ardle, Leo P.; Gotlinsky, Barry; Mesawich, Michael

    2004-05-01

    The implementation of 193 nm lithography into production has been complicated by high defectivity issues. Many companies have been struggling with high defect densities, forcing process and lithography engineers to focus their efforts on chemical filtration instead of process development. After-etch defects have complicated the effort to reduce this problem. In particular it has been determined that chemical filtration at the 90 nm node and below is a crucial item which current industry standard pump recipes and material choices are not able to address. LSI Logic and Pall Corporation have been working together exploring alternative materials and resist pump process parameters to address these issues. These changes will free up process development time by reducing these high defect density issues. This paper provides a fundamental understanding of how 20nm filtration combined with optimized resist pump set-up and dispense can significantly reduce defects in 193nm lithography. The purpose of this study is to examine the effectiveness of 20 nanometer rated filters to reduce various defects observed in bottom anti reflective coating materials. Multiple filter types were installed on a Tokyo Electron Limited Clean Track ACT8 tool utilizing two-stage resist pumps. Lithographic performance of the filtered resist and defect analysis of patterned and non-patterned wafers were performed. Optimized pump start-up and dispense recipes also were evaluated to determine their effect on defect improvements. The track system used in this experiment was a standard production tool and was not modified from its original specifications.

  20. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    NASA Astrophysics Data System (ADS)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  1. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    NASA Astrophysics Data System (ADS)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  2. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    NASA Astrophysics Data System (ADS)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  3. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  4. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  5. Interfacial Surface Modification via Nanoimprinting to Increase Open-Circuit Voltage of Organic Solar Cells

    NASA Astrophysics Data System (ADS)

    Emah, Joseph B.; George, Nyakno J.; Akpan, Usenobong B.

    2017-08-01

    The low-cost patterning of poly(3,4-ethylenedioxythiophene) poly(4-styrenesulfonate) (PEDOT:PSS) interfacial layers inserted between indium tin oxide and poly(3-hexylthiophene-2,5-diyl):[6,6]-phenyl-C61-butyric acid ester blends leads to an improvement in organic photovoltaics (OPV) device performance. Significantly, improvements in all device parameters, including the open-circuit voltage, are achieved. The nanoimprinted devices improved further as the pattern period and imprinting depth was reduced from 727 nm and 42 nm to 340 nm and 10 nm, respectively. A residue of poly(dimethylsiloxane) (PDMS) is found on the interfacial PEDOT:PSS film following patterning and can be used to explain the increase in OPV performance. Ultraviolet photoelectron spectroscopy measurements of the PEDOT:PSS interfacial layer demonstrated a reduction of the work function of 0.4 eV following nanoimprinting which may originate from chemical modification of the PDMS residue or interfacial dipole formation supported by x-ray photoelectron spectroscopy analysis. Ultimately, we have demonstrated a 39% improvement in OPV device performance via a simple low-cost modification of the anode interfacial layer. This improvement can be assigned to two effects resulting from a PDMS residue on the PEDOT:PSS surface: (1) the reduction of the anode work function which in turn decreases the hole extraction barrier, and (2) the reduction of electron transfer from the highest occupied molecular orbital of PCBM to the anode.

  6. Fabrication of high quality aspheric microlens array by dose-modulated lithography and surface thermal reflow

    NASA Astrophysics Data System (ADS)

    Huang, Shengzhou; Li, Mujun; Shen, Lianguan; Qiu, Jinfeng; Zhou, Youquan

    2018-03-01

    A novel fabrication method for high quality aspheric microlens array (MLA) was developed by combining the dose-modulated DMD-based lithography and surface thermal reflow process. In this method, the complex shape of aspheric microlens is pre-modeled via dose modulation in a digital micromirror device (DMD) based maskless projection lithography. And the dose modulation mainly depends on the distribution of exposure dose of photoresist. Then the pre-shaped aspheric microlens is polished by a following non-contact thermal reflow (NCTR) process. Different from the normal process, the reflow process here is investigated to improve the surface quality while keeping the pre-modeled shape unchanged, and thus will avoid the difficulties in generating the aspheric surface during reflow. Fabrication of a designed aspheric MLA with this method was demonstrated in experiments. Results showed that the obtained aspheric MLA was good in both shape accuracy and surface quality. The presented method may be a promising approach in rapidly fabricating high quality aspheric microlens with complex surface.

  7. Clean solutions to the incoming wafer quality impact on lithography process yield limits in a dynamic copper/low-k research and development environment

    NASA Astrophysics Data System (ADS)

    Lysaght, Patrick S.; Ybarra, Israel; Sax, Harry; Gupta, Gaurav; West, Michael; Doros, Theodore G.; Beach, James V.; Mello, Jim

    2000-06-01

    The continued growth of the semiconductor manufacturing industry has been due, in large part, to improved lithographic resolution and overlay across increasingly larger chip areas. Optical lithography continues to be the mainstream technology for the industry with extensions of optical lithography being employed to support 180 nm product and process development. While the industry momentum is behind optical extensions to 130 nm, the key challenge will be maintaining an adequate and affordable process latitude (depth of focus/exposure window) necessary for 10% post-etch critical dimension (CD) control. If the full potential of optical lithography is to be exploited, the current lithographic systems can not be compromised by incoming wafer quality. Impurity specifications of novel Low-k dielectric materials, plating solutions, chemical-mechanical planarization (CMP) slurries, and chemical vapor deposition (CVD) precursors are not well understood and more stringent control measures will be required to meet defect density targets as identified in the National Technology Roadmap for Semiconductors (NTRS). This paper identifies several specific poor quality wafer issues that have been effectively addressed as a result of the introduction of a set of flexible and reliable wafer back surface clean processes developed on the SEZ Spin-Processor 203 configured for processing of 200 mm diameter wafers. Patterned wafers have been back surface etched by means of a novel spin process contamination elimination (SpCE) technique with the wafer suspended by a dynamic nitrogen (N2) flow, device side down, via the Bernoulli effect. Figure 1 illustrates the wafer-chuck orientation within the process chamber during back side etch processing. This paper addresses a number of direct and immediate benefits to the MicraScan IIITM deep-ultraviolet (DUV) step-and-scan system at SEMATECH. These enhancements have resulted from the resolution of three significant problems: (1) back surface

  8. Nanostructures Enabled by On-Wire Lithography (OWL)

    PubMed Central

    Braunschweig, Adam B.; Schmucker, Abrin L.; Wei, Wei David; Mirkin, Chad A.

    2010-01-01

    Nanostructures fabricated by a novel technique, termed On-Wire-Lithography (OWL), can be combined with organic and biological molecules to create systems with emergent and highly functional properties. OWL is a template-based, electrochemical process for forming gapped cylindrical structures on a solid support, with feature sizes (both gap and segment length) that can be controlled on the sub-100 nm length scale. Structures prepared by this method have provided valuable insight into the plasmonic properties of noble metal nanomaterials and have formed the basis for novel molecular electronic, encoding, and biological detection devices. PMID:20396668

  9. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  10. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  11. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  12. Single-expose patterning development for EUV lithography

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Petrillo, Karen; Meli, Luciana; Shearer, Jeffrey C.; Beique, Genevieve; Sun, Lei; Seshadri, Indira; Oh, Taehwan; Han, Seulgi; Saulnier, Nicole; Lee, Joe; Arnold, John C.; Hamieh, Bassem; Felix, Nelson M.; Furukawa, Tsuyoshi; Singh, Lovejeet; Ayothi, Ramakrishnan

    2017-03-01

    Initial readiness of EUV (extreme ultraviolet) patterning was demonstrated in 2016 with IBM Alliance's 7nm device technology. The focus has now shifted to driving the 'effective' k1 factor and enabling the second generation of EUV patterning. With the substantial cost of EUV exposure there is significant interest in extending the capability to do single exposure patterning with EUV. To enable this, emphasis must be placed on the aspect ratios, adhesion, defectivity reduction, etch selectivity, and imaging control of the whole patterning process. Innovations in resist materials and processes must be included to realize the full entitlement of EUV lithography at 0.33NA. In addition, enhancements in the patterning process to enable good defectivity, lithographic process window, and post etch pattern fidelity are also required. Through this work, the fundamental material challenges in driving down the effective k1 factor will be highlighted.

  13. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  14. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pina-Hernandez, Carlos; Koshelev, Alexander; Dhuey, Scott

    A novel method to realizing printed active photonic devices was developed using nanoimprint lithography (NIL), combining a printable high-refractive index material and colloidal CdSe/CdS quantum dots (QDs) for applications in the visible region. Active media QDs were applied in two different ways: embedded inside a printable high-refractive index matrix to form an active printable hybrid nanocomposite, and used as a uniform coating on top of printed photonic devices. As a proof-of-demonstration for printed active photonic devices, two-dimensional (2-D) photonic crystals as well as 1D and 2D photonic nanocavities were successfully fabricated following a simple reverse-nanoimprint process. We observed enhanced photoluminescencemore » from the 2D photonic crystal and the 1D nanocavities. Outstandingly, the process presented in this study is fully compatible with large-scale manufacturing where the patterning areas are only limited by the size of the corresponding mold. This work shows that the integration of active media and functional materials is a promising approach to the realization of integrated photonics for visible light using high throughput technologies. We believe that this work represents a powerful and cost-effective route for the development of numerous nanophotonic structures and devices that will lead to the emergence of new applications.« less

  15. Advanced fabrication of Si nanowire FET structures by means of a parallel approach.

    PubMed

    Li, J; Pud, S; Mayer, D; Vitusevich, S

    2014-07-11

    In this paper we present fabricated Si nanowires (NWs) of different dimensions with enhanced electrical characteristics. The parallel fabrication process is based on nanoimprint lithography using high-quality molds, which facilitates the realization of 50 nm-wide NW field-effect transistors (FETs). The imprint molds were fabricated by using a wet chemical anisotropic etching process. The wet chemical etch results in well-defined vertical sidewalls with edge roughness (3σ) as small as 2 nm, which is about four times better compared with the roughness usually obtained for reactive-ion etching molds. The quality of the mold was studied using atomic force microscopy and scanning electron microscopy image data. The use of the high-quality mold leads to almost 100% yield during fabrication of Si NW FETs as well as to an exceptional quality of the surfaces of the devices produced. To characterize the Si NW FETs, we used noise spectroscopy as a powerful method for evaluating device performance and the reliability of structures with nanoscale dimensions. The Hooge parameter of fabricated FET structures exhibits an average value of 1.6 × 10(-3). This value reflects the high quality of Si NW FETs fabricated by means of a parallel approach that uses a nanoimprint mold and cost-efficient technology.

  16. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    NASA Astrophysics Data System (ADS)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  17. Self-assembly and nanosphere lithography for large-area plasmonic patterns on graphene.

    PubMed

    Lotito, Valeria; Zambelli, Tomaso

    2015-06-01

    Plasmonic structures on graphene can tailor its optical properties, which is essential for sensing and optoelectronic applications, e.g. for the enhancement of photoresponsivity of graphene photodetectors. Control over their structural and, hence, spectral properties can be attained by using electron beam lithography, which is not a viable solution for the definition of patterns over large areas. For the fabrication of large-area plasmonic nanostructures, we propose to use self-assembled monolayers of nanospheres as a mask for metal evaporation and etching processes. An optimized approach based on self-assembly at air/water interface with a properly designed apparatus allows the attainment of monolayers of hexagonally closely packed patterns with high long-range order and large area coverage; special strategies are devised in order to protect graphene against damage resulting from surface treatment and further processing steps such as reactive ion etching, which could potentially impair graphene properties. Therefore we demonstrate that nanosphere lithography is a cost-effective solution to create plasmonic patterns on graphene. Copyright © 2014 Elsevier Inc. All rights reserved.

  18. Layout compliance for triple patterning lithography: an iterative approach

    NASA Astrophysics Data System (ADS)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  19. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  20. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  1. Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Campbell, Ian Patrick

    Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. This bottom-up process relies on the parallel self-assembly of macromolecules composed of covalently linked, chemically distinct blocks to generate periodic nanostructures. Among the myriad potential morphologies, lamellar structures formed by diblock copolymers with symmetric volume fractions have attracted the most interest as a patterning tool. When confined to thin films and directed to assemble with interfaces perpendicular to the substrate, two-dimensional domains are formed between the free surface and the substrate, and selective removal of a single block creates a nanostructured polymeric template. The substrate exposed between the polymeric features can subsequently be modified through standard top-down microfabrication processes to generate novel nanostructured materials. Despite tremendous progress in our understanding of block copolymer self-assembly, continuous two-dimensional materials have not yet been fabricated via this robust technique, which may enable nanostructured material combinations that cannot be fabricated through bottom-up methods. This thesis aims to study the effects of block copolymer composition and processing on the lamellar network morphology of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and utilize this knowledge to fabricate continuous two-dimensional materials through top-down methods. First, block copolymer composition was varied through homopolymer blending to explore the physical phenomena surrounding lamellar network continuity. After establishing a framework for tuning the continuity, the effects of various processing parameters were explored to engineer the network connectivity via defect annihilation processes. Precisely controlling the connectivity and continuity of lamellar networks through defect engineering and

  2. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    NASA Astrophysics Data System (ADS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  3. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  4. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  5. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  6. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  7. Scalable imprinting of shape-specific polymeric nanocarriers using a release layer of switchable water solubility.

    PubMed

    Agarwal, Rachit; Singh, Vikramjit; Jurney, Patrick; Shi, Li; Sreenivasan, S V; Roy, Krishnendu

    2012-03-27

    There is increasing interest in fabricating shape-specific polymeric nano- and microparticles for efficient delivery of drugs and imaging agents. The size and shape of these particles could significantly influence their transport properties and play an important role in in vivo biodistribution, targeting, and cellular uptake. Nanoimprint lithography methods, such as jet-and-flash imprint lithography (J-FIL), provide versatile top-down processes to fabricate shape-specific, biocompatible nanoscale hydrogels that can deliver therapeutic and diagnostic molecules in response to disease-specific cues. However, the key challenges in top-down fabrication of such nanocarriers are scalable imprinting with biological and biocompatible materials, ease of particle-surface modification using both aqueous and organic chemistry as well as simple yet biocompatible harvesting. Here we report that a biopolymer-based sacrificial release layer in combination with improved nanocarrier-material formulation can address these challenges. The sacrificial layer improves scalability and ease of imprint-surface modification due to its switchable solubility through simple ion exchange between monovalent and divalent cations. This process enables large-scale bionanoimprinting and efficient, one-step harvesting of hydrogel nanoparticles in both water- and organic-based imprint solutions. © 2012 American Chemical Society

  8. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  9. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  10. Patterned media towards Nano-bit magnetic recording: fabrication and challenges.

    PubMed

    Sbiaa, Rachid; Piramanayagam, Seidikkurippu N

    2007-01-01

    During the past decade, magnetic recording density of HDD has doubled almost every 18 months. To keep increasing the recording density, there is a need to make the small bits thermally stable. The most recent method using perpendicular recording media (PMR) will lose its fuel in a few years time and alternatives are sought. Patterned media, where the bits are magnetically separated from each other, offer the possibility to solve many issues encountered by PMR technology. However, implementation of patterned media would involve developing processing methods which offer high resolution (small bits), regular patterns, and high density. All these need to be achieved without sacrificing a high throughput and low cost. In this article, we review some of the ideas that have been proposed in this subject. However, the focus of the paper is on nano-imprint lithography (NIL) as it fulfills most of the needs of HDD as compared to conventional lithography using electron beam, EUV or X-Rays. The latest development of NIL and related technologies and their future prospects for patterned media are also discussed.

  11. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    NASA Astrophysics Data System (ADS)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  12. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    PubMed

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  13. Amine control for DUV lithography: identifying hidden sources

    NASA Astrophysics Data System (ADS)

    Kishkovich, Oleg P.; Larson, Carl E.

    2000-06-01

    The impact of airborne basic molecular contamination (MB) on the performance of chemically amplified (CA) resist systems has been a long standing problem. Low ppb levels of MB may be sufficient for robust 0.25 micrometer lithography with today's advanced CA resist systems combined with adequate chemical air filtration. However, with minimum CD targets heading below 150 nm, the introduction of new resist chemistries for Next Generation Lithography, and the trend towards thinner resists, the impact of MB at low and sub-ppb levels again becomes a critical manufacturing issue. Maximizing process control at aggressive feature sizes requires that the level of MB be maintained below a certain limit, which depends on such parameters as the sensitivity of the CA resist, the type of production tools, product mix, and process characteristics. Three approaches have been identified to reduce the susceptibility of CA resists to MB: effective chemical air filtration, modifications to resist chemistry/processing and cleanroom protocols involving MB monitoring and removal of MB sources from the fab. The final MB concentration depends on the effectiveness of filtration resources and on the total pollution originating from different sources in and out of the cleanroom. There are many well-documented sources of MB. Among these are: ambient air; polluted exhaust from other manufacturing areas re-entering the cleanroom through make-up air handlers; manufacturing process chemicals containing volatile molecular bases; certain cleanroom construction materials, such as paint and ceiling tiles; and volatile, humidifier system boiler additives (corrosion inhibitors), such as morpholine, cyclohexylamine, and dimethylaminoethanol. However, there is also an indeterminate number of other 'hidden' pollution sources, which are neither obvious nor well-documented. None of these sources are new, but they had little impact on earlier semiconductor manufacturing processes because the contamination

  14. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  15. Simultaneous RGB lasing from a single-chip polymer device.

    PubMed

    Yamashita, Kenichi; Takeuchi, Nobutaka; Oe, Kunishige; Yanagi, Hisao

    2010-07-15

    This Letter describes the fabrication and operation of a single-chip white-laser device. The laser device has a multilayered structure consisting of three laser layers. Each laser layer comprises polymer claddings and a waveguide core doped with organic dye. In each laser layer, grating corrugations were fabricated by UV-nanoimprint lithography that act as distributed-feedback cavity structures. Under optical pumping, lasing output with red, green, and blue colors was simultaneously obtained from the sample edge.

  16. A method to restrain the charging effect on an insulating substrate in high energy electron beam lithography

    NASA Astrophysics Data System (ADS)

    Mingyan, Yu; Shirui, Zhao; Yupeng, Jing; Yunbo, Shi; Baoqin, Chen

    2014-12-01

    Pattern distortions caused by the charging effect should be reduced while using the electron beam lithography process on an insulating substrate. We have developed a novel process by using the SX AR-PC 5000/90.1 solution as a spin-coated conductive layer, to help to fabricate nanoscale patterns of poly-methyl-methacrylate polymer resist on glass for phased array device application. This method can restrain the influence of the charging effect on the insulating substrate effectively. Experimental results show that the novel process can solve the problems of the distortion of resist patterns and electron beam main field stitching error, thus ensuring the accuracy of the stitching and overlay of the electron beam lithography system. The main characteristic of the novel process is that it is compatible to the multi-layer semiconductor process inside a clean room, and is a green process, quite simple, fast, and low cost. It can also provide a broad scope in the device development on insulating the substrate, such as high density biochips, flexible electronics and liquid crystal display screens.

  17. Finger-powered microfluidic systems using multilayer soft lithography and injection molding processes.

    PubMed

    Iwai, Kosuke; Shih, Kuan Cheng; Lin, Xiao; Brubaker, Thomas A; Sochol, Ryan D; Lin, Liwei

    2014-10-07

    Point-of-care (POC) and disposable biomedical applications demand low-power microfluidic systems with pumping components that provide controlled pressure sources. Unfortunately, external pumps have hindered the implementation of such microfluidic systems due to limitations associated with portability and power requirements. Here, we propose and demonstrate a 'finger-powered' integrated pumping system as a modular element to provide pressure head for a variety of advanced microfluidic applications, including finger-powered on-chip microdroplet generation. By utilizing a human finger for the actuation force, electrical power sources that are typically needed to generate pressure head were obviated. Passive fluidic diodes were designed and implemented to enable distinct fluids from multiple inlet ports to be pumped using a single actuation source. Both multilayer soft lithography and injection molding processes were investigated for device fabrication and performance. Experimental results revealed that the pressure head generated from a human finger could be tuned based on the geometric characteristics of the pumping system, with a maximum observed pressure of 7.6 ± 0.1 kPa. In addition to the delivery of multiple, distinct fluids into microfluidic channels, we also employed the finger-powered pumping system to achieve the rapid formation of both water-in-oil droplets (106.9 ± 4.3 μm in diameter) and oil-in-water droplets (75.3 ± 12.6 μm in diameter) as well as the encapsulation of endothelial cells in droplets without using any external or electrical controllers.

  18. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  19. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  20. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  1. Designed tools for analysis of lithography patterns and nanostructures

    NASA Astrophysics Data System (ADS)

    Dervillé, Alexandre; Baderot, Julien; Bernard, Guilhem; Foucher, Johann; Grönqvist, Hanna; Labrosse, Aurélien; Martinez, Sergio; Zimmermann, Yann

    2017-03-01

    We introduce a set of designed tools for the analysis of lithography patterns and nano structures. The classical metrological analysis of these objects has the drawbacks of being time consuming, requiring manual tuning and lacking robustness and user friendliness. With the goal of improving the current situation, we propose new image processing tools at different levels: semi automatic, automatic and machine-learning enhanced tools. The complete set of tools has been integrated into a software platform designed to transform the lab into a virtual fab. The underlying idea is to master nano processes at the research and development level by accelerating the access to knowledge and hence speed up the implementation in product lines.

  2. Giant cross polarization in a nanoimprinted metamaterial combining a fishnet with its Babinet complement.

    PubMed

    Dong, Lin; Haslinger, Michael J; Danzberger, Jürgen; Bergmair, Iris; Hingerl, Kurt; Hrelescu, Calin; Klar, Thomas A

    2015-07-27

    We present a large area (1 cm2) nanoimprinted metamaterial comprising a fishnet structure and its Babinet complement, which shows giant cross polarization. When illuminated with s-polarized light, the reflected beam can be p-polarized up to 96%, depending on the azimuthal orientation of the sample. This experimental result is close to the result of numerical simulations, which predict 98.7% of cross-polarization. It is further shown, that 95-100% cross polarization is only achieved in the case when the fishnet is combined with its Babinet complement. Each structure alone (either an ordinary fishnet or a plane with metallic rectangles only) shows substantially less polarization conversion.

  3. Stacking metal nano-patterns and fabrication of moth-eye structure

    NASA Astrophysics Data System (ADS)

    Taniguchi, Jun

    2018-01-01

    Nanoimprint lithography (NIL) can be used as a tool for three-dimensional nanoscale fabrication. In particular, complex metal pattern structures in polymer material are demanded as plasmonic effect devices and metamaterials. To fabricate of metallic color filter, we used silver ink and NIL techniques. Metallic color filter was composed of stacking of nanoscale silver disc patterns and polymer layers, thus, controlling of polymer layer thickness is necessary. To control of thickness of polymer layer, we used spin-coating of UV-curable polymer and NIL. As a result, ten stacking layers with 1000 nm layer thickness was obtained and red color was observed. Ultraviolet nanoimprint lithography (UV-NIL) is the most effective technique for mass fabrication of antireflection structure (ARS) films. For the use of ARS films in mobile phones and tablet PCs, which are touch-screen devices, it is important to protect the films from fingerprints and dust. In addition, as the nanoscale ARS that is touched by the hand is fragile, it is very important to obtain a high abrasion resistance. To solve these problems, a UV-curable epoxy resin has been developed that exhibits antifouling properties and high hardness. The high abrasion resistance ARS films are shown to withstand a load of 250 g/cm2 in the steel wool scratch test, and the reflectance is less than 0.4%.

  4. Photonic crystal enhanced fluorescence using a quartz substrate to reduce limits of detection

    PubMed Central

    Pokhriyal, Anusha; Lu, Meng; Chaudhery, Vikram; Huang, Cheng-Sheng; Schulz, Stephen; Cunningham, Brian T.

    2010-01-01

    A Photonic Crystal (PC) surface fabricated upon a quartz substrate using nanoimprint lithography has been demonstrated to enhance light emission from fluorescent molecules in close proximity to the PC surface. Quartz was selected for its low autofluorescence characteristics compared to polymer-based PCs, improving the detection sensitivity and signal-to-noise ratio (SNR) of PC Enhanced Fluorescence (PCEF). Nanoimprint lithography enables economical fabrication of the subwavelength PCEF surface structure over entire 1x3 in2 quartz slides. The demonstrated PCEF surface supports a transverse magnetic (TM) resonant mode at a wavelength of λ = 632.8 nm and an incident angle of θ = 11°, which amplifies the electric field magnitude experienced by surface-bound fluorophores. Meanwhile, another TM mode at a wavelength of λ = 690 nm and incident angle of θ = 0° efficiently directs the fluorescent emission toward the detection optics. An enhancement factor as high as 7500 × was achieved for the detection of LD-700 dye spin-coated upon the PC, compared to detecting the same material on an unpatterned glass surface. The detection of spotted Alexa-647 labeled polypeptide on the PC exhibits a 330 × SNR improvement. Using dose-response characterization of deposited fluorophore-tagged protein spots, the PCEF surface demonstrated a 140 × lower limit of detection compared to a conventional glass substrate. PMID:21164826

  5. A high resolution water soluble fullerene molecular resist for electron beam lithography.

    PubMed

    Chen, X; Palmer, R E; Robinson, A P G

    2008-07-09

    Traditionally, many lithography resists have used hazardous, environmentally damaging or flammable chemicals as casting solvent and developer. There is now a strong drive towards processes that are safer and more environmentally friendly. We report nanometre-scale patterning of a fullerene molecular resist film with electron beam lithography, using water as casting solvent and developer. Negative tone behaviour is demonstrated after exposure and development. The sensitivity of this resist to 20 keV electrons is 1.5 × 10(-2) C cm(-2). Arrays of lines with a width of 30-35 nm and pitches of 200 and 400 nm, and arrays of dots with a diameter of 40 nm and a pitch of 200 nm have been patterned at 30 keV. The etch durability of this resist was found to be ∼2 times that of a standard novolac based resist. Initial results of the chemical amplification of this material for enhanced sensitivity are also presented.

  6. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    NASA Astrophysics Data System (ADS)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  7. Monolithically integrated distributed feedback laser array wavelength-selectable light sources for WDM-PON application

    NASA Astrophysics Data System (ADS)

    Chen, Xin; Zhao, Jianyi; Zhou, Ning; Huang, Xiaodong; Cao, Mingde; Wang, Lei; Liu, Wen

    2015-01-01

    The monolithic integration of 1.5-μm four channels phase shift distributed feedback lasers array (DFB-LD array) with 4×1 multi-mode interference (MMI) optical combiner is demonstrated. A home developed process mainly consists of butt-joint regrowth (BJR) and simultaneous thermal and ultraviolet nanoimprint lithography (STU-NIL) is implemented to fabricate gratings and integrated devices. The threshold currents of the lasers are less than 10 mA and the side mode suppression ratios (SMSR) are better than 40 dB for all channels. Quasi-continuous tuning is realized over 7.5 nm wavelength region with the 30 °C temperature variation. The results indicate that the integration device we proposed can be used in wavelength division multiplexing passive optical networks (WDM-PON).

  8. N-face GaN nanorods: Continuous-flux MOVPE growth and morphological properties

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch.; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2011-01-01

    We demonstrate the morphological properties of height, diameter and shape controlled N-face GaN nanorods (NRs) by adjusting conventional growth parameters of a standard metalorganic vapour phase epitaxy (MOVPE) growth process. Particularly the hydrogen fraction within the carrier gas was shown to be an important shaping tool for the grown nanostructures. Additionally, the aspect ratio of the NRs was successfully tuned by increasing the pitch of the nanoimprint lithography (NIL) pattern, while maintaining the hole-diameter constant. An optimum aspect ratio could be found at pitches between 400 and 800 nm, whereas larger pitches are counter-productive. The major conclusion drawn from our experiments is that the whole amount of growth material available over the masked surface contributes to the growth of the NRs.

  9. Fabrication of high edge-definition steel-tape gratings for optical encoders.

    PubMed

    Ye, Guoyong; Liu, Hongzhong; Yan, Jiawei; Ban, Yaowen; Fan, Shanjin; Shi, Yongsheng; Yin, Lei

    2017-10-01

    High edge definition of a scale grating is the basic prerequisite for high measurement accuracy of optical encoders. This paper presents a novel fabrication method of steel tape gratings using graphene oxide nanoparticles as anti-reflective grating strips. Roll-to-roll nanoimprint lithography is adopted to manufacture the steel tape with hydrophobic and hydrophilic pattern arrays. Self-assembly technology is employed to obtain anti-reflective grating strips by depositing the graphene oxide nanoparticles on hydrophobic regions. A thin SiO 2 coating is deposited on the grating to protect the grating strips. Experimental results confirm that the proposed fabrication process enables a higher edge definition in making steel-tape gratings, and the new steel tape gratings offer better performance than conventional gratings.

  10. Fabrication of high edge-definition steel-tape gratings for optical encoders

    NASA Astrophysics Data System (ADS)

    Ye, Guoyong; Liu, Hongzhong; Yan, Jiawei; Ban, Yaowen; Fan, Shanjin; Shi, Yongsheng; Yin, Lei

    2017-10-01

    High edge definition of a scale grating is the basic prerequisite for high measurement accuracy of optical encoders. This paper presents a novel fabrication method of steel tape gratings using graphene oxide nanoparticles as anti-reflective grating strips. Roll-to-roll nanoimprint lithography is adopted to manufacture the steel tape with hydrophobic and hydrophilic pattern arrays. Self-assembly technology is employed to obtain anti-reflective grating strips by depositing the graphene oxide nanoparticles on hydrophobic regions. A thin SiO2 coating is deposited on the grating to protect the grating strips. Experimental results confirm that the proposed fabrication process enables a higher edge definition in making steel-tape gratings, and the new steel tape gratings offer better performance than conventional gratings.

  11. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    NASA Astrophysics Data System (ADS)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  12. Novel contact hole reticle design for enhanced lithography process window in IC manufacturing

    NASA Astrophysics Data System (ADS)

    Chang, Chung-Hsing

    2005-01-01

    For 90nm node generation, 65nm, and beyond, dark field mask types such as contact-hole, via, and trench patterns that all are very challenging to print with satisfactory process windows for day-to-day lithography manufacturing. Resolution enhancement technology (RET) masks together with ArF high numerical aperture (NA) scanners have been recognized as the inevitable choice of method for 65nm node manufacturing. Among RET mask types, the alternating phase shifting mask (AltPSM) is one of the well-known strong enhancement techniques. However AltPSM can have a very strong optical proximity effect that comes with the use of small on-axis illumination sigma setting. For very dense contact features, it may be possible for AltPSM to overcome the phase conflict by limiting the mask design rules. But it is not feasible to resolve the inherent phase conflict for the semi-dense, semi-isolated and isolated contact areas. Hence the adoption of this strong enhancement technique for dark filed mask types in today"s IC manufacturing has been very limited. In this paper, we present a novel yet a very powerful design method to achieve contact and via masks printing for 90nm, 65nm, and beyond. We name our new mask design as: Novel Improved Contact-hole pattern Exposure PSM (NICE PSM) with off-axis illumination, such as QUASAR. This RET masks design can enhance the process window of isolated, semi-isolated contact hole and via hole patterns. The main concepts of NICE PSM with QUASAR off-axis illumination are analogous to the Super-FLEX pupil filter technology.

  13. Novel contact hole reticle design for enhanced lithography process window in IC manufacturing

    NASA Astrophysics Data System (ADS)

    Chang, Chung-Hsing

    2004-10-01

    For 90nm node generation, 65nm, and beyond, dark field mask types such as contact-hole, via, and trench patterns that all are very challenging to print with satisfactory process windows for day-to-day lithography manufacturing. Resolution enhancement technology (RET) masks together with ArF high numerical aperture (NA) scanners have been recognized as the inevitable choice of method for 65nm node manufacturing. Among RET mask types, the alternating phase shifting mask (AltPSM) is one of the well-known strong enhancement techniques. However, AltPSM can have a very strong optical proximity effect that comes with the use of small on-axis illumination sigma setting. For very dense contact features, it may be possible for AltPSM to overcome the phase conflict by limiting the mask design rules. But it is not feasible to resolve the inherent phase conflict for the semi-dense, semi-isolated and isolated contact areas. Hence the adoption of this strong enhancement technique for dark filed mask types in today"s IC manufacturing has been very limited. In this paper, we report a novel yet a very powerful design method to achieve contact and via masks printing for 90nm, 65nm, and beyond. We name our new mask design as: Novel Improved Contact-hole pattern Exposure PSM (NICE PSM) with off-axis illumination, such as QUASAR. This RET masks design can enhance the process window of isolated, semi-isolated contact hole and via hole patterns. The main concepts of NICE PSM with QUASAR off-axis illumination are analogous to the Super-FLEX pupil filter technology.

  14. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    ERIC Educational Resources Information Center

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  15. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  16. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  17. Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control

    NASA Astrophysics Data System (ADS)

    Blancquaert, Yoann; Dezauzier, Christophe; Depre, Jerome; Miqyass, Mohamed; Beltman, Jan

    2013-04-01

    Continued tightening of overlay control budget in semiconductor lithography drives the need for improved metrology capabilities. Aggressive improvements are needed for overlay metrology speed, accuracy and precision. This paper is dealing with the on product metrology results of a scatterometry based platform showing excellent production results on resolution, precision, and tool matching for overlay. We will demonstrate point to point matching between tool generations as well as between target sizes and types. Nowadays, for the advanced process nodes a lot of information is needed (Higher order process correction, Reticle fingerprint, wafer edge effects) to quantify process overlay. For that purpose various overlay sampling schemes are evaluated: ultra- dense, dense and production type. We will show DBO results from multiple target type and shape for on product overlay control for current and future node down to at least 14 nm node. As overlay requirements drive metrology needs, we will evaluate if the new metrology platform meets the overlay requirements.

  18. Spun-wrapped aligned nanofiber (SWAN) lithography for fabrication of micro/nano-structures on 3D objects

    NASA Astrophysics Data System (ADS)

    Ye, Zhou; Nain, Amrinder S.; Behkam, Bahareh

    2016-06-01

    Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for fabrication of multiscale (nano to microscale) structures on 3D objects without restriction on substrate material and geometry. SWAN lithography combines precise deposition of polymeric nanofiber masks, in aligned single or multilayer configurations, with well-controlled solvent vapor treatment and etching processes to enable high throughput (>10-7 m2 s-1) and large-area fabrication of sub-50 nm to several micron features with high pattern fidelity. Using this technique, we demonstrate whole-surface nanopatterning of bulk and thin film surfaces of cubes, cylinders, and hyperbola-shaped objects that would be difficult, if not impossible to achieve with existing methods. We demonstrate that the fabricated feature size (b) scales with the fiber mask diameter (D) as b1.5 ~ D. This scaling law is in excellent agreement with theoretical predictions using the Johnson, Kendall, and Roberts (JKR) contact theory, thus providing a rational design framework for fabrication of systems and devices that require precisely designed multiscale features.Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for

  19. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  20. Fabrication of superconducting MgB2 nanostructures by an electron beam lithography-based technique

    NASA Astrophysics Data System (ADS)

    Portesi, C.; Borini, S.; Amato, G.; Monticone, E.

    2006-03-01

    In this work, we present the results obtained in fabrication and characterization of magnesium diboride nanowires realized by an electron beam lithography (EBL)-based method. For fabricating MgB2 thin films, an all in situ technique has been used, based on the coevaporation of B and Mg by means of an e-gun and a resistive heater, respectively. Since the high temperatures required for the fabrication of good quality MgB2 thin films do not allow the nanostructuring approach based on the lift-off technique, we structured the samples combining EBL, optical lithography, and Ar milling. In this way, reproducible nanowires 1 μm long have been obtained. To illustrate the impact of the MgB2 film processing on its superconducting properties, we measured the temperature dependence of the resistance on a nanowire and compared it to the original magnesium diboride film. The electrical properties of the films are not degraded as a consequence of the nanostructuring process, so that superconducting nanodevices may be obtained by this method.

  1. Maskless Lithography and in situ Visualization of Conductivity of Graphene using Helium Ion Microscopy

    DOE PAGES

    Iberi, Vighter O.; Vlassiouk, Ivan V.; Zhang, X. -G.; ...

    2015-07-07

    The remarkable mechanical and electronic properties of graphene make it an ideal candidate for next generation nanoelectronics. With the recent development of commercial-level single-crystal graphene layers, the potential for manufacturing household graphene-based devices has improved, but significant challenges still remain with regards to patterning the graphene into devices. In the case of graphene supported on a substrate, traditional nanofabrication techniques such as e-beam lithography (EBL) are often used in fabricating graphene nanoribbons but the multi-step processes they require can result in contamination of the graphene with resists and solvents. In this letter, we report the utility of scanning helium ionmore » lithography for fabricating functional graphene nanoconductors that are supported directly on a silicon dioxide layer, and we measure the minimum feature size achievable due to limitations imposed by thermal fluctuations and ion scattering during the milling process. Further we demonstrate that ion beams, due to their positive charging nature, may be used to observe and test the conductivity of graphene-based nanoelectronic devices in situ.« less

  2. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  3. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  4. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  5. Multilayer metal-oxide-metal nanopatterns via nanoimprint and strip-off for multispectral resonance

    NASA Astrophysics Data System (ADS)

    Jeon, Sohee; Sung, Sang-Keun; Jang, Eun-Hwan; Jeong, Junho; Surabhi, Srivathsava; Choi, Jun-Hyuk; Jeong, Jong-Ryul

    2018-01-01

    A fabrication technology for multispectral plasmonic resonators is presented on a basis of metal-insulator-metal (MIM) nanopattern arrays. Resonators comprised of MIM nanopatterns were fabricated using nanoimprint-based transfer and strip-off following MIM depositions. Two different kinds of configuration (web and hole) were developed for three and five layers of MIMs. The corresponding measured transmittance and reflectance spectroscopies were compared to their counterpart finite difference time domain (FDTD) simulation results. The results implied various plasmonic resonance couplings occurred at different locations around the metal structures, dependent on the layer and array configuration. By tuning the model geometry and simulation conditions, agreement between the experimental results and simulation was achieved. This work is believed to provide a viable fabrication method for multispectral resonance filters or sensors.

  6. Complete data preparation flow for Massively Parallel E-Beam lithography on 28nm node full-field design

    NASA Astrophysics Data System (ADS)

    Fay, Aurélien; Browning, Clyde; Brandt, Pieter; Chartoire, Jacky; Bérard-Bergery, Sébastien; Hazart, Jérôme; Chagoya, Alexandre; Postnikov, Sergei; Saib, Mohamed; Lattard, Ludovic; Schavione, Patrick

    2016-03-01

    Massively parallel mask-less electron beam lithography (MP-EBL) offers a large intrinsic flexibility at a low cost of ownership in comparison to conventional optical lithography tools. This attractive direct-write technique needs a dedicated data preparation flow to correct both electronic and resist processes. Moreover, Data Prep has to be completed in a short enough time to preserve the flexibility advantage of MP-EBL. While the MP-EBL tools have currently entered an advanced stage of development, this paper will focus on the data preparation side of the work for specifically the MAPPER Lithography FLX-1200 tool [1]-[4], using the ASELTA Nanographics Inscale software. The complete flow as well as the methodology used to achieve a full-field layout data preparation, within an acceptable cycle time, will be presented. Layout used for Data Prep evaluation was one of a 28 nm technology node Metal1 chip with a field size of 26x33mm2, compatible with typical stepper/scanner field sizes and wafer stepping plans. Proximity Effect Correction (PEC) was applied to the entire field, which was then exported as a single file to MAPPER Lithography's machine format, containing fractured shapes and dose assignments. The Soft Edge beam to beam stitching method was employed in the specific overlap regions defined by the machine format as well. In addition to PEC, verification of the correction was included as part of the overall data preparation cycle time. This verification step was executed on the machine file format to ensure pattern fidelity and accuracy as late in the flow as possible. Verification over the full chip, involving billions of evaluation points, is performed both at nominal conditions and at Process Window corners in order to ensure proper exposure and process latitude. The complete MP-EBL data preparation flow was demonstrated for a 28 nm node Metal1 layout in 37 hours. The final verification step shows that the Edge Placement Error (EPE) is kept below 2.25 nm

  7. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  8. Optimization of droplets for UV-NIL using coarse-grain simulation of resist flow

    NASA Astrophysics Data System (ADS)

    Sirotkin, Vadim; Svintsov, Alexander; Zaitsev, Sergey

    2009-03-01

    A mathematical model and numerical method are described, which make it possible to simulate ultraviolet ("step and flash") nanoimprint lithography (UV-NIL) process adequately even using standard Personal Computers. The model is derived from 3D Navier-Stokes equations with the understanding that the resist motion is largely directed along the substrate surface and characterized by ultra-low values of the Reynolds number. By the numerical approximation of the model, a special finite difference method is applied (a coarse-grain method). A coarse-grain modeling tool for detailed analysis of resist spreading in UV-NIL at the structure-scale level is tested. The obtained results demonstrate the high ability of the tool to calculate optimal dispensing for given stamp design and process parameters. This dispensing provides uniform filled areas and a homogeneous residual layer thickness in UV-NIL.

  9. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  10. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  11. Large-scale fabrication of polymer/Ag core-shell nanorod array as flexible SERS substrate by combining direct nanoimprint and electroless deposition

    NASA Astrophysics Data System (ADS)

    Liu, Sisi; Xu, Zhimou; Sun, Tangyou; Zhao, Wenning; Wu, Xinghui; Ma, Zhichao; Xu, Haifeng; He, Jian; Chen, Cunhua

    2014-06-01

    We demonstrate a highly sensitive surface-enhanced Raman scattering (SERS) substrate, which consists of Ag nanoparticles (NPs) assembled on the surface of a nanopatterned polymer film. The fabrication route of a polymer/Ag core-shell nanorod (PACSN) array employed a direct nanoimprint technique to create a high-resolution polymer nanorod array. The obtained nanopatterned polymer film was subjected to electroless deposition to form a sea-cucumber-like Ag shell over the surface of the polymer nanorod. The morphology and structures of PACSNs were analyzed by using scanning electron microscopy and X-ray diffraction. The as-synthesized PACSNs exhibited a remarkable SERS activity and Raman signal reproducibility to rhodamine 6G, and a concentration down to 10-12 M can be identified. The effect of electroless deposition time of Ag NPs onto the polymer nanorod surface was investigated. It was found that the electroless deposition time played an important role in SERS activity. Our results revealed that the combination of direct nanoimprint and electroless deposition provided a convenient and cost-effective way for large-scale fabrication of reliable SERS substrates without the requirement of expensive instruments.

  12. Advances in maskless and mask-based optical lithography on plastic flexible substrates

    NASA Astrophysics Data System (ADS)

    Barbu, Ionut; Ivan, Marius G.; Giesen, Peter; Van de Moosdijk, Michel; Meinders, Erwin R.

    2009-12-01

    Organic flexible electronics is an emerging technology with huge potential growth in the future which is likely to open up a complete new series of potential applications such as flexible OLED-based displays, urban commercial signage, and flexible electronic paper. The transistor is the fundamental building block of all these applications. A key challenge in patterning transistors on flexible plastic substrates stems from the in-plane nonlinear deformations as a consequence of foil expansion/shrinkage, moisture uptake, baking etc. during various processing steps. Optical maskless lithography is one of the potential candidates for compensating for these foil distortions by in-situ adjustment prior to exposure of the new layer image with respect to the already patterned layers. Maskless lithography also brings the added value of reducing the cost-of-ownership related to traditional mask-based tools by eliminating the need for expensive masks. For the purpose of this paper, single-layer maskless exposures at 355 nm were performed on gold-coated poly(ethylenenaphthalate) (PEN) flexible substrates temporarily attached to rigid carriers to ensure dimensional stability during processing. Two positive photoresists were employed for this study and the results on plastic foils were benchmarked against maskless as well as mask-based (ASML PAS 5500/100D stepper) exposures on silicon wafers.

  13. Defect reduction of high-density full-field patterns in jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Lovejeet; Luo, Kang; Ye, Zhengmao; Xu, Frank; Haase, Gaddi; Curran, David; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2011-04-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. This work summarizes the results of defect inspections focusing on two key defect types; random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. Non-fill defectivity must always be considered within the context of process throughput. The key limiting throughput step in an imprint process is resist filling time. As a result, it is critical to characterize the filling process by measuring non-fill defectivity as a function of fill time. Repeater defects typically have two main sources; mask defects and particle related defects. Previous studies have indicated that soft particles tend to cause non-repeating defects. Hard particles, on the other hand, can cause either resist plugging or mask damage. In this work, an Imprio 500 twenty wafer per hour (wph) development tool was used to study both defect types. By carefully controlling the volume of inkjetted resist, optimizing the drop pattern and controlling the resist fluid front during spreading, fill times of 1.5 seconds were achieved with non-fill defect levels of approximately 1.2/cm2. Longevity runs were used to study repeater defects and a nickel

  14. Electrically conducting nanopatterns formed by chemical e-beam lithography via gold nanoparticle seeds.

    PubMed

    Schaal, Patrick A; Besmehn, Astrid; Maynicke, Eva; Noyong, Michael; Beschoten, Bernd; Simon, Ulrich

    2012-02-07

    We report the formation of thiol nanopatterns on SAM covered silicon wafers by converting sulfonic acid head groups via e-beam lithography. These thiol groups act as binding sites for gold nanoparticles, which can be enhanced to form electrically conducting nanostructures. This approach serves as a proof-of-concept for the combination of top-down and bottom-up processes for the generation of electrical devices on silicon.

  15. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  16. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  17. Holographic illuminator for synchrotron-based projection lithography systems

    DOEpatents

    Naulleau, Patrick P.

    2005-08-09

    The effective coherence of a synchrotron beam line can be tailored to projection lithography requirements by employing a moving holographic diffuser and a stationary low-cost spherical mirror. The invention is particularly suited for use in an illuminator device for an optical image processing system requiring partially coherent illumination. The illuminator includes: (1) a synchrotron source of coherent or partially coherent radiation which has an intrinsic coherence that is higher than the desired coherence, (2) a holographic diffuser having a surface that receives incident radiation from said source, (3) means for translating the surface of the holographic diffuser in two dimensions along a plane that is parallel to the surface of the holographic diffuser wherein the rate of the motion is fast relative to integration time of said image processing system; and (4) a condenser optic that re-images the surface of the holographic diffuser to the entrance plane of said image processing system.

  18. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  19. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  20. Fabrication of 0.25-um electrode width SAW filters using x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Li, Yunlei; Fedosejevs, Robert; Broughton, James N.

    1996-05-01

    A process for the fabrication of surface acoustic wave (SAW) devices with line widths of 250 nm and less, based on x-ray lithography using a laser-plasma source has been developed. The x-ray lithography process is based on keV x-ray emission from Cu plasma produced by 15 Hz, 50 ps, 248 nm KrF excimer laser pulses. The full structure of a 2 GHz surface acoustic wave filter with interdigital transducers in a split-electrode geometry has been manufactured. The devices require patterning a 150 nm thick aluminum layer on a LiNbO3 substrate with electrodes 250 nm wide. The manufacturing process has two main steps: x-ray mask fabrication employing e-beam lithography and x-ray lithography to obtain the final device. The x-ray masks are fabricated on 1 micrometers thick membranes of Si2N4. The line patterns on the masks are written into PMMA resist using a scanning electron microscope which has been interfaced to a personal computer equipped to control the x and y scan voltages. The opaque regions of the x-ray mask are then formed by electroplating fine grain gold into the open spaces in the etched PMMA. The mask and sample are mounted in an exposure cassette with a fixed spacer of 10 micrometers separating them. The sample consists of a LiNbO3 substrate coated with Shipley XP90104C x-ray resist which has been previously characterized. The x-ray patterning is carried out in an exposure chamber with flowing helium background gas in order to minimize debris deposition on the filters. After etching the x-ray resist, the final patterns are produced using metallization and a standard lift-off technique. The SAW filters are then bonded and packaged onto impedance matching striplines. The resultant devices are tested using Scalar Network Analyzers. The final devices produced had a center frequency of 1.93 GHz with a bandwidth of 98 MHz, close to the expected performance of our simple design.

  1. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  2. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  3. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  4. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  5. 1.55 µm emission from a single III-nitride top-down and site-controlled nanowire quantum disk

    NASA Astrophysics Data System (ADS)

    Chen, Qiming; Yan, Changling; Qu, Yi

    2017-07-01

    InN/InGaN single quantum well (SQW) was fabricated on 100 nm GaN buffer layer which was deposited on GaN template by plasma assisted molecular beam epitaxy (PA-MBE). The In composition and the surface morphology were measured by x-ray diffusion (XRD) and atom force microscope (AFM), respectively. Afterwards, the sample was fabricated into site-controlled nanowires arrays by hot-embossing nano-imprint lithography (HE-NIL) and ultraviolet nanoimprint lithography (UV-NIL). The nanowires were uniform along the c-axis and aligned periodically as presented by scanning electron microscope (SEM). The single nanowire showed disk-in-a-wire structure by high angle annular dark field (HAADF) and an In-rich or Ga deficient region was observed by energy dispersive x-ray spectrum (EDXS). The optical properties of the SQW film and single nanowire were measured using micro photoluminescence (µ-PL) spectroscopy. The stimulating light wavelength was 632.8 nm which was emitted from a He-Ne laser and the detector was a liquid nitrogen cooled InGaAs detector. A blue peak shift from the film material to the nanowire was observed. This was due to the quantum confinement Stark Effect. More importantly, the 1.55 µm emission was given from the single disk-in-a-wire structure at room temperature. We believe the arrays of such nanowires may be useful for quantum communication in the future.

  6. The impact of 14-nm photomask uncertainties on computational lithography solutions

    NASA Astrophysics Data System (ADS)

    Sturtevant, John; Tejnil, Edita; Lin, Tim; Schultze, Steffen; Buck, Peter; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-04-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.

  7. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Irving, J. W.; Lu, Xiaoming; Zhang, Wei; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2013-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. In previous studies, we have focused on defects such as random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. In this work, we attempted to identify the critical imprint defect types using a mask with NAND Flash-like patterns at dimensions as small as 26nm. The two key defect types identified were line break defects induced by small particulates and airborne contaminants which result in local adhesion failure. After identification, the root cause of the defect was determined, and corrective measures were taken to either eliminate or reduce the defect source. As a result, we have been able to reduce defectivity levels by more than three orders of magnitude in only 12 months and are now achieving defectivity adders as small as 2 adders per lot of wafers.

  8. Performance of the ALTA 3500 scanned-laser mask lithography system

    NASA Astrophysics Data System (ADS)

    Buck, Peter D.; Buxbaum, Alex H.; Coleman, Thomas P.; Tran, Long

    1998-09-01

    The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.

  9. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  10. Lithography-induced limits to scaling of design quality

    NASA Astrophysics Data System (ADS)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  11. Future reticle demand and next-generation lithography technologies

    NASA Astrophysics Data System (ADS)

    Behringer, Uwe F. W.; Ehrlich, Christian; Fortange, Olaf

    1999-04-01

    Mask technology has often been considered an enabling for semiconductor fabrication. But today photomasks have evolved to a bottle neck in the every increasing integration process of semiconductor circuits. Regarding to the 1997 SIA roadmap there are very stringent requirements for mask making. Even with the momentary weak Asian market the worldwide demand for reticles will continue to grow. The anticipation of larger reticles has been discussed over years. What ever the reason for the need of larger reticles, the move to the 230 mm X 230 mm reticle size will provide size will provide unique challenges to both the mask equipment manufacturers and mask fabricator. Next Generation Lithography together with their mask techniques are in development and try to come into the market.

  12. L10 FePtCu bit patterned media

    NASA Astrophysics Data System (ADS)

    Brombacher, C.; Grobis, M.; Lee, J.; Fidler, J.; Eriksson, T.; Werner, T.; Hellwig, O.; Albrecht, M.

    2012-01-01

    Chemically ordered 5 nm-thick L10 FePtCu films with strong perpendicular magnetic anisotropy were post-patterned by nanoimprint lithography into a dot array over a 3 mm-wide circumferential band on a 3 inch Si wafer. The dots with a diameter of 30 nm and a center-to-center pitch of 60 nm appear as single domain and reveal an enhanced switching field as compared to the continuous film. We demonstrate successful recording on a single track using shingled writing with a conventional hard disk drive write/read head.

  13. First 65nm tape-out using inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Hung, Chi-Yuan; Zhang, Bin; Tang, Deming; Guo, Eric; Pang, Linyong; Liu, Yong; Moore, Andrew; Wang, Kechang

    2005-11-01

    This paper presents SMIC's first 65nm tape out results, in particularly, using ILT. ILT mathematically determines the mask features that produce the desired on-wafer results with best wafer pattern fidelity, largest process window or both. SMIC applied it to its first 65nm tape-out to study ILT performance and benefits for deep sub-wavelength lithography. SMIC selected 3 SRAM designs as the first test case, because SRAM bit-cells contain features which are challenging lithographically. Mask patterns generated from both conventional OPC and ILT were placed on the mask side-by-side. Mask manufacturability (including fracturing, writing time, inspection, and metrology) and wafer print performance of ILT were studied. The results demonstrated that ILT achieved better CD accuracy, produced substantially larger process window than conventional OPC, and met SMIC's 65nm process window requirements.

  14. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  15. Nanopatterning on calixarene thin films via low-energy field-emission scanning probe lithography.

    PubMed

    He, Xiaoyue; Li, Peng; Liu, Pengchong; Zhang, Xiaoxian; Zhou, Xiangqian; Liu, Wei; Qiu, Xiaohui

    2018-08-10

    Field-emitted, low-energy electrons from the conducting tip of an atomic force microscope were adopted for nanolithography on calixarene ultrathin films coated on silicon wafers. A structural evolution from protrusion to depression down to a 30 nm spatial resolution was reproducibly obtained by tuning the sample voltage and exposure current in the lithography process. Close analyses of the profiles showed that the nanostructures formed by a single exposure with a high current are almost identical to those created by cumulative exposure with a lower current but an equal number of injected electrons. Surface potential imaging by Kelvin probe force microscopy found a negatively charged region surrounding the groove structures once the structures were formed. We conclude that the mechanism related to the formation of a temporary negative state and molecule decomposition, rather than thermal ablation, is responsible for the low-energy field-emission electron lithography on a calixarene molecular resist. We hope that our elucidation of the underlying mechanism is helpful for molecular resist design and further improving the reproducibility and throughput of nanolithography.

  16. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  17. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  18. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  19. Print-to-pattern dry film photoresist lithography

    NASA Astrophysics Data System (ADS)

    Garland, Shaun P.; Murphy, Terrence M., Jr.; Pan, Tingrui

    2014-05-01

    Here we present facile microfabrication processes, referred to as print-to-pattern dry film photoresist (DFP) lithography, that utilize the combined advantages of wax printing and DFP to produce micropatterned substrates with high resolution over a large surface area in a non-cleanroom setting. The print-to-pattern methods can be performed in an out-of-cleanroom environment making microfabrication much more accessible to minimally equipped laboratories. Two different approaches employing either wax photomasks or wax etchmasks from a solid ink desktop printer have been demonstrated that allow the DFP to be processed in a negative tone or positive tone fashion, respectively, with resolutions of 100 µm. The effect of wax melting on resolution and as a bonding material was also characterized. In addition, solid ink printers have the capacity to pattern large areas with high resolution, which was demonstrated by stacking DFP layers in a 50 mm × 50 mm woven pattern with 1 mm features. By using an office printer to generate the masking patterns, the mask designs can be easily altered in a graphic user interface to enable rapid prototyping.

  20. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  1. Accurate lithography simulation model based on convolutional neural networks

    NASA Astrophysics Data System (ADS)

    Watanabe, Yuki; Kimura, Taiki; Matsunawa, Tetsuaki; Nojima, Shigeki

    2017-07-01

    Lithography simulation is an essential technique for today's semiconductor manufacturing process. In order to calculate an entire chip in realistic time, compact resist model is commonly used. The model is established for faster calculation. To have accurate compact resist model, it is necessary to fix a complicated non-linear model function. However, it is difficult to decide an appropriate function manually because there are many options. This paper proposes a new compact resist model using CNN (Convolutional Neural Networks) which is one of deep learning techniques. CNN model makes it possible to determine an appropriate model function and achieve accurate simulation. Experimental results show CNN model can reduce CD prediction errors by 70% compared with the conventional model.

  2. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    NASA Astrophysics Data System (ADS)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  3. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  4. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  5. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  6. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  7. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  8. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    PubMed

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  9. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  10. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    NASA Astrophysics Data System (ADS)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  11. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  12. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  13. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  14. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  15. Electron-beam lithography with character projection technique for high-throughput exposure with line-edge quality control

    NASA Astrophysics Data System (ADS)

    Ikeno, Rimon; Maruyama, Satoshi; Mita, Yoshio; Ikeda, Makoto; Asada, Kunihiro

    2016-07-01

    The high throughput of character projection (CP) electron-beam (EB) lithography makes it a promising technique for low-to-medium volume device fabrication with regularly arranged layouts, such as for standard-cell logics and memory arrays. However, non-VLSI applications such as MEMS and MOEMS may not be able to fully utilize the benefits of the CP method due to the wide variety of layout figures including curved and oblique edges. In addition, the stepwise shapes that appear because of the EB exposure process often result in intolerable edge roughness, which degrades device performances. In this study, we propose a general EB lithography methodology for such applications utilizing a combination of the CP and variable-shaped beam methods. In the process of layout data conversion with CP character instantiation, several control parameters were optimized to minimize the shot count, improve the edge quality, and enhance the overall device performance. We have demonstrated EB shot reduction and edge-quality improvement with our methodology by using a leading-edge EB exposure tool, ADVANTEST F7000S-VD02, and a high-resolution hydrogen silsesquioxane resist. Atomic force microscope observations were used to analyze the resist edge profiles' quality to determine the influence of the control parameters used in the data conversion process.

  16. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    NASA Astrophysics Data System (ADS)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  17. Graphene as discharge layer for electron beam lithography on insulating substrate

    NASA Astrophysics Data System (ADS)

    Liu, Junku; Li, Qunqing; Ren, Mengxin; Zhang, Lihui; Chen, Mo; Fan, Shoushan

    2013-09-01

    Charging of insulating substrates is a common problem during Electron Beam lithography (EBL), which deflects the beam and distorts the pattern. A homogeneous, electrically conductive, and transparent graphene layer is used as a discharge layer for EBL processes on insulating substrates. The EBL resolution is improved compared with the metal discharge layer. Dense arrays of holes with diameters of 50 nm and gratings with line/space of 50/30 nm are obtained on quartz substrate. The pattern placement errors and proximity effect are suppressed over a large area and high quality complex nanostructures are fabricated using graphene as a conductive layer.

  18. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  19. Development of inorganic resists for electron beam lithography: Novel materials and simulations

    NASA Astrophysics Data System (ADS)

    Jeyakumar, Augustin

    Electron beam lithography is gaining widespread utilization as the semiconductor industry progresses towards both advanced optical and non-optical lithographic technologies for high resolution patterning. The current resist technologies are based on organic systems that are imaged most commonly through chain scission, networking, or a chemically amplified polarity change in the material. Alternative resists based on inorganic systems were developed and characterized in this research for high resolution electron beam lithography and their interactions with incident electrons were investigated using Monte Carlo simulations. A novel inorganic resist imaging scheme was developed using metal-organic precursors which decompose to form metal oxides upon electron beam irradiation that can serve as inorganic hard masks for hybrid bilayer inorganic-organic imaging systems and also as directly patternable high resolution metal oxide structures. The electron beam imaging properties of these metal-organic materials were correlated to the precursor structure by studying effects such as interactions between high atomic number species and the incident electrons. Optimal single and multicomponent precursors were designed for utilization as viable inorganic resist materials for sub-50nm patterning in electron beam lithography. The electron beam imaging characteristics of the most widely used inorganic resist material, hydrogen silsesquioxane (HSQ), was also enhanced using a dual processing imaging approach with thermal curing as well as a sensitizer catalyzed imaging approach. The interaction between incident electrons and the high atomic number species contained in these inorganic resists was also studied using Monte Carlo simulations. The resolution attainable using inorganic systems as compared to organic systems can be greater for accelerating voltages greater than 50 keV due to minimized lateral scattering in the high density inorganic systems. The effects of loading

  20. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  1. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    PubMed

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  2. Organic solvent-free sugar-based transparency nanopatterning material derived from biomass for eco-friendly optical biochips using green lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ito, Kenta; Sugahara, Kigenn; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2014-05-01

    An organic solvent-free sugar-based transparency nanopatterning material which had specific desired properties such as nanostructures of subwavelength grating and moth-eye antireflection, acceptable thermal stability of 160 °C, and low imaginary refractive index of less than 0.005 at 350-800 nm was proposed using electron beam lithography. The organic solvent-free sugar-based transparency nanopatterning material is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of tetramethylammonium hydroxide. 120 nm moth-eye antireflection nanopatterns images with exposure dose of 10 μC/cm2 were provided by specific process conditions of electron beam lithography. The developed sugar derivatives with hydroxyl groups and EB sensitive groups in the organic solvent-free sugar-based transparency nanopatterning material were applicable to future development of optical interface films of biology and electronics as a novel chemical design.

  3. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    NASA Astrophysics Data System (ADS)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  4. High performance Si immersion gratings patterned with electron beam lithography

    NASA Astrophysics Data System (ADS)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  5. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

    NASA Astrophysics Data System (ADS)

    Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel

    2017-04-01

    Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.

  6. High-density patterned media fabrication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Ramos, Rick; Brooks, Cynthia; Simpson, Logan; Fretwell, John; Carden, Scott; Hellebrekers, Paul; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FIL®) process uses drop dispensing of UV curable resists for high resolution patterning. Several applications, including patterned media, are better, and more economically served by a full substrate patterning process since the alignment requirements are minimal. Patterned media is particularly challenging because of the aggressive feature sizes necessary to achieve storage densities required for manufacturing beyond the current technology of perpendicular recording. In this paper, the key process steps for the application of J-FIL to pattern media fabrication are reviewed with special attention to substrate cleaning, vapor adhesion of the adhesion layer and imprint performance at >300 disk per hour. Also discussed are recent results for imprinting discrete track patterns at half pitches of 24nm and bit patterned media patterns at densities of 1 Tb/in2.

  7. Rigorous ILT optimization for advanced patterning and design-process co-optimization

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta; Kuechler, Bernd; Cai, Howard; Braam, Kyle; Hoppe, Wolfgang; Domnenko, Vitaly; Poonawala, Amyn; Xiao, Guangming

    2018-03-01

    Despite the large difficulties involved in extending 193i multiple patterning and the slow ramp of EUV lithography to full manufacturing readiness, the pace of development for new technology node variations has been accelerating. Multiple new variations of new and existing technology nodes have been introduced for a range of device applications; each variation with at least a few new process integration methods, layout constructs and/or design rules. This had led to a strong increase in the demand for predictive technology tools which can be used to quickly guide important patterning and design co-optimization decisions. In this paper, we introduce a novel hybrid predictive patterning method combining two patterning technologies which have each individually been widely used for process tuning, mask correction and process-design cooptimization. These technologies are rigorous lithography simulation and inverse lithography technology (ILT). Rigorous lithography simulation has been extensively used for process development/tuning, lithography tool user setup, photoresist hot-spot detection, photoresist-etch interaction analysis, lithography-TCAD interactions/sensitivities, source optimization and basic lithography design rule exploration. ILT has been extensively used in a range of lithographic areas including logic hot-spot fixing, memory layout correction, dense memory cell optimization, assist feature (AF) optimization, source optimization, complex patterning design rules and design-technology co-optimization (DTCO). The combined optimization capability of these two technologies will therefore have a wide range of useful applications. We investigate the benefits of the new functionality for a few of these advanced applications including correction for photoresist top loss and resist scumming hotspots.

  8. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  9. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  10. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  11. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  12. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  13. Field emitter arrays and displays produced by ion tracking lithography

    NASA Astrophysics Data System (ADS)

    Felter, T. E.; Musket, R. G.; Bernhardt, A. F.

    2005-12-01

    When ions of sufficient electronic energy loss traverse a dielectric film or foil, they alter the chemical bonding along their nominally straight path within the material. A suitable etchant can quickly dissolve these so-called latent tracks leaving holes of small diameter (∼10 nm) but long length - several microns. Continuing the etching process gradually increases the diameter reproducibly and uniformly. The trackable medium can be applied as a uniform film onto large substrates. The small, monodisperse holes produced by this track etching can be used in conjunction with additional thin film processing to create functional structures attached to the substrate. For example, Lawrence Livermore National Laboratory and Candescent Technologies Corporation (CTC) co-developed a process to make arrays of gated field emitters (∼100 nm diameter electron guns) for CTC's Thin CRTTM displays, which have been fabricated to diagonal dimensions >13 in. Additional technological applications of ion tracking lithography will be briefly covered.

  14. Direct-writing lithography using laser diode beam focused with single elliptical microlens

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Nazmul; Haque, Muttahid-Ull; Trisno, Jonathan; Lee, Yung-Chun

    2015-10-01

    A lithography method is proposed for arbitrary patterning using an elliptically diverging laser diode beam focused with a single planoconvex elliptical microlens. Simulations are performed to model the propagation properties of the laser beam and to design the elliptical microlens, which has two different profiles in the x- and y-axis directions. The microlens is fabricated using an excimer laser dragging method and is then attached to the laser diode using double-sided optically cleared adhesive (OCA) tape. Notably, the use of OCA tape removes the need for a complicated alignment procedure and thus significantly reduces the assembly cost. The minimum focused spot of the laser diode beam is investigated by performing single-shot exposure tests on a photoresist (PR) layer. Finally, the practical feasibility of this lithography technique to generate an arbitrary pattern is demonstrated by dotted and continuous features through thin chromium layer deposition on PR and a metal lift-off process. The results show that the minimum feature size for the dotted patterns is around 6.23 μm, while the minimum linewidths for continuous patterns is 6.44 μm. In other words, the proposed focusing technique has significant potential for writing any arbitrary high-resolution pattern for applications like printed circuit board fabrication.

  15. Gap Fill Materials Using Cyclodextrin Derivatives in ArF Lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Shinjo, Tetsuya; Sakaida, Yasushi; Hashimoto, Keisuke

    2007-11-01

    High planarizing gap fill materials based on β-cyclodextrin in ArF photoresist under-layer materials have been developed for fast etching in CF4 gas. Gap fill materials used in the via-first dual damascene process need to have high etch rates to prevent crowning or fencing on top of the trench after etching and a small thickness bias between the dense and blanket areas to minimize issues observed during trench lithography by narrowing the process latitude. Cyclodextrin is a circular oligomer with a nanoscale porous structure that has a high number of oxygen atoms, as calculated using the Ohnishi parameter, providing high etch rates. Additionally, since gap fill materials using cyclodextrin derivatives have low viscosities and molecular weights, they are expected to exhibit excellent flow properties and minimal thermal shrinkage during baking. In this paper, we describe the composition and basic film properties of gap fill materials; planarization in the via-first dual damascene process and etch rates in CF4 gas compared with dextrin with α-glycoside bonds in polysaccharide, poly(2-hydroxypropyl methacrylate) and poly(4-hydroxystyrene). The β-cyclodextrin used in this study was obtained by esterifying the hydroxyl groups of dextrin resulting in improved wettability on via substrates and solubility in photoresist solvents such as propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate and ethyl lactate. Gap fill materials using cyclodextrin derivatives showed good planarization and via filling performance without observing voids in via holes. In addition to superior via filling performance, the etch rate of gap fill materials using β-cyclodextrin derivatives was 2.8-2.9 times higher than that of an ArF photoresist, evaluated under CF4 gas conditions by reactive ion etching. These results were attributed to the combination of both nanoscale porous structures and a high density of oxygen atoms in our gap fill materials using cyclodextrin

  16. 5 × 5 cm2 silicon photonic crystal slabs on glass and plastic foil exhibiting broadband absorption and high-intensity near-fields

    PubMed Central

    Becker, C.; Wyss, P.; Eisenhauer, D.; Probst, J.; Preidel, V.; Hammerschmidt, M.; Burger, S.

    2014-01-01

    Crystalline silicon photonic crystal slabs are widely used in various photonics applications. So far, the commercial success of such structures is still limited owing to the lack of cost-effective fabrication processes enabling large nanopatterned areas (≫ 1 cm2). We present a simple method for producing crystalline silicon nanohole arrays of up to 5 × 5 cm2 size with lattice pitches between 600 and 1000 nm on glass and flexible plastic substrates. Exclusively up-scalable, fast fabrication processes are applied such as nanoimprint-lithography and silicon evaporation. The broadband light trapping efficiency of the arrays is among the best values reported for large-area experimental crystalline silicon nanostructures. Further, measured photonic crystal resonance modes are in good accordance with light scattering simulations predicting strong near-field intensity enhancements greater than 500. Hence, the large-area silicon nanohole arrays might become a promising platform for ultrathin solar cells on lightweight substrates, high-sensitive optical biosensors, and nonlinear optics. PMID:25073935

  17. Direct formation of nano-pillar arrays by phase separation of polymer blend for the enhanced out-coupling of organic light emitting diodes with low pixel blurring.

    PubMed

    Lee, Cholho; Han, Kyung-Hoon; Kim, Kwon-Hyeon; Kim, Jang-Joo

    2016-03-21

    We have demonstrated a simple and efficient method to fabricate OLEDs with enhanced out-coupling efficiencies and with low pixel blurring by inserting nano-pillar arrays prepared through the lateral phase separation of two immiscible polymers in a blend film. By selecting a proper solvent for the polymer and controlling the composition of the polymer blend, the nano-pillar arrays were formed directly after spin-coating of the polymer blend and selective removal of one phase, needing no complicated processes such as nano-imprint lithography. Pattern size and distribution were easily controlled by changing the composition and thickness of the polymer blend film. Phosphorescent OLEDs using the internal light extraction layer containing the nano-pillar arrays showed a 30% enhancement of the power efficiency, no spectral variation with the viewing angle, and only a small increment in pixel blurring. With these advantages, this newly developed method can be adopted for the commercial fabrication process of OLEDs for lighting and display applications.

  18. Low-Cost and Rapid Fabrication of Metallic Nanostructures for Sensitive Biosensors Using Hot-Embossing and Dielectric-Heating Nanoimprint Methods.

    PubMed

    Lee, Kuang-Li; Wu, Tsung-Yeh; Hsu, Hsuan-Yeh; Yang, Sen-Yeu; Wei, Pei-Kuen

    2017-07-02

    We propose two approaches-hot-embossing and dielectric-heating nanoimprinting methods-for low-cost and rapid fabrication of periodic nanostructures. Each nanofabrication process for the imprinted plastic nanostructures is completed within several seconds without the use of release agents and epoxy. Low-cost, large-area, and highly sensitive aluminum nanostructures on A4 size plastic films are fabricated by evaporating aluminum film on hot-embossing nanostructures. The narrowest bandwidth of the Fano resonance is only 2.7 nm in the visible light region. The periodic aluminum nanostructure achieves a figure of merit of 150, and an intensity sensitivity of 29,345%/RIU (refractive index unit). The rapid fabrication is also achieved by using radio-frequency (RF) sensitive plastic films and a commercial RF welding machine. The dielectric-heating, using RF power, takes advantage of the rapid heating/cooling process and lower electric power consumption. The fabricated capped aluminum nanoslit array has a 5 nm Fano linewidth and 490.46 nm/RIU wavelength sensitivity. The biosensing capabilities of the metallic nanostructures are further verified by measuring antigen-antibody interactions using bovine serum albumin (BSA) and anti-BSA. These rapid and high-throughput fabrication methods can benefit low-cost, highly sensitive biosensors and other sensing applications.

  19. Low-Cost and Rapid Fabrication of Metallic Nanostructures for Sensitive Biosensors Using Hot-Embossing and Dielectric-Heating Nanoimprint Methods

    PubMed Central

    Lee, Kuang-Li; Wu, Tsung-Yeh; Hsu, Hsuan-Yeh; Yang, Sen-Yeu; Wei, Pei-Kuen

    2017-01-01

    We propose two approaches—hot-embossing and dielectric-heating nanoimprinting methods—for low-cost and rapid fabrication of periodic nanostructures. Each nanofabrication process for the imprinted plastic nanostructures is completed within several seconds without the use of release agents and epoxy. Low-cost, large-area, and highly sensitive aluminum nanostructures on A4 size plastic films are fabricated by evaporating aluminum film on hot-embossing nanostructures. The narrowest bandwidth of the Fano resonance is only 2.7 nm in the visible light region. The periodic aluminum nanostructure achieves a figure of merit of 150, and an intensity sensitivity of 29,345%/RIU (refractive index unit). The rapid fabrication is also achieved by using radio-frequency (RF) sensitive plastic films and a commercial RF welding machine. The dielectric-heating, using RF power, takes advantage of the rapid heating/cooling process and lower electric power consumption. The fabricated capped aluminum nanoslit array has a 5 nm Fano linewidth and 490.46 nm/RIU wavelength sensitivity. The biosensing capabilities of the metallic nanostructures are further verified by measuring antigen–antibody interactions using bovine serum albumin (BSA) and anti-BSA. These rapid and high-throughput fabrication methods can benefit low-cost, highly sensitive biosensors and other sensing applications. PMID:28671600

  20. Development of flexible plasmonic plastic sensor using nanograting textured laminating film

    NASA Astrophysics Data System (ADS)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    2017-02-01

    The work presented in this paper describes the development of a cost-effective, flexible plasmonic plastic sensor using gold-coated nanograting nanoimprinted on a laminating plastic. The fabrication of plasmonic plastic sensor involved the transfer of nanograting pattern from polydimethylsiloxane (PDMS) polymer stamp to laminating plastic via thermal nanoimprint lithography, and subsequent gold film deposition. Gold-coated nanograting sample acted as a plasmonic chip, which exhibited surface plasmon resonance (SPR) mode in reflectance spectra under the white light illumination. The theoretical calculation was performed to study and analyze the excited SPR mode on the plasmonic chip. Further, the bulk refractive index sensitivity was demonstrated with respect to changing surrounding dielectric medium giving a value about 800  ±  27 nm/RIU (refractive index unit). In addition, the surface binding sensitivity upon adsorption of bovine serum albumin protein on the sensor surface was approximately 4.605 nm/(ng/mm2).We believe that our proposed low-cost plastic based plasmonic sensing device could be a potential candidate for the label-free and high-throughput screening of biological molecules.

  1. Nanoimprint-defined, large-area meta-surfaces for unidirectional optical transmission with superior extinction in the visible-to-infrared range.

    PubMed

    Yao, Yuhan; Liu, He; Wang, Yifei; Li, Yuanrui; Song, Boxiang; Wang, Richard P; Povinelli, Michelle L; Wu, Wei

    2016-07-11

    Optical devices with asymmetric transmission have important applications in optical systems, but optical isolators with the modal asymmetry can only be built using magneto-optical or nonlinear materials, as dictated by the Lorentz reciprocity theorem. However, optical devices with the power asymmetry can be achieved by linear materials such as metals and dielectrics. In this paper, we report a large-area, nanoimprint-defined meta-surface (stacked subwavelength gratings) with high-contrast asymmetric transmittance in the visible-to-infrared wavelength range for TM-polarized light. The physical origin of asymmetric transmission through the meta-surface is studied by analyzing the scattering matrix.

  2. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis

    NASA Astrophysics Data System (ADS)

    Jia, Ningning; Y Lam, Edmund

    2010-04-01

    Inverse lithography technology (ILT) synthesizes photomasks by solving an inverse imaging problem through optimization of an appropriate functional. Much effort on ILT is dedicated to deriving superior masks at a nominal process condition. However, the lower k1 factor causes the mask to be more sensitive to process variations. Robustness to major process variations, such as focus and dose variations, is desired. In this paper, we consider the focus variation as a stochastic variable, and treat the mask design as a machine learning problem. The stochastic gradient descent approach, which is a useful tool in machine learning, is adopted to train the mask design. Compared with previous work, simulation shows that the proposed algorithm is effective in producing robust masks.

  3. Fabrication of complex nanoscale structures on various substrates

    NASA Astrophysics Data System (ADS)

    Han, Kang-Soo; Hong, Sung-Hoon; Lee, Heon

    2007-09-01

    Polymer based complex nanoscale structures were fabricated and transferred to various substrates using reverse nanoimprint lithography. To facilitate the fabrication and transference of the large area of the nanostructured layer to the substrates, a water-soluble polyvinyl alcohol mold was used. After generation and transference of the nanostructured layer, the polyvinyl alcohol mold was removed by dissolving in water. A residue-free, UV-curable, glue layer was formulated and used to bond the nanostructured layer onto the substrates. As a result, nanometer scale patterned polymer layers were bonded to various substrates and three-dimensional nanostructures were also fabricated by stacking of the layers.

  4. Effectiveness of surface enhanced Raman spectroscopy of tear fluid with soft substrate for point-of-care therapeutic drug monitoring

    NASA Astrophysics Data System (ADS)

    Yamada, K.; Endo, T.; Imai, H.; Kido, M.; Jeong, H.; Ohno, Y.

    2016-03-01

    We have developed the point-of-care therapeutic drug monitoring kit based on Raman Spectroscopy of tear fluid. In this study, we were examined a soft substrate for an optimal lattice based on nanoimprint lithography using cyclo-olefin polymer to improve the sensitivity for measuring drug concentration in tear fluid. This is photonics crystal which is one of the nano-photonics based device was fabricated. Target is Sodium Phenobarbital which is an anticonvulsant agent. We show the effectiveness of Surface Enhanced Raman Spectroscopy of tear fluid with soft substrate for point-of-care therapeutic drug monitoring.

  5. Improved conversion efficiency of amorphous Si solar cells using a mesoporous ZnO pattern

    PubMed Central

    2014-01-01

    To provide a front transparent electrode for use in highly efficient hydrogenated amorphous silicon (a-Si:H) thin-film solar cells, porous flat layer and micro-patterns of zinc oxide (ZnO) nanoparticle (NP) layers were prepared through ultraviolet nanoimprint lithography (UV-NIL) and deposited on Al-doped ZnO (AZO) layers. Through this, it was found that a porous micro-pattern of ZnO NPs dispersed in resin can optimize the light-trapping pattern, with the efficiency of solar cells based on patterned or flat mesoporous ZnO layers increased by 27% and 12%, respectively. PMID:25276101

  6. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  7. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  8. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  9. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  10. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  11. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  12. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  13. Development of a Wafer Positioning System for the Sandia Extreme Ultraviolet Lithography Tool

    NASA Technical Reports Server (NTRS)

    Wronosky, John B.; Smith, Tony G.; Darnold, Joel R.

    1996-01-01

    A wafer positioning system was recently developed by Sandia National Laboratories for an Extreme Ultraviolet Lithography (EUVL) tool. The system, which utilizes a magnetically levitated fine stage to provide ultra-precise positioning in all six degrees of freedom, incorporates technological improvements resulting from four years of prototype development. This paper describes the design, implementation, and functional capability of the system. Specifics regarding control system electronics, including software and control algorithm structure, as well as performance design goals and test results are presented. Potential system enhancements, some of which are in process, are also discussed.

  14. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  15. Polystyrene negative resist for high-resolution electron beam lithography

    PubMed Central

    2011-01-01

    We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL) resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern. PMID:21749679

  16. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  17. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  18. Sub-micron lines patterning into silica using water developable chitosan bioresist films for eco-friendly positive tone e-beam and UV lithography

    NASA Astrophysics Data System (ADS)

    Caillau, Mathieu; Chevalier, Céline; Crémillieu, Pierre; Delair, Thierry; Soppera, Olivier; Leuschel, Benjamin; Ray, Cédric; Moulin, Christophe; Jonin, Christian; Benichou, Emmanuel; Brevet, Pierre-François; Yeromonahos, Christelle; Laurenceau, Emmanuelle; Chevolot, Yann; Leclercq, Jean-Louis

    2018-03-01

    Biopolymers represent natural, renewable and abundant materials. Their use is steadily growing in various areas (food, health, building …) but, in lithography, despite some works, resists, solvents and developers are still oil-based and hazardous chemicals. In this work, we replaced synthetic resist by chitosan, a natural, abundant and hydrophilic polysaccharide. High resolution sub-micron patterns were obtained through chitosan films as water developable, chemically unmodified, positive tone mask resist for an eco-friendly electron beam and deep-UV (193 nm) lithography process. Sub-micron patterns were also successfully obtained using a 248 nm photomasker thanks to the addition of biosourced photoactivator, riboflavin. Patterns were then transferred by plasma etching into silica even for high resolution patterns.

  19. HED-TIE: A wafer-scale approach for fabricating hybrid electronic devices with trench isolated electrodes

    NASA Astrophysics Data System (ADS)

    Banerjee, Sreetama; Bülz, Daniel; Solonenko, Dmytro; Reuter, Danny; Deibel, Carsten; Hiller, Karla; Zahn, Dietrich R. T.; Salvan, Georgeta

    2017-05-01

    Organic-inorganic hybrid electronic devices (HEDs) offer opportunities for functionalities that are not easily obtainable with either organic or inorganic materials individually. In the strive for down-scaling the channel length in planar geometry HEDs, the best results were achieved with electron beam lithography or nanoimprint lithography. Their application on the wafer level is, however, cost intensive and time consuming. Here, we propose trench isolated electrode (TIE) technology as a fast, cost effective, wafer-level approach for the fabrication of planar HEDs with electrode gaps in the range of 100 nm. We demonstrate that the formation of the organic channel can be realized by deposition from solution as well as by the thermal evaporation of organic molecules. To underline one key feature of planar HED-TIEs, namely full accessibility of the active area of the devices by external stimuli such as light, 6,13-bis (triisopropylsilylethynyl) (TIPS)-pentacene/Au HED-TIEs are successfully tested for possible application as hybrid photodetectors in the visible spectral range.

  20. Experiments towards establishing of design rules for R2R-UV-NIL with polymer working shims

    NASA Astrophysics Data System (ADS)

    Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Stadlober, Barbara

    2016-03-01

    Roll-to-Roll-UV-nanoimprint lithography (R2R-UV-NIL) enables high resolution large area patterning of flexible substrates and is therefore of increasing industrial interest. We have set up a custom-made R2R-UV-NIL pilot machine which is able to convert 10 inch wide web with velocities of up to 30 m/min. In addition, we have developed self-replicable UV-curable resins with tunable surface energy and Young's modulus for UV-imprint material as well as for polymer working stamp/shim manufacturing. Now we have designed test patterns for the evaluation of the impact of structure shape, critical dimension, pitch, depth, side wall angle and orientation relative to the web movement onto the imprint fidelity and working shim life time. We have used female (recessed structures) silicon masters of that design with critical dimensions between CD = 200 nm and 1600 nm, and structure depths of d = 500 nm and 1000 nm - all with vertical as well as inclined side walls. These entire master patterns have been transferred onto single male (protruding structures) R2R polymer working shims. The polymer working shims have been used for R2R-UV-NIL runs of several hundred meters and the imprint fidelity and process stability of the various test patterns have been compared. This study is intended as a first step towards establishing of design rules and developing of nanoimprint proximity correction strategies for industrial R2R-UV-NIL processes using polymer working shims.

  1. High-definition micropatterning method for hard, stiff and brittle polymers.

    PubMed

    Zhao, Yiping; Truckenmuller, Roman; Levers, Marloes; Hua, Wei-Shu; de Boer, Jan; Papenburg, Bernke

    2017-02-01

    Polystyrene (PS) is the most commonly used material in cell culture devices, such as Petri dishes, culture flasks and well plates. Micropatterning of cell culture substrates can significantly affect cell-material interactions leading to an increasing interest in the fabrication of topographically micro-structured PS surfaces. However, the high stiffness combined with brittleness of PS (elastic modulus 3-3.5GPa) makes high-quality patterning into PS difficult when standard hard molds, e.g. silicon and nickel, are used as templates. A new and robust scheme for easy processing of large-area high-density micro-patterning into PS film is established using nanoimprinting lithography and standard hot embossing techniques. Including an extra step through an intermediate PDMS mold alone does not result in faithful replication of the large area, high-density micropattern into PS. Here, we developed an approach using an additional intermediate mold out of OrmoStamp, which allows for high-quality and large-area micro-patterning into PS. OrmoStamp was originally developed for UV nanoimprint applications; this work demonstrates for the first time that OrmoStamp is a highly adequate material for micro-patterning of PS through hot embossing. Our proposed processing method achieves high-quality replication of micropatterns in PS, incorporating features with high aspect ratio (4:1, height:width), high density, and over a large pattern area. The proposed scheme can easily be adapted for other large-area and high-density micropatterns of PS, as well as other stiff and brittle polymers. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  3. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  4. Joint optimization of source, mask, and pupil in optical lithography

    NASA Astrophysics Data System (ADS)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  5. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  6. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  7. Fabrication of Silicon Nanobelts and Nanopillars by Soft Lithography for Hydrophobic and Hydrophilic Photonic Surfaces.

    PubMed

    Baquedano, Estela; Martinez, Ramses V; Llorens, José M; Postigo, Pablo A

    2017-05-11

    Soft lithography allows for the simple and low-cost fabrication of nanopatterns with different shapes and sizes over large areas. However, the resolution and the aspect ratio of the nanostructures fabricated by soft lithography are limited by the depth and the physical properties of the stamp. In this work, silicon nanobelts and nanostructures were achieved by combining soft nanolithography patterning with optimized reactive ion etching (RIE) in silicon. Using polymethylmethacrylate (PMMA) nanopatterned layers with thicknesses ranging between 14 and 50 nm, we obtained silicon nanobelts in areas of square centimeters with aspect ratios up to ~1.6 and linewidths of 225 nm. The soft lithographic process was assisted by a thin film of SiO x (less than 15 nm) used as a hard mask and RIE. This simple patterning method was also used to fabricate 2D nanostructures (nanopillars) with aspect ratios of ~2.7 and diameters of ~200 nm. We demonstrate that large areas patterned with silicon nanobelts exhibit a high reflectivity peak in the ultraviolet C (UVC) spectral region (280 nm) where some aminoacids and peptides have a strong absorption. We also demonstrated how to tailor the aspect ratio and the wettability of these photonic surfaces (contact angles ranging from 8.1 to 96.2°) by changing the RIE power applied during the fabrication process.

  8. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  9. The impact of 14nm photomask variability and uncertainty on computational lithography solutions

    NASA Astrophysics Data System (ADS)

    Sturtevant, John; Tejnil, Edita; Buck, Peter D.; Schulze, Steffen; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-09-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. Many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine via simulation, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. The simulations are done by ignoring the wafer photoresist model, and show the sensitivity of predictions to various model inputs associated with the mask. It is shown that the wafer simulations are very dependent upon the 1D/2D representation of the mask and for 3D, that the mask sidewall angle is a very sensitive factor influencing simulated wafer CD results.

  10. Patterning techniques for next generation IC's

    NASA Astrophysics Data System (ADS)

    Balasinski, A.

    2007-12-01

    Reduction of linear critical dimensions (CDs) beyond 45 nm would require significant increase of the complexity of pattern definition process. In this work, we discuss the key successor methodology to the current optical lithography, the Double Patterning Technique (DPT). We compare the complexity of CAD solutions, fab equipment, and wafer processing with its competitors, such as the nanoimprint (NIL) and the extreme UV (EUV) techniques. We also look ahead to the market availability for the product families enabled using the novel patterning solutions. DPT is often recognized as the most viable next generation lithography as it utilizes the existing equipment and processes and is considered a stop-gap solution before the advanced NIL or EUV equipment is developed. Using design for manufacturability (DfM) rules, DPT can drive the k1 factor down to 0.13. However, it faces a variety of challenges, from new mask overlay strategies, to layout pattern split, novel OPC, increased CD tolerances, new etch techniques, as well as long processing time, all of which compromise its return on investment (RoI). In contrast, it can be claimed e.g., that the RoI is the highest for the NIL but this technology bears significant risk. For all novel patterning techniques, the key questions remain: when and how should they be introduced, what is their long-term potential, when should they be replaced, and by what successor technology. We summarize the unpublished results of several panel discussions on DPT at the recent SPIE/BACUS conferences.

  11. Steering and filtering white light with resonant waveguide gratings

    NASA Astrophysics Data System (ADS)

    Quaranta, Giorgio; Basset, Guillaume; Martin, Olivier J. F.; Gallinet, Benjamin

    2017-08-01

    A novel thin-film single-layer structure based on resonant waveguide gratings (RWGs) allows to engineer selective color filtering and steering of white light. The unit cell of the structure consists of two adjacent finite-length and cross-talking RWGs, where the former acts as in-coupler and the latter acts as out-coupler. The structure is made by only one nano-imprint lithography replication and one thin film layer deposition, making it fully compatible with up-scalable fabrication processes. We characterize a fabricated optical security element designed to work with the flash and the camera of a smartphone in off-axis light steering configuration, where the pattern is revealed only by placing the smartphone in the proper position. Widespread applications are foreseen in a variety of fields, such as multifocal or monochromatic lenses, solar cells, biosensors, security devices and seethrough optical combiners for near-eye displays.

  12. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Nannan; Institute of Electronic Engineering, Chinese Academy of Engineering Physics, Mianyang, 621900; Pang, Shucai

    2015-04-15

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided amore » new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics.« less

  13. In-Process Atomic-Force Microscopy (AFM) Based Inspection

    PubMed Central

    Mekid, Samir

    2017-01-01

    A new in-process atomic-force microscopy (AFM) based inspection is presented for nanolithography to compensate for any deviation such as instantaneous degradation of the lithography probe tip. Traditional method used the AFM probes for lithography work and retract to inspect the obtained feature but this practice degrades the probe tip shape and hence, affects the measurement quality. This paper suggests a second dedicated lithography probe that is positioned back-to-back to the AFM probe under two synchronized controllers to correct any deviation in the process compared to specifications. This method shows that the quality improvement of the nanomachining, in progress probe tip wear, and better understanding of nanomachining. The system is hosted in a recently developed nanomanipulator for educational and research purposes. PMID:28561747

  14. Molecular self-assembly for biological investigations and nanoscale lithography

    NASA Astrophysics Data System (ADS)

    Cheunkar, Sarawut

    selective biorecognition. By carefully tuning the polar surface energy of polymeric stamps, problems associated with patterning hydrophilic tether molecules inserted into hydrophilic preformed SAMs are surmounted. The patterned substrates presenting neurotransmitter precursors selectively capture membrane-associated receptors. These advances provide new avenues for fabricating small-molecule arrays. Furthermore, a novel strategy based on a conventional microcontact printing, called chemical lift-off lithography, was invented to overcome the micrometer-scale resolution limits of molecular ink diffusion in soft lithography. Self-assembled monolayers of hydroxyl-terminated alkanethiols, preformed on gold substrates, were selectively removed by oxygen-plasma-treated polymeric stamps in a subtractive stamping process with high pattern fidelity. The covalent interactions formed at the stamp-substrate interface are believed to be responsible for removing not only alkanethiol molecules but also a monolayer of gold atoms from the substrates. A variety of high-resolution patterned features were fabricated, and stamps were cleaned and reused many times without feature deterioration. The remaining SAMs acted as resists for etching exposed gold features. Monolayer backfilling into lifted-off areas enabled patterned protein capture, and 40-nanometer chemical patterns were achieved.

  15. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  16. Investigation of electron beam lithography effects on metal-insulator transition behavior of vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Yuce, H.; Alaboz, H.; Demirhan, Y.; Ozdemir, M.; Ozyuzer, L.; Aygun, G.

    2017-11-01

    Vanadium dioxide (VO2) shows metal-insulator phase transition at nearly 68 °C. This metal-insulator transition (MIT) in VO2 leads to a significant change in near-infrared transmittance and an abrupt change in the resistivity of VO2. Due to these characteristics, VO2 plays an important role on optic and electronic devices, such as thermochromic windows, meta-materials with tunable frequency, uncooled bolometers and switching devices. In this work, VO2 thin films were fabricated by reactive direct current magnetron sputtering in O2/Ar atmosphere on sapphire substrates without any further post annealing processes. The effect of sputtering parameters on optical characteristics and structural properties of grown thin films was investigated by SEM, XRD, Raman and UV/VIS spectrophotometer measurements. Patterning process of VO2 thin films was realized by e-beam lithography technique to monitor the temperature dependent electrical characterization. Electrical properties of VO2 samples were characterized using microprobe station in a vacuum system. MIT with hysteresis behavior was observed for the unpatterned square samples at around 68 °C. By four orders of magnitude of resistivity change was measured for the deposited VO2 thin films at transition temperature. After e-beam lithography process, substantial results in patterned VO2 thin films were observed. In this stage, for patterned VO2 thin films as stripes, the change in resistivity of VO2 was reduced by a factor of 10. As a consequence of electrical resistivity measurements, MIT temperature was shifted from 68 °C to 50 °C. The influence of e-beam process on the properties of VO2 thin films and the mechanism of the effects are discussed. The presented results contribute to the achievement of VO2 based thermochromic windows and bolometer applications.

  17. Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.

    2016-05-23

    We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less

  18. Recovery of Multilayer-Coated Zerodur and ULE Optics for Extreme-Ultraviolet Lithography by Recoating, Reactive-Ion Etching, and Wet-Chemical Processes.

    PubMed

    Mirkarimi, P B; Baker, S L; Montcalm, C; Folta, J A

    2001-01-01

    Extreme-ultraviolet lithography requires expensive multilayer-coated Zerodur or ULE optics with extremely tight figure and finish specifications. Therefore it is desirable to develop methods to recover these optics if they are coated with a nonoptimum multilayer films or in the event that the coating deteriorates over time owing to long-term exposure to radiation, corrosion, or surface contamination. We evaluate recoating, reactive-ion etching, and wet-chemical techniques for the recovery of Mo/Si and Mo/Be multilayer films upon Zerodur and ULE test optics. The recoating technique was successfully employed in the recovery of Mo/Si-coated optics but has the drawback of limited applicability. A chlorine-based reactive-ion etch process was successfully used to recover Mo/Si-coated optics, and a particularly large process window was observed when ULE optics were employed; this is an advantageous for large, curved optics. Dilute HCl wet-chemical techniques were developed and successfully demonstrated for the recovery of Mo/Be-coated optics as well as for Mo/Si-coated optics when Mo/Be release layers were employed; however, there are questions about the extendability of the HCl process to large optics and multiple coat and strip cycles. The technique of using carbon barrier layers to protect the optic during removal of Mo/Si in HF:HNO(3) also showed promise.

  19. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  20. On-wire lithography: synthesis, encoding and biological applications

    PubMed Central

    Banholzer, Matthew J; Qin, Lidong; Millstone, Jill E; Osberg, Kyle D; Mirkin, Chad A

    2014-01-01

    The next step in the maturing field of nanotechnology is to develop ways to introduce unusual architectural changes to simple building blocks. For nanowires, on-wire lithography (OWL) has emerged as a powerful way of synthesizing a segmented structure and subsequently introducing architectural changes through post-chemical treatment. In the OWL protocol presented here, multisegmented nanowires are grown and a support layer is deposited on one side of each nanostructure. After selective chemical etching of sacrificial segments, structures with gaps as small as 2 nm and disks as thin as 20 nm can be created. These nanostructures are highly tailorable and can be used in electrical transport, Raman enhancement and energy conversion. Such nanostructures can be functionalized with many types of adsorbates, enabling the use of OWL-generated structures as bioactive probes for diagnostic assays and molecular transport junctions. The process takes 13–36 h depending on the type of adsorbate used to functionalize the nanostructures. PMID:19444241