Sample records for nanoimprint lithography uv-nil

  1. Study of nanoimprint lithography (NIL) for HVM of memory devices

    NASA Astrophysics Data System (ADS)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  2. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  3. Novel organosilicone materials and patterning techniques for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Pina, Carlos Alberto

    Nanoimprint Lithography (NIL) is a high-throughput patterning technique that allows the fabrication of nanostructures with great precision. It has been listed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate technology for future generation Si chip manufacturing. In nanoimprint Lithography a resist material, e.g. a thermoplastic polymer, is placed in contact with a mold and then mechanically deformed under an applied load to transfer the nano-features on the mold surface into the resist. The success of NIL relies heavily in the capability of fabricating nanostructures on different types of materials. Thus, a key factor for NIL implementation in industrial settings is the development of advanced materials suitable as the nanoimprint resist. This dissertation focuses on the engineering of new polymer materials suitable as NIL resist. A variety of silicone-based polymer precursors were synthesized and formulated for NIL applications. High throughput and high yield nanopatterning was successfully achieved. Furthermore, additional capabilities of the developed materials were explored for a range of NIL applications such as their use as flexible, UV-transparent stamps and silicon compatible etching layers. Finally, new strategies were investigated to expand the NIL potentiality. High throughput, non-residual layer imprinting was achieved with the newly developed resist materials. In addition, several strategies were designed for the precise control of nanoscale size patterned structures with multifunctional resist systems by post-imprinting modification of the pattern size. These developments provide NIL with a new set of tools for a variety of additional important applications.

  4. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  5. Selection of UV Resins for Nanostructured Molds for Thermal-NIL.

    PubMed

    Jia, Zheng; Choi, Junseo; Park, Sunggook

    2018-06-18

    Nanoimprint molds made of soft polymeric materials have advantages of low demolding force and low fabrication cost over Si or metal-based hard molds. However, such advantages are often sacrificed by their reduced replication fidelity associated with the low mechanical strength. In this paper, we studied replication fidelity of different UV-resin molds copied from a Si master mold via UV nanoimprint lithography (NIL) and their thermal imprinting performance into a thermoplastic polymer. Four different UV resins were studied: two were high surface energy UV resins based on tripropyleneglycol diacrylate (TPGDA resin) and polypropyleneglycol diacrylate (PPGDA resin), and the other two were commercially available, low surface energy poly-urethane acrylate (PUA resin) and fluorine-containing (MD 700) UV resins. The replication fidelity among the four UV-resins during UV nanoimprint lithograph from a Si master with sharp nanostructures was in the increasing order of (poorest) PUA resin < MD 700 < PPGDA resin < TPGDA resin (best). The results show that the high surface energy and small monomer size are keys to achieving good UV resin filling into sharp nanostructures over the viscosity of the resin solution. When the four UV-resin molds were used for thermal-NIL into a thermoplastic polymer, the replication fidelity was in the increasing order of (poorest) MD 700 < TPGDA resin < PUA resin (best), which follows the same order of their Young's moduli. Our results indicate that the selection of an appropriate UV resin for NIL molds requires consideration of the replication fidelities in the mold fabrication and the subsequent thermal-NIL into thermoplastic polymers. © 2018 IOP Publishing Ltd.

  6. Characterization of Antisticking Layers for UV Nanoimprint Lithography Molds with Scanning Probe Microscopy

    NASA Astrophysics Data System (ADS)

    Masaaki Kurihara,; Sho Hatakeyama,; Noriko Yamada,; Takeya Shimomura,; Takaharu Nagai,; Kouji Yoshida,; Tatsuya Tomita,; Morihisa Hoga,; Naoya Hayashi,; Hiroyuki Ohtani,; Masamichi Fujihira,

    2010-06-01

    Antisticking layers (ASLs) on UV nanoimprint lithography (UV-NIL) molds were characterized by scanning probe microscopies (SPMs) in addition to macroscopic analyses of work of adhesion and separation force. Local physical properties of the ASLs were measured by atomic force microscopy (AFM) and friction force microscopy (FFM). The behavior of local adhesive forces measured with AFM on several surfaces was consistent with that of work of adhesion obtained from contact angle. The ASLs were coated by two different processes, i.e., one is a vapor-phase process and the other a spin-coating process. The homogeneity of the ASLs prepared by the vapor-phase process was better than that of those prepared by the spin-coating process. In addition, we measured the thicknesses of ASL patterns prepared by a lift-off method to investigate the effect of the ASL thicknesses on critical dimensions of the molds with ASLs and found that this effect is not negligible.

  7. Nanoimprint Lithography on curved surfaces prepared by fused deposition modelling

    NASA Astrophysics Data System (ADS)

    Köpplmayr, Thomas; Häusler, Lukas; Bergmair, Iris; Mühlberger, Michael

    2015-06-01

    Fused deposition modelling (FDM) is an additive manufacturing technology commonly used for modelling, prototyping and production applications. The achievable surface roughness is one of its most limiting aspects. It is however of great interest to create well-defined (nanosized) patterns on the surface for functional applications such as optical effects, electronics or bio-medical devices. We used UV-curable polymers of different viscosities and flexible stamps made of poly(dimethylsiloxane) (PDMS) to perform Nanoimprint Lithography (NIL) on FDM-printed curved parts. Substrates with different roughness and curvature were prepared using a commercially available 3D printer. The nanoimprint results were characterized by optical light microscopy, profilometry and atomic force microscopy (AFM). Our experiments show promising results in creating well-defined microstructures on the 3D-printed parts.

  8. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  9. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    PubMed

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Optimization of droplets for UV-NIL using coarse-grain simulation of resist flow

    NASA Astrophysics Data System (ADS)

    Sirotkin, Vadim; Svintsov, Alexander; Zaitsev, Sergey

    2009-03-01

    A mathematical model and numerical method are described, which make it possible to simulate ultraviolet ("step and flash") nanoimprint lithography (UV-NIL) process adequately even using standard Personal Computers. The model is derived from 3D Navier-Stokes equations with the understanding that the resist motion is largely directed along the substrate surface and characterized by ultra-low values of the Reynolds number. By the numerical approximation of the model, a special finite difference method is applied (a coarse-grain method). A coarse-grain modeling tool for detailed analysis of resist spreading in UV-NIL at the structure-scale level is tested. The obtained results demonstrate the high ability of the tool to calculate optimal dispensing for given stamp design and process parameters. This dispensing provides uniform filled areas and a homogeneous residual layer thickness in UV-NIL.

  11. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  12. Replication of surface nano-structure of the wing of dragonfly ( Pantala Flavescens) using nano-molding and UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Cho, Joong-Yeon; Kim, Gyutae; Kim, Sungwook; Lee, Heon

    2013-07-01

    The hydrophobicity of a dragonfly's wing originates from the naturally occurring nano-structure on its surface. The nano-structure on a dragonfly's wing consists of an array of nano-sized pillars, 100 nm in diameter. We re-create this hydrophobicity on various substrates, such as Si, glass, curved acrylic polymer, and flexible PET film, by replicating the nano-structure using UV curable nano-imprint lithography (NIL) and PDMS molding. The success of the nano-structure duplication was confirmed using scanning electron microscopy (SEM). The hydrophobicity was measured by water-based contact angle measurements. The water contact angle of the replica made of UV cured polymer was 135° ± 2°, which was slightly lower than that of the original dragonfly's wing (145° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (80°). The hydrophobicity was further improved by applying a coating of Teflon-like material.

  13. Experiments towards establishing of design rules for R2R-UV-NIL with polymer working shims

    NASA Astrophysics Data System (ADS)

    Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Stadlober, Barbara

    2016-03-01

    Roll-to-Roll-UV-nanoimprint lithography (R2R-UV-NIL) enables high resolution large area patterning of flexible substrates and is therefore of increasing industrial interest. We have set up a custom-made R2R-UV-NIL pilot machine which is able to convert 10 inch wide web with velocities of up to 30 m/min. In addition, we have developed self-replicable UV-curable resins with tunable surface energy and Young's modulus for UV-imprint material as well as for polymer working stamp/shim manufacturing. Now we have designed test patterns for the evaluation of the impact of structure shape, critical dimension, pitch, depth, side wall angle and orientation relative to the web movement onto the imprint fidelity and working shim life time. We have used female (recessed structures) silicon masters of that design with critical dimensions between CD = 200 nm and 1600 nm, and structure depths of d = 500 nm and 1000 nm - all with vertical as well as inclined side walls. These entire master patterns have been transferred onto single male (protruding structures) R2R polymer working shims. The polymer working shims have been used for R2R-UV-NIL runs of several hundred meters and the imprint fidelity and process stability of the various test patterns have been compared. This study is intended as a first step towards establishing of design rules and developing of nanoimprint proximity correction strategies for industrial R2R-UV-NIL processes using polymer working shims.

  14. Computational study on UV curing characteristics in nanoimprint lithography: Stochastic simulation

    NASA Astrophysics Data System (ADS)

    Koyama, Masanori; Shirai, Masamitsu; Kawata, Hiroaki; Hirai, Yoshihiko; Yasuda, Masaaki

    2017-06-01

    A computational simulation model of UV curing in nanoimprint lithography based on a simplified stochastic approach is proposed. The activated unit reacts with a randomly selected monomer within a critical reaction radius. Cluster units are chained to each other. Then, another monomer is activated and the next chain reaction occurs. This process is repeated until a virgin monomer disappears within the reaction radius or until the activated monomers react with each other. The simulation model well describes the basic UV curing characteristics, such as the molecular weight distributions of the reacted monomers and the effect of the initiator concentration on the conversion ratio. The effects of film thickness on UV curing characteristics are also studied by the simulation.

  15. Investigation of pattern transfer to piezoelectric jetted polymer using roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Menezes, Shannon John

    Nanoimprint Lithography (NIL) has existed since the mid 1990s as a proven concept of creating micro- and nanostructures using direct mechanical pattern transfer. Initially seen as a viable option to replace conventional lithography methods, the lack of technology to support large-scale manufacturing using NIL has motivated researchers to explore the application of NIL to create a better, more cost-efficient process with the ability to integrate NIL into a mass manufacturing system. One such method is the roll-to-roll process, similar to that used in printing presses of newspapers and plastics. This thesis is an investigation to characterize polymer deposition using a piezoelectric jetting head and attempt to create micro- and nanostructures on the polymer using R2RNIL technique.

  16. In-line metrology for roll-to-roll UV assisted nanoimprint lithography using diffractometry

    NASA Astrophysics Data System (ADS)

    Kreuzer, Martin; Whitworth, Guy L.; Francone, Achille; Gomis-Bresco, Jordi; Kehagias, Nikolaos; Sotomayor-Torres, Clivia M.

    2018-05-01

    We describe and discuss the optical design of a diffractometer to carry out in-line quality control during roll-to-roll nanoimprinting. The tool measures diffractograms in reflection geometry, through an aspheric lens to gain fast, non-invasive information of any changes to the critical dimensions of target grating structures. A stepwise tapered linear grating with constant period was fabricated in order to detect the variation in grating linewidth through diffractometry. The minimum feature change detected was ˜40 nm to a precision of 10 nm. The diffractometer was then integrated with a roll-to-roll UV assisted nanoimprint lithography machine to gain dynamic measurements in situ.

  17. An assessment of the process capabilities of nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  18. Hydrothermal Growth of ZnO Nanowires on UV-Nanoimprinted Polymer Structures.

    PubMed

    Park, Sooyeon; Moore, Sean A; Lee, Jaejong; Song, In-Hyouk; Farshchian, Bahador; Kim, Namwon

    2018-05-01

    Integration of zinc oxide (ZnO) nanowires on miniaturized polymer structures can broaden its application in multi-functional polymer devices by taking advantages of unique physical properties of ZnO nanowires and recent development of polymer microstructures in analytical systems. In this paper, we demonstrate the hydrothermal growth of ZnO nanowires on polymer microstructures fabricated by UV nanoimprinting lithography (NIL) using a polyurethane acrylate (PUA). Since PUA is a siloxane-urethane-acrylate compound containing the alpha-hydroxyl ketone, UV-cured PUA include carboxyl groups, which inhibit and suppress the nucleation and growth of ZnO nanowires on polymer structures. The presence of carboxyl groups in UV-cured PUA was substantiated by Fourier transform infrared spectroscopy (FTIR), and a Ag thin film was deposited on the nanoimprinted polymer structures to limit their inhibitive influence on the growth of ZnO nanowires. Furthermore, the naturally oxidized Ag layer (Ag2O) reduced crystalline lattice mismatches at the interface between ZnO-Ag during the seed annealing process. The ZnO nanowires grown on the Ag-deposited PUA microstructures were found to have comparable morphological characteristics with ZnO nanowires grown on a Si wafer.

  19. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  20. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting.

    PubMed

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-09-23

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132 degrees +/- 2 degrees , which was slightly lower than that of the original cicada wing (138 degrees +/- 2 degrees ), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86 degrees ).

  1. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting

    NASA Astrophysics Data System (ADS)

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-09-01

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132° ± 2°, which was slightly lower than that of the original cicada wing (138° ± 2°), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86°).

  2. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  3. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  4. A 3D-printed device for polymer nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  5. SCIL nanoimprint solutions: high-volume soft NIL for wafer scale sub-10nm resolution

    NASA Astrophysics Data System (ADS)

    Voorkamp, R.; Verschuuren, M. A.; van Brakel, R.

    2016-10-01

    Nano-patterning materials and surfaces can add unique functionalities and properties which cannot be obtained in bulk or micro-structured materials. Examples range from hetro-epitaxy of semiconductor nano-wires to guiding cell expression and growth on medical implants. [1] Due to the cost and throughput requirements conventional nano-patterning techniques such as deep UV lithography (cost and flat substrate demands) and electron-beam lithography (cost, throughput) are not an option. Self-assembly techniques are being considered for IC manufacturing, but require nano-sized guiding patterns, which have to be fabricated in any case.[2] Additionally, the self-assembly process is highly sensitive to the environment and layer thickness, which is difficult to control on non-flat surfaces such as PV silicon wafers or III/V substrates. Laser interference lithography can achieve wafer scale periodic patterns, but is limited by the throughput due to intensity of the laser at the pinhole and only regular patterns are possible where the pattern fill fraction cannot be chosen freely due to the interference condition.[3] Nanoimprint lithography (NIL) is a promising technology for the cost effective fabrication of sub-micron and nano-patterns on large areas. The challenges for NIL are related to the technique being a contact method where a stamp which holds the patterns is required to be brought into intimate contact with the surface of the product. In NIL a strong distinction is made between the type of stamp used, either rigid or soft. Rigid stamps are made from patterned silicon, silica or plastic foils and are capable of sub-10nm resolution and wafer scale patterning. All these materials behave similar at the micro- to nm scale and require high pressures (5 - 50 Bar) to enable conformal contact to be made on wafer scales. Real world conditions such as substrate bow and particle contaminants complicate the use of rigid stamps for wafer scale areas, reducing stamp lifetime and

  6. Aluminum Nanowire Arrays via Soft Nanoimprint Lithography

    NASA Astrophysics Data System (ADS)

    Naughton, Michael J.; Nesbitt, Nathan T.; Merlo, Juan M.; Rose, Aaron H.; Calm, Yitzi M.; D'Imperio, Luke A.; Courtney, Dave T.; Shepard, Steve; Kempa, Krzysztof; Burns, Michael J.

    We have previously reported a method to fabricate freestanding, vertically-oriented, and lithographically-ordered Al nanowire arrays via directed assembly, and demonstrated their utility as a plasmonic waveguide. However, the process, a variation on the preparation of anodized aluminum oxide (AAO), involved imprinting Al with a hard stamp, which wore down the stamp and had a low yield of Al NWs. Here we show a new nanoimprint lithography (NIL) technique that uses a soft stamp to pattern a mask on the Al; it provides a greater yield of Al NWs and is less destructive to the stamp, providing a path to applications that require NW arrays over macroscopic areas. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  7. Chip-scale pattern modification method for equalizing residual layer thickness in nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Youn, Sung-Won; Suzuki, Kenta; Hiroshima, Hiroshi

    2018-06-01

    A software program for modifying a mold design to obtain a uniform residual layer thickness (RLT) distribution has been developed and its validity was verified by UV-nanoimprint lithography (UV-NIL) simulation. First, the effects of granularity (G) on both residual layer uniformity and filling characteristics were characterized. For a constant complementary pattern depth and a granularity that was sufficiently larger than the minimum pattern width, filling time decreased with the decrease in granularity. For a pattern design with a wide density range and an irregular distribution, the choice of a small granularity was not always a good strategy since the etching depth required for a complementary pattern occasionally exceptionally increased with the decrease in granularity. On basis of the results obtained, the automated method was applied to a chip-scale pattern modification. Simulation results showed a marked improvement in residual layer thickness uniformity for a capacity-equalized (CE) mold. For the given conditions, the standard deviation of RLT decreased in the range from 1/3 to 1/5 in accordance with pattern designs.

  8. Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography

    PubMed Central

    2013-01-01

    Large-scale nanopatterned sapphire substrates were fabricated by annealing of patterned Al thin films. Patterned Al thin films were obtained by soft UV-nanoimprint lithography and reactive ion etching. The soft mold with 550-nm-wide lines separated by 250-nm space was composed of the toluene-diluted polydimethylsiloxane (PDMS) layer supported by the soft PDMS. Patterned Al thin films were subsequently subjected to dual-stage annealing due to the melting temperature of Al thin films (660°C). The first comprised a low-temperature oxidation anneal at 450°C for 24 h. This was followed by a high-temperature annealing in the range of 1,000°C and 1,200°C for 1 h to induce growth of the underlying sapphire single crystal to consume the oxide layer. The SEM results indicate that the patterns were retained on sapphire substrates after high-temperature annealing at less than 1,200°C. Finally, large-scale nanopatterned sapphire substrates were successfully fabricated by annealing of patterned Al thin films for 24 h at 450°C and 1 h at 1,000°C by soft UV-nanoimprint lithography. PMID:24215718

  9. Soft nanoimprint lithography on SiO2 sol-gel to elaborate sensitive substrates for SERS detection

    NASA Astrophysics Data System (ADS)

    Hamouda, Frédéric; Bryche, Jean-François; Aassime, Abdelhanin; Maillart, Emmanuel; Gâté, Valentin; Zanettini, Silvia; Ruscica, Jérémy; Turover, Daniel; Bartenlian, Bernard

    2017-12-01

    This paper presents a new alternative fabrication of biochemical sensor based on surface enhanced Raman scattering (SERS) by soft nanoimprint lithography (S-NIL) on SiO2 sol-gel. Stabilization of the sol-gel film is obtained by annealing which simplifies the manufacturing of these biosensors and is compatible with mass production at low cost. This detector relies on a specific pattern of gold nanodisks on a thin gold film to obtain a better sensitivity of molecules' detection. Characterizations of SERS devices were performed on a confocal Raman microspectrophotometer after a chemical functionalization. We report a lateral collapse effect on poly(diméthylsiloxane) (PDMS) stamp for specific nanostructure dimensions. This unintentional effect is used to evaluate S-NIL resolution in SiO2 sol-gel.

  10. Modification of symmetrically substituted phthalocyanines using click chemistry: phthalocyanine nanostructures by nanoimprint lithography.

    PubMed

    Chen, Xiaochun; Thomas, Jayan; Gangopadhyay, Palash; Norwood, Robert A; Peyghambarian, N; McGrath, Dominic V

    2009-09-30

    Phthalocyanines (Pcs) are commonly applied to advanced technologies such as optical limiting, photodynamic therapy (PDT), organic field-effect transistors (OFETs), and organic photovoltaic (OPV) devices, where they are used as the p-type layer. An approach to Pc structural diversity and the incorporation of a functional group that allows fabrication of solvent resistant Pc nanostructures formed by using a newly developed nanoimprint by melt processing (NIMP) technique, a variant of standard nanoimprint lithography (NIL), is reported. Copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC), a click chemistry reaction, serves as an approach to structural diversity in Pc macrocycles. We have prepared octaalkynyl Pc 1b and have modified this Pc using the CuAAC reaction to yield four Pc derivatives 5a-5d with different peripheral substituents on the macrocycle. One of these derivatives, 5c, has photo-cross-linkable cinnamate residues, and we have demonstrated the fabrication of robust cross-linked photopatterned and imprinted nanostructures from this material.

  11. Enhanced efficiency of light emitting diodes with a nano-patterned gallium nitride surface realized by soft UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Zhou, Weimin; Min, Guoquan; Song, Zhitang; Zhang, Jing; Liu, Yanbo; Zhang, Jianping

    2010-05-01

    This paper reports a significant enhancement in the extraction efficiency of nano-patterned GaN light emitting diodes (LED) realized by soft UV nanoimprint lithography. The 2 inch soft stamp was fabricated using a replication stamp of anodic alumina oxide (AAO) membrane. The light output power was enhanced by 10.9% compared to that of the LED sample without a nano-patterned surface. Up to 41% enhancement in photoluminescence intensity was obtained from the nano-patterned GaN LED sample. The method is simple, cheap and suitable for mass production.

  12. Efficient low bandgap polymer solar cell with ordered heterojunction defined by nanoimprint lithography.

    PubMed

    Yang, Yi; Mielczarek, Kamil; Zakhidov, Anvar; Hu, Walter

    2014-11-12

    In this work, we demonstrate the feasibility of using nanoimprint lithography (NIL) to make efficient low bandgap polymer solar cells with well-ordered heterojunction. High quality low bandgap conjugated polymer poly[2,6-(4,4-bis(2-ethylhexyl)-4H-cyclopenta[2,1-b;3,4-b']-dithiophene)-alt-4,7-(2,1,3-benzothiadiazole)] (PCPDTBT) nanogratings are fabricated using this technique for the first time. The geometry effect of PCPDTBT nanostructures on the solar cell performance is investigated by making PCPDTBT/C70 solar cells with different feature sizes of PCPDTBT nanogratings. It is found that the power conversion efficiency (PCE) increases with increasing nanograting height, PCPDTBT/C70 junction area, and decreasing nanograting width. We also find that NIL makes PCPDTBT chains interact more strongly and form an improved structural ordering. Solar cells made on the highest aspect ratio PCPDTBT nanostructures are among the best reported devices using the same material with a PCE of 5.5%.

  13. Weak interfaces for UV cure nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Houle, Frances; Fornof, Ann; Simonyi, Eva; Miller, Dolores; Truong, Hoa

    2008-03-01

    Nanoimprint lithography using a photocurable organic resist provides a means of patterning substrates with a spatial resolution in the few nm range. The usefulness of the technique is limited by defect generation during template removal, which involves fracture at the interface between the template and the newly cured polymer. Although it is critical to have the lowest possible interfacial fracture toughness (Gc less than 0.1 Jm-2) to avoid cohesive failure in the polymer, there is little understanding on how to achieve this using reacting low viscosity resist fluids. Studies of debonding of a series of free-radical cured polyhedral silsesquioxane crosslinker formulations containing selected reactive diluents from fluorosilane-coated quartz template materials will be described. At constant diluent fraction the storage modulus of cured resists follows trends in initial reaction rate, not diluent Tg. Adhesion is uncorrelated with both Tg and storage modulus. XPS studies of near-interface compositions indicate that component segregation within the resist fluid on contact with the template, prior to cure, plays a significant role in controlling the fracture process.

  14. High-throughput fabrication of anti-counterfeiting colloid-based photoluminescent microtags using electrical nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Diaz, R.; Palleau, E.; Poirot, D.; Sangeetha, N. M.; Ressier, L.

    2014-08-01

    This work demonstrates the excellent capability of the recently developed electrical nanoimprint lithography (e-NIL) technique for quick, high-throughput production of well-defined colloid assemblies on surfaces. This is shown by fabricating micron-sized photoluminescent quick response (QR) codes based on the electrostatic directed trapping (so called nanoxerography process) of 28 nm colloidal lanthanide-doped upconverting NaYF4 nanocrystals. Influencing experimental parameters have been optimized and the contribution of triboelectrification in e-NIL was evidenced. Under the chosen conditions, more than 300 000 nanocrystal-based QR codes were fabricated on a 4 inch silicon wafer, in less than 15 min. These microtags were then transferred to transparent flexible films, to be easily integrated onto desired products. Invisible to the naked eye, they can be decoded and authenticated using an optical microscopy image of their specific photoluminescence mapping. Beyond this very promising application for product tracking and the anti-counterfeiting strategies, e-NIL nanoxerography, potentially applicable to any types of charged and/or polarizable colloids and pattern geometries opens up tremendous opportunities for industrial scale production of various other kinds of colloid-based devices and sensors.

  15. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    PubMed

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  16. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  17. Solar-blind deep-UV band-pass filter (250 - 350 nm) consisting of a metal nano-grid fabricated by nanoimprint lithography.

    PubMed

    Li, Wen-Di; Chou, Stephen Y

    2010-01-18

    We designed, fabricated and demonstrated a solar-blind deep-UV pass filter, that has a measured optical performance of a 27% transmission peak at 290 nm, a pass-band width of 100 nm (from 250 to 350 nm), and a 20dB rejection ratio between deep-UV wavelength and visible wavelength. The filter consists of an aluminum nano-grid, which was made by coating 20 nm Al on a SiO(2) square grid with 190 nm pitch, 30 nm linewidth and 250 nm depth. The performances agree with a rigorous coupled wave analysis. The wavelength for the peak transmission and the pass-bandwidth can be tuned through adjusting the metal nano-grid dimensions. The filter was fabricated by nanoimprint lithography, hence is large area and low cost. Combining with Si photodetectors, the filter offers simple yet effective and low cost solar-blind deep-UV detection at either a single device or large-area complex integrated imaging array level.

  18. Impact of polymer film thickness and cavity size on polymer flow during embossing : towards process design rules for nanoimprint lithography.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schunk, Peter Randall; King, William P.; Sun, Amy Cha-Tien

    2006-08-01

    This paper presents continuum simulations of polymer flow during nanoimprint lithography (NIL). The simulations capture the underlying physics of polymer flow from the nanometer to millimeter length scale and examine geometry and thermophysical process quantities affecting cavity filling. Variations in embossing tool geometry and polymer film thickness during viscous flow distinguish different flow driving mechanisms. Three parameters can predict polymer deformation mode: cavity width to polymer thickness ratio, polymer supply ratio, and Capillary number. The ratio of cavity width to initial polymer film thickness determines vertically or laterally dominant deformation. The ratio of indenter width to residual film thickness measuresmore » polymer supply beneath the indenter which determines Stokes or squeeze flow. The local geometry ratios can predict a fill time based on laminar flow between plates, Stokes flow, or squeeze flow. Characteristic NIL capillary number based on geometry-dependent fill time distinguishes between capillary or viscous driven flows. The three parameters predict filling modes observed in published studies of NIL deformation over nanometer to millimeter length scales. The work seeks to establish process design rules for NIL and to provide tools for the rational design of NIL master templates, resist polymers, and process parameters.« less

  19. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE PAGES

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.; ...

    2017-05-10

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  20. Campanile Near-Field Probes Fabricated by Nanoimprint Lithography on the Facet of an Optical Fiber

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calafiore, Giuseppe; Koshelev, Alexander; Darlington, Thomas P.

    One of the major challenges to the widespread adoption of plasmonic and nano-optical devices in real-life applications is the difficulty to mass-fabricate nano-optical antennas in parallel and reproducible fashion, and the capability to precisely place nanoantennas into devices with nanometer-scale precision. In this study, we present a solution to this challenge using the state-of-the-art ultraviolet nanoimprint lithography (UV-NIL) to fabricate functional optical transformers onto the core of an optical fiber in a single step, mimicking the 'campanile' near-field probes. Imprinted probes were fabricated using a custom-built imprinter tool with co-axial alignment capability with sub < 100 nm position accuracy, followedmore » by a metallization step. Scanning electron micrographs confirm high imprint fidelity and precision with a thin residual layer to facilitate efficient optical coupling between the fiber and the imprinted optical transformer. The imprinted optical transformer probe was used in an actual NSOM measurement performing hyperspectral photoluminescence mapping of standard fluorescent beads. The calibration scans confirmed that imprinted probes enable sub-diffraction limited imaging with a spatial resolution consistent with the gap size. This novel nano-fabrication approach promises a low-cost, high-throughput, and reproducible manufacturing of advanced nano-optical devices.« less

  1. Au nanostructure arrays for plasmonic applications: annealed island films versus nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lopatynskyi, Andrii M.; Lytvyn, Vitalii K.; Nazarenko, Volodymyr I.; Guo, L. Jay; Lucas, Brandon D.; Chegel, Volodymyr I.

    2015-03-01

    This paper attempts to compare the main features of random and highly ordered gold nanostructure arrays (NSA) prepared by thermally annealed island film and nanoimprint lithography (NIL) techniques, respectively. Each substrate possesses different morphology in terms of plasmonic enhancement. Both methods allow such important features as spectral tuning of plasmon resonance position depending on size and shape of nanostructures; however, the time and cost is quite different. The respective comparison was performed experimentally and theoretically for a number of samples with different geometrical parameters. Spectral characteristics of fabricated NSA exhibited an expressed plasmon peak in the range from 576 to 809 nm for thermally annealed samples and from 606 to 783 nm for samples prepared by NIL. Modelling of the optical response for nanostructures with typical shapes associated with these techniques (parallelepiped for NIL and semi-ellipsoid for annealed island films) was performed using finite-difference time-domain calculations. Mathematical simulations have indicated the dependence of electric field enhancement on the shape and size of the nanoparticles. As an important point, the distribution of electric field at so-called `hot spots' was considered. Parallelepiped-shaped nanoparticles were shown to yield maximal enhancement values by an order of magnitude greater than their semi-ellipsoid-shaped counterparts; however, both nanoparticle shapes have demonstrated comparable effective electrical field enhancement values. Optimized Au nanostructures with equivalent diameters ranging from 85 to 143 nm and height equal to 35 nm were obtained for both techniques, resulting in the largest electrical field enhancement. The application of island film thermal annealing method for nanochips fabrication can be considered as a possible cost-effective platform for various surface-enhanced spectroscopies; while the NIL-fabricated NSA looks like more effective for sensing of

  2. The opportunity and challenge of spin coat based nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Jung, Wooyung; Cho, Jungbin; Choi, Eunhyuk; Lim, Yonghyun; Bok, Cheolkyu; Tsuji, Masatoshi; Kobayashi, Kei; Kono, Takuya; Nakasugi, Tetsuro

    2017-03-01

    Since multi patterning with spacer was introduced in NAND flash memory1, multi patterning with spacer has been a promising solution to overcome the resolution limit. However, the increase in process cost of multi patterning with spacer must be a serious burden to device manufacturers as half pitch of patterns gets smaller.2, 3 Even though Nano Imprint Lithography (NIL) has been considered as one of strong candidates to avoid cost issue of multi patterning with spacer, there are still negative viewpoints; template damage induced from particles between template and wafer, overlay degradation induced from shear force between template and wafer, and throughput loss induced from dispensing and spreading resist droplet. Jet and Flash Imprint Lithography (J-FIL4, 5, 6) has contributed to throughput improvement, but still has these above problems. J-FIL consists of 5 steps; dispense of resist droplets on wafer, imprinting template on wafer, filling the gap between template and wafer with resist, UV curing, and separation of template from wafer. If dispensing resist droplets by inkjet is replaced with coating resist at spin coater, additional progress in NIL can be achieved. Template damage from particle can be suppressed by thick resist which is spin-coated at spin coater and covers most of particles on wafer, shear force between template and wafer can be minimized with thick resist, and finally additional throughput enhancement can be achieved by skipping dispense of resist droplets on wafer. On the other hand, spin-coat-based NIL has side effect such as pattern collapse which comes from high separation energy of resist. It is expected that pattern collapse can be improved by the development of resist with low separation energy.

  3. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  4. Superhydrophobic polymeric films with hierarchical structures produced by nanoimprint (NIL) and plasma roughening

    NASA Astrophysics Data System (ADS)

    Durret, Jérôme; Szkutnik, Pierre-David; Frolet, Nathalie; Labau, Sebastien; Gourgon, Cécile

    2018-07-01

    The structuration of various polymeric films has been studied to create superhydrophobic surfaces. Nanoimprint lithography and/or plasma etching processes with CF4/Ar have been used on FEP, PMMA and PET polymer films. On the one hand, the effect of the CF4/Ar gases, the input power and the plasma treatment duration have been investigated in terms of etching and fluorination degree, and XPS analyses are precisely discussed. On the other hand, wettability performances were characterized. Relationships between the contact angle, the contact angle hysteresis and the surface structures have been investigated. The wetting behaviors and the transition between the Wenzel and the Cassie-Baxter states was discussed as a function of the roughness. We have prepared each studied polymer films in transparent and flexible superhydrophobic surfaces whose contact angle are ∼160° and hysteresis are ∼2°. A short plasma treatment time (10 s) is sufficient to obtain a superhydrophobic behavior on FEP and PMMA. Results indicate that hierarchical structures allow a more stable superhydrophobic state regarding inhomogeneities. Moreover, the use of plasma etching is suggested to overcome some limitations of the NIL in the case of structures with a high aspect ratio. Finally, a quick and large surface fabrication method for superhydrophobic films is detailed.

  5. Label-free optical detection of C-reactive protein by nanoimprint lithography-based 2D-photonic crystal film.

    PubMed

    Endo, Tatsuro; Kajita, Hiroshi; Kawaguchi, Yukio; Kosaka, Terumasa; Himi, Toshiyuki

    2016-06-01

    The development of high-sensitive, and cost-effective novel biosensors have been strongly desired for future medical diagnostics. To develop novel biosensor, the authors focused on the specific optical characteristics of photonic crystal. In this study, a label-free optical biosensor, polymer-based two-dimensional photonic crystal (2D-PhC) film fabricated using nanoimprint lithography (NIL), was developed for detection of C-reactive protein (CRP) in human serum. The nano-hole array constructed NIL-based 2D-PhC (hole diameter: 230 nm, distance: 230, depth: 200 nm) was fabricated on a cyclo-olefin polymer (COP) film (100 µm) using thermal NIL and required surface modifications to reduce nonspecific adsorption of target proteins. Antigen-antibody reactions on the NIL-based 2D-PhC caused changes to the surrounding refractive index, which was monitored as reflection spectrum changes in the visible region. By using surface modified 2D-PhC, the calculated detection limit for CRP was 12.24 pg/mL at an extremely short reaction time (5 min) without the need for additional labeling procedures and secondary antibody. Furthermore, using the dual-functional random copolymer, CRP could be detected in a pooled blood serum diluted 100× with dramatic reduction of nonspecific adsorption. From these results, the NIL-based 2D-PhC film has great potential for development of an on-site, high-sensitivity, cost-effective, label-free biosensor for medical diagnostics applications. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Development of a paper based roll-to-roll nanoimprinting machine

    NASA Astrophysics Data System (ADS)

    Son, Byungwook

    Nanoimprint lithography (NIL) has been developed and studied since 1995. It is a technique where micro- or nanoscale patterns are transferred to soft materials such as polymer through pressing a stamp with certain patterns into this materials and then solidifying it by cooling at lower temperature or curing under ultra violet excitement. High Cost and low throughput of batch mode nanoimprint lithography (NIL) processes are limiting its wide range of applications in meeting industry manufacturing requirements. The roll-to-roll (R2R) nanoimprinting technology is emerged as a solution to this issue. This thesis study presents the design, build and test of an innovative R2R T-NIL process machine for nanofabrication and MEMS fabrication applications, which consists of individual modules of heating, inking, pressuring, and rotational speed control. The system utilizes PDMS as mold material, PMMA as imprinting material, and paper as substrate material. In order to achieve a uniform pressure on PMMA during imprinting process, an innovative air pressure device (APD) was developed and integrated with R2R machine. The APD replaces the conventional 2-roll line contact pressure approach and can cover one third of the surface of the imprinting roller with a uniform pressure (1-3 psi). During the imprinting experiment, a mixture of PMMA (20w %) and 2-Ethoxyethyl acetate is applied on the paper substrate by an inking roller using capillary force and an IR heater is used for pre-heating and drying of polymer layers before it is fed into the imprinting module. Two 500-Watt cartridge heaters are installed on the roller and provide the heat to raise the PMMA film temperature during the imprinting.

  7. Single Layer Broadband Anti-Reflective Coatings for Plastic Substrates Produced by Full Wafer and Roll-to-Roll Step-and-Flash Nano-Imprint Lithography

    PubMed Central

    Burghoorn, Marieke; Roosen-Melsen, Dorrit; de Riet, Joris; Sabik, Sami; Vroon, Zeger; Yakimets, Iryna; Buskens, Pascal

    2013-01-01

    Anti-reflective coatings (ARCs) are used to lower the reflection of light on the surface of a substrate. Here, we demonstrate that the two main drawbacks of moth eye-structured ARCs—i.e., the lack of suitable coating materials and a process for large area, high volume applications—can be largely eliminated, paving the way for cost-efficient and large-scale production of durable moth eye-structured ARCs on polymer substrates. We prepared moth eye coatings on polymethylmethacrylate (PMMA) and polycarbonate using wafer-by-wafer step-and-flash nano-imprint lithography (NIL). The reduction in reflection in the visible field achieved with these coatings was 3.5% and 4.0%, respectively. The adhesion of the coating to both substrates was good. The moth eye coating on PMMA demonstrated good performance in three prototypical accelerated ageing tests. The pencil hardness of the moth eye coatings on both substrates was <4B, which is less than required for most applications and needs further optimization. Additionally, we developed a roll-to-roll UV NIL pilot scale process and produced moth eye coatings on polyethylene terephthalate (PET) at line speeds up to two meters per minute. The resulting coatings showed a good replication of the moth eye structures and, consequently, a lowering in reflection of the coated PET of 3.0%. PMID:28788301

  8. Porosity characteristics of ultra-low dielectric insulator films directly patterned by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Ro, Hyun Wook; Jones, Ronald L.; Peng, Huagen; Lee, Hae-Jeong; Lin, Eric K.; Karim, Alamgir; Yoon, Do Y.; Gidley, David W.; Soles, Christopher L.

    2008-03-01

    Direct patterning of low-dielectric constant (low-k) materials via nanoimprint lithography (NIL) has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. We report direct imprinting of sub-100 nm features into a high modulus methylsilsesquioxane-based organosilicate glass (OSG) material. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering (CD-SAXS) and specular X-ray reflectivity (SXR). X-ray porosimetry (XRP) and positron annihilation lifetime spectroscopy (PALS) measurements indicate that imprinting increases the inherent microporosity of the methylsilsequioxane-based OSG material. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.

  9. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  10. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  11. Nanoimprinted polymer lasers with threshold below 100 W/cm2 using mixed-order distributed feedback resonators.

    PubMed

    Wang, Yue; Tsiminis, Georgios; Kanibolotsky, Alexander L; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-06-17

    Organic semiconductor lasers were fabricated by UV-nanoimprint lithography with thresholds as low as 57 W/cm(2) under 4 ns pulsed operation. The nanoimprinted lasers employed mixed-order distributed feedback resonators, with second-order gratings surrounded by first-order gratings, combined with a light-emitting conjugated polymer. They were pumped by InGaN LEDs to produce green-emitting lasers, with thresholds of 208 W/cm(2) (102 nJ/pulse). These hybrid lasers incorporate a scalable UV-nanoimprint lithography process, compatible with high-performance LEDs, therefore we have demonstrated a coherent, compact, low-cost light source.

  12. Advances in nanoimprint lithography and applications in plasmonic-enhanced electron source

    NASA Astrophysics Data System (ADS)

    Liang, Yixing

    The research work in this thesis comprises of two parts. The first part focuses on nanofabrication techniques for better control of nanostructures, such as line edge roughness control and critical structure dimensions, for improvement in large area lift-off of ultra-thin (sub-40 nm) and ultra-small (sub-20 nm) nanostructures, and for improvement in mold-substrate separation. The second part of this thesis studies one important application of nanoimprint lithography (NIL) in the field of plasmonic-enhanced electron source. In the first part, a post-fabrication method, termed Self-limited Self Perfection by Liquefaction (SP-SPEL), is studied. SP-SPEL has experimentally demonstrated to reduce the trench width with precise control down to 20 nm from original 90 nm width, - 450% reduction. In addition, SP-SPEL increases the trench width uniformity and reduces the low-frequency line edge roughness. Second, a tri-layer method is studied to offer large area, efficient lift-off of ultra-thin (sub-40 nm) and ultra-fine (sub-20 nm) nanostructures. Using this method, a nanoimprint mold is fabricated. Third, tribo-electronics in NIL has been studied. It has been shown that tribo-charge can not only skew the AFM measurement by over 400%, but also largely increase the mold-substrate separation force. To solve this problem, a new mold structure is firstly proposed by Professor Stephen Y Chou and has demonstrated to reduce the separation force by over 8 fold. In the second part, a plasmonic-enhanced nanostructured electron source is studied, for both semiconducting and metallic photoemissive materials. For the semiconducting photocathode, a vertical cavity structure, comprising a top sub-wavelength mesh, ultra-thin (~ 40 nm) semiconducting materials in the middle and metallic back-plane, has demonstrated a 30 fold enhancement in photoelectron emission over a planar thin film. In addition, for the metallic photocathode, a 3D nanocavity, termed "Disk coupled Dots-on-Pillar Antenna

  13. Simulation of exposure and alignment for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the exposure and alignment processes for nano-imprint lithography with attenuating thin-film molds. Parameters in the design of topographical features of the nano-imprint system and material choices of the components are analyzed. The small feature size limits light transmission through the feature. While little can be done with auxiliary structures to attract light into small holes, the use of an absorbing material with a low real part of the refractive index such as silver helps mitigates the problem. Results on complementary alignment marks shows that the small transmission through the metal layer and the vertical separation of two alignment marks create the leakage equivalent to 1 nm misalignment but satisfactory alignment can be obtained by measuring alignment signals over a +/- 30 nm range.

  14. Nanoimprint methods for the fabrication of macroscopic plasmonically active metal nanostructures

    NASA Astrophysics Data System (ADS)

    Nagel, Robin D.; Filser, Simon; Zhang, Tianyue; Manzi, Aurora; Schönleber, Konrad; Lindsly, James; Zimmermann, Josef; Maier, Thomas L.; Scarpa, Giuseppe; Krischer, Katharina; Lugli, Paolo

    2017-02-01

    In this article, we present a refined nanostructuring method, lift-off nanoimprint lithography (LO-NIL), which allows the deposition of high-quality metal nanostructures due to a bilayer resist process and compare it to nano-transfer printing (nTP), a purely additive metal printing technique. LO-NIL and nTP are used as accurate methods for the fabrication of ordered plasmonic metal nanostructure arrays on semiconducting substrates over large areas using the example of gold nanodisks on silicon. The possibility of feature size adjustment in LO-NIL during the fabrication process is especially useful for tuning plasmonic resonance peaks between the visible and the mid-infrared range as well as fine-tuning of these resonances. In UV-VIS-NIR spectroscopic measurements, a significant blueshift in the plasmonic resonance was found for nTP samples compared to the ones fabricated with the lift-off technique. It was concluded that this shift originates from a metal/substrate interface roughness resulting in a change in the dielectric properties of this layer. This finding was verified with finite difference time-domain simulations where a similar trend was found for a model with an assumed thin air gap in this interface. In cyclic voltammetry measurements under illumination, a reduced overpotential by almost 400 mV for CO2 reduction and hydrogen evolution was found for LO-NIL samples.

  15. Fabrication of flexible grating sensing waveguide based on nano-imprint lithography and micro-replication process

    NASA Astrophysics Data System (ADS)

    Liu, Yueming; Tian, Weijian; Zhang, Shaojun

    2009-05-01

    Soft and flexible grating sensing waveguides is urgently demanded in application of micro-bending sensing and surface distortion sensing in medical catheter and smart skin sensing unit etc. Based on Nano-imprint Lithography and micro-replication process, polymer grating waveguides with core size 4μm×20μm and pitch 0.75μm are fabricated successfully in this paper. This novel grating waveguides is soft and flexible enough for related application and with the bio-medical safe feature when used in human body catheter. Fabricated processes are presented including the fabrication of micro mould and UV-replication process, and relative skills are discussed also in this paper.

  16. Development of UV-curable liquid for in-liquid fluorescence alignment in ultraviolet nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ochiai, Kento; Kikuchi, Eri; Ishito, Yota; Kumagai, Mari; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    We studied a fluorescent UV-curable resin suitable for fluorescence alignment in UV nanoimprinting. The addition of a cationic fluorescent dye caused radical photopolymerization of a UV-curable resin by exposure to visible excitation light for fluorescence microscope observation. The microscope observation of a resin film prepared by pressing resin droplets on a silica substrate with a fluorinated silica superstrate revealed that the cationic dye molecules were preferably adsorbed onto the silica surface. It was indicated that the dye molecules concentrated on the silica surface may cause the photocuring. A nonionic fluorescent dye was selected owing to its low polar symmetrical structure and its solubility parameter close to monomers. The fluorescent UV-curable resin with the nonionic dye showed uncured stability to exposure to visible excitation light for 30 min with a light intensity of 8.5 mW cm‑2 detected at 530 nm.

  17. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  18. An oxygen-insensitive degradable resist for fabricating metallic patterns on highly curved surfaces by UV-nanoimprint lithography.

    PubMed

    Hu, Xin; Huang, Shisong; Gu, Ronghua; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2014-10-01

    In this paper, an oxygen-insensitive degradable resist for UV-nanoimprint is designed, com-prising a polycyclic degradable acrylate monomer, 2,10-diacryloyloxymethyl-1,4,9,12-tetraoxa-spiro [4.2.4.2] tetradecane (DAMTT), and a multifunctional thiol monomer pentaerythritol tetra(3-mercaptopropionate) (PETMP). The resist can be quickly UV-cured in the air atmosphere and achieve a high monomer conversion of over 98%, which greatly reduce the adhesion force between the resist and the soft mold. High conversion, in company with an adequate Young's modulus (about 1 GPa) and an extremely low shrinkage (1.34%), promises high nanoimprint resolution of sub-50 nm. The cross-linked resist is able to break into linear molecules in a hot acid solvent. As a result, metallic patterns are fabricated on highly curved surfaces via the lift off process without the assistance of a thermoplastic polymer layer. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Study on Light Extraction from GaN-based Green Light-Emitting Diodes Using Anodic Aluminum Oxide Pattern and Nanoimprint Lithography

    PubMed Central

    Jiang, Shengxiang; Feng, Yulong; Chen, Zhizhong; Zhang, Lisheng; Jiang, Xianzhe; Jiao, Qianqian; Li, Junze; Chen, Yifan; Li, Dongsan; Liu, Lijian; Yu, Tongjun; Shen, Bo; Zhang, Guoyi

    2016-01-01

    An anodic aluminum oxide (AAO) patterned sapphire substrate, with the lattice constant of 520 ± 40 nm, pore dimension of 375 ± 50 nm, and height of 450 ± 25 nm was firstly used as a nanoimprint lithography (NIL) stamp and imprinted onto the surface of the green light-emitting diode (LED). A significant light extraction efficiency (LEE) was improved by 116% in comparison to that of the planar LED. A uniform broad protrusion in the central area and some sharp lobes were also obtained in the angular resolution photoluminescence (ARPL) for the AAO patterned LED. The mechanism of the enhancement was correlated to the fluctuations of the lattice constant and domain orientation of the AAO-pattern, which enabled the extraction of more guided modes from the LED device. PMID:26902178

  20. The measurement capabilities of cross-sectional profile of Nanoimprint template pattern using small angle x-ray scattering

    NASA Astrophysics Data System (ADS)

    Yamanaka, Eiji; Taniguchi, Rikiya; Itoh, Masamitsu; Omote, Kazuhiko; Ito, Yoshiyasu; Ogata, Kiyoshi; Hayashi, Naoya

    2016-05-01

    Nanoimprint lithography (NIL) is one of the most potential candidates for the next generation lithography for semiconductor. It will achieve the lithography with high resolution and low cost. High resolution of NIL will be determined by a high definition template. Nanoimprint lithography will faithfully transfer the pattern of NIL template to the wafer. Cross-sectional profile of the template pattern will greatly affect the resist profile on the wafer. Therefore, the management of the cross-sectional profile is essential. Grazing incidence small angle x-ray scattering (GI-SAXS) technique has been proposed as one of the method for measuring cross-sectional profile of periodic nanostructure pattern. Incident x-rays are irradiated to the sample surface with very low glancing angle. It is close to the critical angle of the total reflection of the x-ray. The scattered x-rays from the surface structure are detected on a two-dimensional detector. The observed intensity is discrete in the horizontal (2θ) direction. It is due to the periodicity of the structure, and diffraction is observed only when the diffraction condition is satisfied. In the vertical (β) direction, the diffraction intensity pattern shows interference fringes reflected to height and shape of the structure. Features of the measurement using x-ray are that the optical constant for the materials are well known, and it is possible to calculate a specific diffraction intensity pattern based on a certain model of the cross-sectional profile. The surface structure is estimated by to collate the calculated diffraction intensity pattern that sequentially while changing the model parameters with the measured diffraction intensity pattern. Furthermore, GI-SAXS technique can be measured an object in a non-destructive. It suggests the potential to be an effective tool for product quality assurance. We have developed a cross-sectional profile measurement of quartz template pattern using GI-SAXS technique. In this

  1. Fluid management in roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Jain, A.; Bonnecaze, R. T.

    2013-06-01

    The key process parameters of UV roll-to-roll nanoimprint lithography are identified from an analysis of the fluid, curing, and peeling dynamics. The process includes merging of droplets of imprint material, curing of the imprint material from a viscous liquid to elastic solid resist, and pattern replication and detachment of the resist from template. The time and distances on the web or rigid substrate over which these processes occur are determined as function of the physical properties of the uncured liquid, the cured solid, and the roller configuration. The upper convected Maxwell equation is used to model the viscoelastic liquid and to calculate the force on the substrate and the torque on the roller. The available exposure time is found to be the rate limiting parameter and it is O(√Rho /uo), where R is the radius of the roller, ho is minimum gap between the roller and web, and uo is the velocity of the web. The residual layer thickness of the resist should be larger than the gap between the roller and the substrate to ensure complete feature filling and optimal pattern replication. For lower residual layer thickness, the droplets may not merge to form a continuous film for pattern transfer.

  2. NIL fabrication of a polymer-based photonic sensor device in P3SENS project

    NASA Astrophysics Data System (ADS)

    Giannone, Domenico; Dortu, Fabian; Bernier, Damien; Johnson, Nigel P.; Sharp, Graham J.; Hou, Lianping; Khokhar, Ali Z.; Fürjes, Péter; Kurunczi, Sándor; Petrik, Peter; Horvath, Robert; Aalto, Timo; Kolari, Kai; Ylinen, Sami; Haatainen, Tomi; Egger, Holger

    2012-06-01

    We present the most recent results of EU funded project P3SENS (FP7-ICT-2009.3.8) aimed at the development of a low-cost and medium sensitivity polymer based photonic biosensor for point of care applications in proteomics. The fabrication of the polymer photonic chip (biosensor) using thermal nanoimprint lithography (NIL) is described. This technique offers the potential for very large production at reduced cost. However several technical challenges arise due to the properties of the used materials. We believe that, once the NIL technique has been optimised to the specific materials, it could be even transferred to a kind of roll-to-roll production for manufacturing a very large number of photonic devices at reduced cost.

  3. Nanostructured surfaces using thermal nanoimprint lithography: Applications in thin membrane technology, piezoelectric energy harvesting and tactile pressure sensing

    NASA Astrophysics Data System (ADS)

    Nabar, Bhargav Pradip

    Nanoimprint lithography (NIL) is emerging as a viable contender for fabrication of large-scale arrays of 5-500 nm features. The work presented in this dissertation aims to leverage the advantages of NIL for realization of novel Nano Electro Mechanical Systems (NEMS). The first application is a nanoporous membrane blood oxygenator system. A fabrication process for realization of thin nanoporous membranes using thermal nanoimprint lithography is presented. Suspended silicon nitride membranes were fabricated by Low-Pressure Chemical Vapor Deposition (LPCVD) in conjunction with a potassium hydroxide-based bulk micromachining process. Nanoscale features were imprinted into a commercially available thermoplastic polymer resist using a pre-fabricated silicon mold. The pattern was reversed and transferred to a thin aluminum oxide layer by means of a novel two stage lift-off technique. The patterned aluminum oxide was used as an etch mask in a CHF3/He based reactive ion etch process to transfer the pattern to silicon nitride. Highly directional etch profiles with near vertical sidewalls and excellent Si3N4/Al2O3 etch selectivity was observed. One-micrometer-thick porous membranes with varying dimensions of 250x250 microm2 to 450x450 microm 2 and pore diameter of 400 nm have been engineered and evaluated. Results indicate that the membranes have consistent nanopore dimensions and precisely defined porosity, which makes them ideal as gas exchange interfaces in blood oxygenation systems as well as other applications such as dialysis. Additionally, bulk -- micromachined microfluidic channels have been developed for uniform, laminar blood flow with minimal cell trauma. NIL has been used for ordered growth of crystalline nanostructures for sensing and energy harvesting. Highly ordered arrays of crystalline ZnO nanorods have been fabricated using a polymer template patterned by thermal nanoimprint lithography, in conjunction with a low temperature hydrothermal growth process. Zinc

  4. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint

    PubMed Central

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-01-01

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way. PMID:27698465

  5. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    PubMed

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  6. Soft Nanoimprint Lithography for Direct Printing of Crystalline Metal Oxide Nanostructures

    NASA Astrophysics Data System (ADS)

    Kothari, Rohit; Beaulieu, Michael; Watkins, James

    2015-03-01

    We demonstrate a solution-based soft nanoimprint lithography technique to directly print dimensionally-stable crystalline metal oxide nanostructures. A patterned PDMS stamp is used in combination with a UV/thermal cure step to imprint a resist containing high concentrations of crystalline nanoparticles in an inorganic/organic binder phase. The as-imprinted nanostructures are highly crystalline and therefore undergo little shrinkage (less than 5% in some cases) upon thermal annealing. High aspect ratio nanostructures and sub-100 nm features are easily realized. Residual layer free direct imprinting (no etching) was achieved by choosing the resist with the appropriate surface energy to ensure dewetting at stamp-substrate interface. The technique was further extended to stack the nanostructures by deploying a layer-by-layer imprint strategy. The method is scalable and can produce large area device quality nanostructures in a rapid fashion at a low cost. CeO2, ITO and TiO2 nanopatterns are illustrated for their potential use in fuel cell electrodes, solar cell electrodes and photonic devices, respectively.

  7. Stacking metal nano-patterns and fabrication of moth-eye structure

    NASA Astrophysics Data System (ADS)

    Taniguchi, Jun

    2018-01-01

    Nanoimprint lithography (NIL) can be used as a tool for three-dimensional nanoscale fabrication. In particular, complex metal pattern structures in polymer material are demanded as plasmonic effect devices and metamaterials. To fabricate of metallic color filter, we used silver ink and NIL techniques. Metallic color filter was composed of stacking of nanoscale silver disc patterns and polymer layers, thus, controlling of polymer layer thickness is necessary. To control of thickness of polymer layer, we used spin-coating of UV-curable polymer and NIL. As a result, ten stacking layers with 1000 nm layer thickness was obtained and red color was observed. Ultraviolet nanoimprint lithography (UV-NIL) is the most effective technique for mass fabrication of antireflection structure (ARS) films. For the use of ARS films in mobile phones and tablet PCs, which are touch-screen devices, it is important to protect the films from fingerprints and dust. In addition, as the nanoscale ARS that is touched by the hand is fragile, it is very important to obtain a high abrasion resistance. To solve these problems, a UV-curable epoxy resin has been developed that exhibits antifouling properties and high hardness. The high abrasion resistance ARS films are shown to withstand a load of 250 g/cm2 in the steel wool scratch test, and the reflectance is less than 0.4%.

  8. Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production

    NASA Astrophysics Data System (ADS)

    Yagawa, Keisuke; Ugajin, Kunihiro; Suenaga, Machiko; Kanamitsu, Shingo; Motokawa, Takeharu; Hagihara, Kazuki; Arisawa, Yukiyasu; Kobayashi, Sachiko; Saito, Masato; Ito, Masamitsu

    2016-04-01

    Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it's quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.

  9. Insertion of two-dimensional photonic crystal pattern on p-GaN layer of GaN-based light-emitting diodes using bi-layer nanoimprint lithography.

    PubMed

    Byeon, Kyeong-Jae; Hwang, Seon-Yong; Hong, Chang-Hee; Baek, Jong Hyeob; Lee, Heon

    2008-10-01

    Nanoimprint lithography (NIL) was adapted to fabricate two-dimensional (2-D) photonic crystal (PC) pattern on the p-GaN layer of InGaN/GaN multi quantum well light-emitting diodes (LEDs) structure to improve the light extraction efficiency. For the uniform transfer of the PC pattern, a bi-layer imprinting method with liquid phase resin was used. The p-GaN layer was patterned with a periodic array of holes by an inductively coupled plasma etching process, based on SiCl4/Ar plasmas. As a result, 2-D photonic crystal patterns with 144 nm, 200 nm and 347 nm diameter holes were uniformly formed on the p-GaN layer and the photoluminescence (PL) intensity of each patterned LED samples was increased by more than 2.6 times, as compared to that of the un-patterned LED sample.

  10. Effects of polymer surface energy on morphology and properties of silver nanowire fabricated via nanoimprint and E-beam evaporation

    NASA Astrophysics Data System (ADS)

    Zhao, Zhi-Jun; Hwang, Soon Hyoung; Jeon, Sohee; Jung, Joo-Yun; Lee, Jihye; Choi, Dae-Geun; Choi, Jun-Hyuk; Park, Sang-Hu; Jeong, Jun-Ho

    2017-10-01

    In this paper, we demonstrate that use of different nanoimprint resins as a polymer pattern has a significant effect on the morphology of silver (Ag) nanowires deposited via an E-beam evaporator. RM-311 and Ormo-stamp resins are chosen as a polymer pattern to form a line with dimensions of width (100 nm) × space (100 nm) × height (120 nm) by using nanoimprint lithography (NIL). Their contact angles are then measured to evaluate their surface energies. In order to compare the properties of the Ag nanowires deposited on the various polymer patterns with different surface energies, hydrophobic surface treatment of the polymer pattern surface is implemented using self-assembled monolayers. In addition, gold and aluminum nanowires are fabricated for comparison with the Ag nanowires, with the differences in the nanowire morphologies being determined by the different atomic properties. The monocrystalline and polycrystalline structures of the various Ag nanowire formations are observed using transmission electron microscopy. In addition, the melting temperatures and optical properties of four kinds of Ag nanowire morphologies deposited on various polymer patterns are evaluated using a hot plate and an ultraviolet-visible (UV-vis) spectrometer, respectively. The results indicate that the morphology of the Ag nanowire determines the melting temperature and the transmission. We believe that these findings will greatly aid the development of NIL, along with physical evaporation and chemical deposition techniques, and will be widely employed in optics, biology, and surface wettability applications.

  11. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  12. Fabrication of flexible oriented magnetic thin films with large in-plane uniaxial anisotropy by roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Thantirige, Rukshan M.; John, Jacob; Pradhan, Nihar R.; Carter, Kenneth R.; Tuominen, Mark T.

    2016-06-01

    Here, we report wafer scale fabrication of densely packed Fe nanostripe-based magnetic thin films on a flexible substrate and their magnetic anisotropy properties. We find that Fe nanostripes exhibit large in-plane uniaxial anisotropy and nearly square hysteresis loops with energy products (BHmax) exceeding 3 MGOe at room temperature. High density Fe nanostripes were fabricated on 70 nm flexible polyethylene terephthalate (PET) gratings, which were made by a roll-to-roll (R2R) UV nanoimprint lithography technique. We observed large in-plane uniaxial anisotropies along the long dimension of nanostripes that can be attributed to the shape. Temperature dependent hysteresis measurements confirm that the magnetization reversal is driven by non-coherent rotation reversal processes.

  13. The Fabrication of Nanoimprinted P3HT Nanograting by Patterned ETFE Mold at Room Temperature and Its Application for Solar Cell

    NASA Astrophysics Data System (ADS)

    Ding, Guangzhu; Wang, Kaixuan; Li, Xiaohui; Chen, Qing; Hu, Zhijun; Liu, Jieping

    2016-05-01

    Nanoimprinting lithography (NIL) is investigated as a promising method to define nanostructure; however, finding a practical method to achieve large area patterning of conjugated polymer remains a challenge. We demonstrate here that a simple and cost-effective technique is proposed to fabricate the nanoimprinted P3HT nanograting by solvent-assisted room temperature NIL (SART-NIL) method with patterned ETFE film as mold. The patterned ETFE template is produced by embossing ETFE film into a patterned silicon master and is used as template to transfer nanogratings during the SART-NIL process. It indicates that highly reproducible and well-controlled P3HT nanograting film is obtained successfully with feature size of nanogratings ranging from 130 to 700 nm, due to the flexibility, stiffness, and low surface energy of ETFE mold. Moreover, the SART-NIL method using ETFE mold is able to fabricate nanogratings but not to induce the change of molecular orientation within conjugated polymer. The conducting ability of P3HT nanograting in the vertical direction is also not damaged after patterning. Finally, we further apply P3HT nanograting for the fabrication of active layer of OBHJ solar cell device, to investigate the morphology role presented by ETFE mold in device performance. The device performance of OBHJ solar cell is preferential to that of PBHJ device obviously.

  14. The Fabrication of Nanoimprinted P3HT Nanograting by Patterned ETFE Mold at Room Temperature and Its Application for Solar Cell.

    PubMed

    Ding, Guangzhu; Wang, Kaixuan; Li, Xiaohui; Chen, Qing; Hu, Zhijun; Liu, Jieping

    2016-12-01

    Nanoimprinting lithography (NIL) is investigated as a promising method to define nanostructure; however, finding a practical method to achieve large area patterning of conjugated polymer remains a challenge. We demonstrate here that a simple and cost-effective technique is proposed to fabricate the nanoimprinted P3HT nanograting by solvent-assisted room temperature NIL (SART-NIL) method with patterned ETFE film as mold. The patterned ETFE template is produced by embossing ETFE film into a patterned silicon master and is used as template to transfer nanogratings during the SART-NIL process. It indicates that highly reproducible and well-controlled P3HT nanograting film is obtained successfully with feature size of nanogratings ranging from 130 to 700 nm, due to the flexibility, stiffness, and low surface energy of ETFE mold. Moreover, the SART-NIL method using ETFE mold is able to fabricate nanogratings but not to induce the change of molecular orientation within conjugated polymer. The conducting ability of P3HT nanograting in the vertical direction is also not damaged after patterning. Finally, we further apply P3HT nanograting for the fabrication of active layer of OBHJ solar cell device, to investigate the morphology role presented by ETFE mold in device performance. The device performance of OBHJ solar cell is preferential to that of PBHJ device obviously.

  15. Pattern fidelity in nanoimprinted films using CD-SAXS

    NASA Astrophysics Data System (ADS)

    Jones, Ronald L.; Soles, Christopher L.; Lin, Eric K.; Hu, Walter; Reano, Ronald M.; Pang, Stella W.; Weigand, Steven J.; Keane, Denis T.; Quintana, John P.

    2005-05-01

    The primary measure of process quality in nanoimprint lithography (NIL) is the fidelity of pattern transfer, comparing the dimensions of the imprinted pattern to those of the mold. As a potential next generation lithography, NIL is capable of true nanofabrication, producing patterns of sub-10 nm dimensions. Routine production of nanoscale patterns will require new metrologies capable of non-destructive dimensional measurements of both the mold and the pattern with sub-nm precision. In this article, a rapid, non-destructive technique termed Critical Dimension Small Angle X-ray Scattering (CD-SAXS) is used to measure the cross sectional shape of both a pattern master, or mold, and the resulting imprinted films. CD-SAXS data are used to extract periodicity as well as pattern height, width, and sidewall angles. Films of varying materials are molded by thermal embossed NIL at temperatures both near and far from the bulk glass transition (TG). The polymer systems include a photoresist, representing a mixture of a polymer and small molecular components, and two pure homopolymers. Molding at low temperatures (T-TG < 40°C) produces small aspect ratio patterns that maintain periodicity to within a single nanometer, but feature large sidewall angles. While the pattern height does not reach that of the mold until very large imprinting temperatures (T-TG ~ 70°C), the pattern width of the mold is accurately transferred for T-TG > 30°C. In addition to obtaining basic dimensions, CD-SAXS data are used to assess the origin of loss in pattern fidelity.

  16. Metal hierarchical patterning by direct nanoimprint lithography

    PubMed Central

    Radha, Boya; Lim, Su Hui; Saifullah, Mohammad S. M.; Kulkarni, Giridhar U.

    2013-01-01

    Three-dimensional hierarchical patterning of metals is of paramount importance in diverse fields involving photonics, controlling surface wettability and wearable electronics. Conventionally, this type of structuring is tedious and usually involves layer-by-layer lithographic patterning. Here, we describe a simple process of direct nanoimprint lithography using palladium benzylthiolate, a versatile metal-organic ink, which not only leads to the formation of hierarchical patterns but also is amenable to layer-by-layer stacking of the metal over large areas. The key to achieving such multi-faceted patterning is hysteretic melting of ink, enabling its shaping. It undergoes transformation to metallic palladium under gentle thermal conditions without affecting the integrity of the hierarchical patterns on micro- as well as nanoscale. A metallic rice leaf structure showing anisotropic wetting behavior and woodpile-like structures were thus fabricated. Furthermore, this method is extendable for transferring imprinted structures to a flexible substrate to make them robust enough to sustain numerous bending cycles. PMID:23446801

  17. Nanoimprinted backside reflectors for a-Si:H thin-film solar cells: critical role of absorber front textures.

    PubMed

    Tsao, Yao-Chung; Fisker, Christian; Pedersen, Thomas Garm

    2014-05-05

    The development of optimal backside reflectors (BSRs) is crucial for future low cost and high efficiency silicon (Si) thin-film solar cells. In this work, nanostructured polymer substrates with aluminum coatings intended as BSRs were produced by positive and negative nanoimprint lithography (NIL) techniques, and hydrogenated amorphous silicon (a-Si:H) was deposited hereon as absorbing layers. The relationship between optical properties and geometry of front textures was studied by combining experimental reflectance spectra and theoretical simulations. It was found that a significant height variation on front textures plays a critical role for light-trapping enhancement in solar cell applications. As a part of sample preparation, a transfer NIL process was developed to overcome the problem of low heat deflection temperature of polymer substrates during solar cell fabrication.

  18. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  19. Toward large-area roll-to-roll printed nanophotonic sensors

    NASA Astrophysics Data System (ADS)

    Karioja, Pentti; Hiltunen, Jussi; Aikio, Sanna M.; Alajoki, Teemu; Tuominen, Jarkko; Hiltunen, Marianne; Siitonen, Samuli; Kontturi, Ville; Böhlen, Karl; Hauser, Rene; Charlton, Martin; Boersma, Arjen; Lieberzeit, Peter; Felder, Thorsten; Eustace, David; Haskal, Eliav

    2014-05-01

    Polymers have become an important material group in fabricating discrete photonic components and integrated optical devices. This is due to their good properties: high optical transmittance, versatile processability at relative low temperatures and potential for low-cost production. Recently, nanoimprinting or nanoimprint lithography (NIL) has obtained a plenty of research interest. In NIL, a mould is pressed against a substrate coated with a moldable material. After deformation of the material, the mold is separated and a replica of the mold is formed. Compared with conventional lithographic methods, imprinting is simple to carry out, requires less-complicated equipment and can provide high-resolution with high throughput. Nanoimprint lithography has shown potential to become a method for low-cost and high-throughput fabrication of nanostructures. We show the development process of nano-structured, large-area multi-parameter sensors using Photonic Crystal (PC) and Surface Enhanced Raman Scattering (SERS) methodologies for environmental and pharmaceutical applications. We address these challenges by developing roll-to-roll (R2R) UV-nanoimprint fabrication methods. Our development steps are the following: Firstly, the proof of concept structures are fabricated by the use of wafer-level processes in Si-based materials. Secondly, the master molds of successful designs are fabricated, and they are used to transfer the nanophotonic structures into polymer materials using sheet-level UV-nanoimprinting. Thirdly, the sheet-level nanoimprinting processes are transferred to roll-to-roll fabrication. In order to enhance roll-to-roll manufacturing capabilities, silicone-based polymer material development was carried out. In the different development phases, Photonic Crystal and SERS sensor structures with increasing complexities were fabricated using polymer materials in order to enhance sheet-level and roll-to-roll manufacturing processes. In addition, chemical and molecular

  20. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography.

    PubMed

    Alayo, Nerea; Conde-Rubio, Ana; Bausells, Joan; Borrisé, Xavier; Labarta, Amilcar; Batlle, Xavier; Pérez-Murano, Francesc

    2015-11-06

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition.

  1. Large-Area Subwavelength Aperture Arrays Fabricated Using Nanoimprint Lithography

    DOE PAGES

    Skinner, J. L.; Hunter, L. L.; Talin, A. A.; ...

    2008-07-29

    In this paper, we report on the fabrication and characterization of large-area 2-D square arrays of subwavelength holes in Ag and Al films. Fabrication is based on thermal nanoimprint lithography and metal evaporation, without the need for etching, and is compatible with low-cost, large-scale production. Reflectance spectra for these arrays display an intensity minimum whose amplitude, center wavelength, and line width depend on the geometry of the array and the reflectivity of the metal film. By placing various fluids in contact with the subwavelength aperture arrays, we observe that the center wavelength of the reflectance minimum varies linearly with themore » refractive index of the fluid with a sensitivity of over 500 nm per refractive index unit. Lastly, the surface plasmon theory is used to predict sensitivities to refractive index change with accuracies better than 0.5%.« less

  2. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    PubMed

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  3. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine

    NASA Astrophysics Data System (ADS)

    Greer, Andrew IM; Della-Rosa, Benoit; Khokhar, Ali Z.; Gadegaard, Nikolaj

    2016-03-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm2 of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  4. Enhanced light output from a nitride-based power chip of green light-emitting diodes with nano-rough surface using nanoimprint lithography.

    PubMed

    Huang, H W; Lin, C H; Yu, C C; Lee, B D; Chiu, C H; Lai, C F; Kuo, H C; Leung, K M; Lu, T C; Wang, S C

    2008-05-07

    Enhanced light extraction from a GaN-based power chip (PC) of green light-emitting diodes (LEDs) with a rough p-GaN surface using nanoimprint lithography is presented. At a driving current of 350 mA and with a chip size of 1 mm × 1 mm packaged on transistor outline (TO)-cans, the light output power of the green PC LEDs with nano-rough p-GaN surface is enhanced by 48% when compared with the same device without a rough p-GaN surface. In addition, by examining the radiation patterns, the green PC LED with nano-rough p-GaN surface shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices by using the technique of nanoimprint lithography under suitable nanopattern design.

  5. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  6. Direct nanoimprint lithography of Al2O3 using a chelated monomer-based precursor

    NASA Astrophysics Data System (ADS)

    Ganesan, Ramakrishnan; Safari Dinachali, Saman; Lim, Su Hui; Saifullah, M. S. M.; Tit Chong, Wee; Lim, Andrew H. H.; Jie Yong, Jin; San Thian, Eng; He, Chaobin; Low, Hong Yee

    2012-08-01

    Nanostructuring of Al2O3 is predominantly achieved by the anodization of aluminum film and is limited to obtaining porous anodized aluminum oxide (AAO). One of the main restrictions in developing approaches for direct fabrication of various types of Al2O3 patterns, such as lines, pillars, holes, etc, is the lack of a processable aluminum-containing resist. In this paper, we demonstrate a stable precursor prepared by reacting aluminum tri-sec-butoxide with 2-(methacryloyloxy)ethyl acetoacetate, a chelating monomer, which can be used for large area direct nanoimprint lithography of Al2O3. Chelation in the precursor makes it stable against hydrolysis whilst the presence of a reactive methacrylate group renders it polymerizable. The precursor was mixed with a cross-linker and their in situ thermal free-radical co-polymerization during nanoimprinting rigidly shaped the patterns, trapped the metal atoms, reduced the surface energy and strengthened the structures, thereby giving a ˜100% yield after demolding. The imprinted structures were heat-treated, leading to the loss of organics and their subsequent shrinkage. Amorphous Al2O3 patterns with line-widths as small as 17 nm were obtained. Our process utilizes the advantages of sol-gel and methacrylate routes for imprinting and at the same time alleviates the disadvantages associated with both these methods. With these benefits, the chelating monomer route may be the harbinger of the universal scheme for direct nanoimprinting of metal oxides.

  7. Fabrication of SiC membrane HCG blue reflector using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lai, Ying-Yu; Matsutani, Akihiro; Lu, Tien-Chang; Wang, Shing-Chung; Koyama, Fumio

    2015-02-01

    We designed and fabricated a suspended SiC-based membrane high contrast grating (HCG) reflectors. The rigorous coupled-wave analysis (RCWA) was employed to verify the structural parameters including grating periods, grating height, filling factors and air-gap height. From the optimized simulation results, the designed SiC-based membrane HCG has a wide reflection stopband (reflectivity (R) <90%) of 135 nm for the TE polarization, which centered at 480 nm. The suspended SiC-based membrane HCG reflectors were fabricated by nanoimprint lithography and two-step etching technique. The corresponding reflectivity was measured by using a micro-reflectivity spectrometer. The experimental results show a high reflectivity (R<90%), which is in good agreement with simulation results. This achievement should have an impact on numerous III-N based photonic devices operating in the blue wavelength or even ultraviolet region.

  8. Flexible palladium-based H2 sensor with fast response and low leakage detection by nanoimprint lithography.

    PubMed

    Lim, Su Hui; Radha, Boya; Chan, Jie Yong; Saifullah, Mohammad S M; Kulkarni, Giridhar U; Ho, Ghim Wei

    2013-08-14

    Flexible palladium-based H2 sensors have a great potential in advanced sensing applications, as they offer advantages such as light weight, space conservation, and mechanical durability. Despite these advantages, the paucity of such sensors is due to the fact that they are difficult to fabricate while maintaining excellent sensing performance. Here, we demonstrate, using direct nanoimprint lithography of palladium, the fabrication of a flexible, durable, and fast responsive H2 sensor that is capable of detecting H2 gas concentration as low as 50 ppm. High resolution and high throughput patterning of palladium gratings over a 2 cm × 1 cm area on a rigid substrate was achieved by heat-treating nanoimprinted palladium benzyl mercaptide at 250 °C for 1 h. The flexible and robust H2 sensing device was fabricated by subsequent transfer nanoimprinting of these gratings into a polycarbonate film at its glass transition temperature. This technique produces flexible H2 sensors with improved durability, sensitivity, and response time in comparison to palladium thin films. At ambient pressure and temperature, the device showed a fast response time of 18 s at a H2 concentration of 3500 ppm. At 50 ppm concentration, the response time was found to be 57 s. The flexibility of the sensor does not appear to compromise its performance.

  9. Nanoimprint system development and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control and IP accuracy. In particular, by improving the specifications on the mask chuck, residual errors of only 1nm can be realized.

  10. Fabrication of resonant patterns using thermal nano-imprint lithography for thin-film photovoltaic applications.

    PubMed

    Khaleque, Tanzina; Svavarsson, Halldor Gudfinnur; Magnusson, Robert

    2013-07-01

    A single-step, low-cost fabrication method to generate resonant nano-grating patterns on poly-methyl-methacrylate (PMMA; plexiglas) substrates using thermal nano-imprint lithography is reported. A guided-mode resonant structure is obtained by subsequent deposition of thin films of transparent conductive oxide and amorphous silicon on the imprinted area. Referenced to equivalent planar structures, around 25% and 45% integrated optical absorbance enhancement is observed over the 450-nm to 900-nm wavelength range in one- and two-dimensional patterned samples, respectively. The fabricated elements provided have 300-nm periods. Thermally imprinted thermoplastic substrates hold potential for low-cost fabrication of nano-patterned thin-film solar cells for efficient light management.

  11. Real-Time Label-Free Surface Plasmon Resonance Biosensing with Gold Nanohole Arrays Fabricated by Nanoimprint Lithography

    PubMed Central

    Martinez-Perdiguero, Josu; Retolaza, Aritz; Otaduy, Deitze; Juarros, Aritz; Merino, Santos

    2013-01-01

    In this work we present a surface plasmon resonance sensor based on enhanced optical transmission through sub-wavelength nanohole arrays. This technique is extremely sensitive to changes in the refractive index of the surrounding medium which result in a modulation of the transmitted light. The periodic gold nanohole array sensors were fabricated by high-throughput thermal nanoimprint lithography. Square periodic arrays with sub-wavelength hole diameters were obtained and characterized. Using solutions with known refractive index, the array sensitivities were obtained. Finally, protein absorption was monitored in real-time demonstrating the label-free biosensing capabilities of the fabricated devices. PMID:24135989

  12. Fabrication of a cost-effective polymer nanograting as a disposable plasmonic biosensor using nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Mohapatra, Saswat; Kumari, Sudha; Moirangthem, Rakesh S.

    2017-07-01

    A simple and cost-effective flexible plasmonic sensor is developed using a gold-coated polymer nanograting structure prepared via soft UV nanoimprint lithography. The sub-wavelength nanograting patterns of digital versatile discs were used as a template to prepare the polydimethylsiloxane stamp. The plasmonic sensing substrate was achieved after coating a gold thin film on top of the imprinted nanograting sample. The surface plasmon resonance (SPR) modes excited on the gold-coated nanograting structure appeared as a dip in the reflectance spectrum measured at normal incidence under white light illumination in the ambient air medium. Electromagnetic simulation based on the finite element method was carried out to analyze the excited SPR modes. The simulated result shows very close agreement with the experimental data. The performance of the sensor with respect to changing the surrounding dielectric medium yields a bulk refractive index sensitivity of 788  ±  21 nm per refractive index unit. Further, label-free detection of proteins using a plasmonic sensing substrate was demonstrated by monitoring specific interactions between bovine serum albumin (BSA) and anti-BSA proteins, which gave a detection limit of 123 pg mm-2 with respect to target anti-BSA protein binding. Thus, our proposed plasmonic sensor has potential for the development of an economical and highly sensitive label-free optical biosensing device for biomedical applications.

  13. Nanoimprinted High-Refractive Index Active Photonic Nanostructures Based on Quantum Dots for Visible Light

    DOE PAGES

    Pina-Hernandez, Carlos; Koshelev, Alexander; Dhuey, Scott; ...

    2017-12-15

    A novel method to realizing printed active photonic devices was developed using nanoimprint lithography (NIL), combining a printable high-refractive index material and colloidal CdSe/CdS quantum dots (QDs) for applications in the visible region. Active media QDs were applied in two different ways: embedded inside a printable high-refractive index matrix to form an active printable hybrid nanocomposite, and used as a uniform coating on top of printed photonic devices. As a proof-of-demonstration for printed active photonic devices, two-dimensional (2-D) photonic crystals as well as 1D and 2D photonic nanocavities were successfully fabricated following a simple reverse-nanoimprint process. We observed enhanced photoluminescencemore » from the 2D photonic crystal and the 1D nanocavities. Outstandingly, the process presented in this study is fully compatible with large-scale manufacturing where the patterning areas are only limited by the size of the corresponding mold. This work shows that the integration of active media and functional materials is a promising approach to the realization of integrated photonics for visible light using high throughput technologies. We believe that this work represents a powerful and cost-effective route for the development of numerous nanophotonic structures and devices that will lead to the emergence of new applications.« less

  14. Durability to oxygen reactive ion etching enhanced by addition of synthesized bis(trimethylsilyl)phenyl-containing (meth)acrylates in ultraviolet nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ito, Shunya; Sato, Hiroki; Tasaki, Yuhei; Watanuki, Kimihito; Nemoto, Nobukatsu; Nakagawa, Masaru

    2016-06-01

    We investigated the selection of bis(trimethylsilyl)phenyl-containing (meth)acrylates as additives to improve the durability to oxygen reactive ion etching (O2 RIE) of sub-50 nm imprint resist patterns suitable for bubble-defect-free UV nanoimprinting with a readily condensable gas. 2,5-Bis(2-acryloyloxyethoxy)-1,4-bis(trimethylsilyl)benzene, which has a diacrylate chemical structure similar to that of glycerol 1,3-diglycerolate diacrylate used as a base monomer, and 3-(2-methacryloyloxyethoxy)-1-(hydroxylethoxy)-2-propoxy-3,5-bis(trimethylsilyl)benzene, which has a hydroxy group similar to the base monomer, were synthesized taking into consideration the Ohnishi and ring parameters, and the oxidization of the trimethylsilyl moiety to inorganic species during O2 RIE. The addition of the latter liquid additive to the base monomer decreased etching rate owing to the good miscibility of the additive in the base monomer, while the addition of the former crystalline additive caused phase separation after UV nanoimprinting. The latter additive worked as a compatibilizer to the former additive, which is preferred for etching durability improvement. The coexistence of the additives enabled the fabrication of a 45 nm line-and-space resist pattern by UV nanoimprinting, and its residual layer could be removed by O2 RIE.

  15. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  16. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  17. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  18. High refractive index Fresnel lens on a fiber fabricated by nanoimprint lithography for immersion applications.

    PubMed

    Koshelev, Alexander; Calafiore, Giuseppe; Piña-Hernandez, Carlos; Allen, Frances I; Dhuey, Scott; Sassolini, Simone; Wong, Edward; Lum, Paul; Munechika, Keiko; Cabrini, Stefano

    2016-08-01

    In this Letter, we present a Fresnel lens fabricated on the end of an optical fiber. The lens is fabricated using nanoimprint lithography of a functional high refractive index material, which is suitable for mass production. The main advantage of the presented Fresnel lens compared to a conventional fiber lens is its high refractive index (n=1.68), which enables efficient light focusing even inside other media, such as water or an adhesive. Measurement of the lens performance in an immersion liquid (n=1.51) shows a near diffraction limited focal spot of 810 nm in diameter at the 1/e2 intensity level for a wavelength of 660 nm. Applications of such fiber lenses include integrated optics, optical trapping, and fiber probes.

  19. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    NASA Astrophysics Data System (ADS)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  20. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  1. Nano-imprint gold grating as refractive index sensor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive indexmore » sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.« less

  2. Ecofriendly antiglare film derived from biomass using ultraviolet curing nanoimprint lithography for high-definition display

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Murakami, Gaku; Mori, Yuto; Ichikawa, Takumi; Sekiguchi, Atsushi; Obata, Tsutomu; Yokoyama, Yoshiyuki; Mizuno, Wataru; Sumioka, Junji; Horita, Yuji

    2013-07-01

    Nanopatterning of an ecofriendly antiglare film derived from biomass using an ultraviolet curing nanoimprint lithography is reported. Developed sugar-related organic compounds with liquid glucose and trehalose derivatives derived from biomass produced high-quality imprint images of pillar patterns with a 230-nm diameter. Ecofriendly antiglare film with liquid glucose and trehalose derivatives derived from biomass was indicated to achieve the real refraction index of 1.45 to 1.53 at 350 to 800 nm, low imaginary refractive index of <0.005 and low volumetric shrinkage of 4.8% during ultraviolet irradiation. A distinctive bulky glucose structure in glucose and trehalose derivatives was considered to be effective for minimizing the volumetric shrinkage of resist film during ultraviolet irradiation, in addition to suitable optical properties for high-definition display.

  3. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  4. Large-area zinc oxide nanorod arrays templated by nanoimprint lithography: control of morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Huang, Xiaohu; Liu, Hongfei; Chua, Soo Jin; Ross, Caroline A.

    2016-12-01

    Vertically aligned, highly ordered, large area arrays of nanostructures are important building blocks for multifunctional devices. Here, ZnO nanorod arrays are selectively synthesized on Si substrates by a solution method within patterns created by nanoimprint lithography. The growth modes of two dimensional nucleation-driven wedding cakes and screw dislocation-driven spirals are inferred to determine the top end morphologies of the nanorods. Sub-bandgap photoluminescence of the nanorods is greatly enhanced by the manipulation of the hydrogen donors via a post-growth thermal treatment. Lasing behavior is facilitated in the nanorods with faceted top ends formed from wedding cakes growth mode. This work demonstrates the control of morphologies of oxide nanostructures in a large scale and the optimization of the optical performance.

  5. Study on induced strain in direct nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watanabe, Kenta; Iida, Tatsuya; Yasuda, Masaaki; Kawata, Hiroaki; Hirai, Yoshihiko

    2018-06-01

    The induced shear strain distribution in a polymer film is investigated by computational study in a direct nanoimprint process. The effects of the polymer thickness, mold pattern shape such as rectangular, triangular or overcut pattern shape, and the coefficient of friction between the mold and the polymer are studied by computational work. As the coefficient of friction increases, the induced shear strain increases along the mold surface. Depending on the polymer thickness, the shear strain is induced in the residual and/or pattern area. In the triangular pattern, the strain is induced in the pattern central area. The results suggest that shear stress remains in the triangular pattern area in the direct nanoimprint process. On the other hand, the rectangular pattern is suitable for suppressing the induced strain inside the pattern.

  6. SWS grating for UV band filter by nano-imprint

    NASA Astrophysics Data System (ADS)

    Lin, Jian-Shian; Liao, Ke-Hao; Chen, Chang-Tai; Lai, Chieh-Lung; Ko, Cheng-Hao

    2009-05-01

    Regarding to researches on manufacturing process, the fabrication of nano structures on SWS (subwavelength structured) grating are mainly produced by photo lithography. We find that UV light transmission efficiency of PET film significantly drops 50% when we put nano structures on the surface of material. In this paper, we add nano structures on the surface of PET film and create a UV band filter. Decent optical filtering effects can be achieved by combining the characteristics of PET materials with nano structures on their surfaces.

  7. Improved conversion efficiency of amorphous Si solar cells using a mesoporous ZnO pattern

    PubMed Central

    2014-01-01

    To provide a front transparent electrode for use in highly efficient hydrogenated amorphous silicon (a-Si:H) thin-film solar cells, porous flat layer and micro-patterns of zinc oxide (ZnO) nanoparticle (NP) layers were prepared through ultraviolet nanoimprint lithography (UV-NIL) and deposited on Al-doped ZnO (AZO) layers. Through this, it was found that a porous micro-pattern of ZnO NPs dispersed in resin can optimize the light-trapping pattern, with the efficiency of solar cells based on patterned or flat mesoporous ZnO layers increased by 27% and 12%, respectively. PMID:25276101

  8. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  9. Texturing of UHMWPE surface via NIL for low friction and wear properties

    NASA Astrophysics Data System (ADS)

    Suryadi Kustandi, Tanu; Choo, Jian Huei; Low, Hong Yee; Sinha, Sujeet K.

    2010-01-01

    Wear is a major obstacle limiting the useful life of implanted ultra-high molecular weight polyethylene (UHMWPE) components in total joint arthroplasty. It has been a continuous effort in the implant industry to reduce the frictional wear problem of UHMWPE by improving the structure, morphology and mechanical properties of the polymer. In this paper, a new paradigm that utilizes nanoimprint lithography (NIL) in producing textures on the surface of UHMWPE is proposed to efficiently improve the tribological properties of the polymer. Friction and wear experiments were conducted on patterned and controlled (non-patterned) UHMWPE surfaces using a commercial tribometer, mounted with a silicon nitride ball, under a dry-sliding condition with normal loads ranging from 60 to 200 mN. It has been shown that the patterned UHMWPE surface showed a reduction in the coefficient of friction between 8% and 35% as compared with the controlled (non-patterned) surface, depending on the magnitude of the normal load. Reciprocating wear experiments also showed that the presence of surface textures on the polymer resulted in lower wear depth and width, with minimal material transfer to the sliding surface.

  10. 1.55 µm emission from a single III-nitride top-down and site-controlled nanowire quantum disk

    NASA Astrophysics Data System (ADS)

    Chen, Qiming; Yan, Changling; Qu, Yi

    2017-07-01

    InN/InGaN single quantum well (SQW) was fabricated on 100 nm GaN buffer layer which was deposited on GaN template by plasma assisted molecular beam epitaxy (PA-MBE). The In composition and the surface morphology were measured by x-ray diffusion (XRD) and atom force microscope (AFM), respectively. Afterwards, the sample was fabricated into site-controlled nanowires arrays by hot-embossing nano-imprint lithography (HE-NIL) and ultraviolet nanoimprint lithography (UV-NIL). The nanowires were uniform along the c-axis and aligned periodically as presented by scanning electron microscope (SEM). The single nanowire showed disk-in-a-wire structure by high angle annular dark field (HAADF) and an In-rich or Ga deficient region was observed by energy dispersive x-ray spectrum (EDXS). The optical properties of the SQW film and single nanowire were measured using micro photoluminescence (µ-PL) spectroscopy. The stimulating light wavelength was 632.8 nm which was emitted from a He-Ne laser and the detector was a liquid nitrogen cooled InGaAs detector. A blue peak shift from the film material to the nanowire was observed. This was due to the quantum confinement Stark Effect. More importantly, the 1.55 µm emission was given from the single disk-in-a-wire structure at room temperature. We believe the arrays of such nanowires may be useful for quantum communication in the future.

  11. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  12. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    PubMed Central

    Morris, Michael A.

    2017-01-01

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance. PMID:28973987

  13. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    PubMed

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  14. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  15. Resolution Limits of Nanoimprinted Patterns by Fluorescence Microscopy

    NASA Astrophysics Data System (ADS)

    Kubo, Shoichi; Tomioka, Tatsuya; Nakagawa, Masaru

    2013-06-01

    The authors investigated optical resolution limits to identify minimum distances between convex lines of fluorescent dye-doped nanoimprinted resist patterns by fluorescence microscopy. Fluorescent ultraviolet (UV)-curable resin and thermoplastic resin films were transformed into line-and-space patterns by UV nanoimprinting and thermal nanoimprinting, respectively. Fluorescence immersion observation needed an immersion medium immiscible to the resist films, and an ionic liquid of triisobutyl methylphosphonium tosylate was appropriate for soluble thermoplastic polystyrene patterns. Observation with various numerical aperture (NA) values and two detection wavelength ranges showed that the resolution limits were smaller than the values estimated by the Sparrow criterion. The space width to identify line patterns became narrower as the line width increased. The space width of 100 nm was demonstrated to be sufficient to resolve 300-nm-wide lines in the detection wavelength range of 575-625 nm using an objective lens of NA= 1.40.

  16. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  17. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  18. Nanohole and dot patterning processes on quartz substrate by R-θ electron beam lithography and nanoimprinting

    NASA Astrophysics Data System (ADS)

    Watanabe, Tsuyoshi; Taniguchi, Kazutake; Suzuki, Kouta; Iyama, Hiromasa; Kishimoto, Shuji; Sato, Takashi; Kobayashi, Hideo

    2016-06-01

    Fine hole and dot patterns with bit pitches (bp’s) of less than 40 nm were fabricated in the circular band area of a quartz substrate by R-θ electron beam lithography (EBL), reactive ion etching (RIE), and nanoimprinting. These patterning processes were studied to obtain minimum pitch sizes of hole and dot patterns without pattern collapse. The patterning on the circular band was aimed to apply these patterning processes to future high-density bit-patterned media (BPM) for hard disk drive (HDD) and permanent memory for the long life archiving of digital data. In hole patterning, a minimum-22-nm-bp and 8.2-nm-diameter pattern (1.3 Tbit/in.2) was obtained on a quartz substrate by optimizing the R-θ EBL and RIE processes. Dot patterns were replicated on another quartz substrate by nanoimprinting using a hole-patterned quartz substrate as a master mold followed by RIE. In dot patterning, a minimum-30-nm-bp and 18.5-nm-diameter pattern (0.7 Tbit/in.2) was obtained by introducing new descum conditions. It was observed that the minimum bp of successful patterning increased as the fabrication process proceeded, i.e., from 20 nm bp in the first EBL process to 30 nm bp in the last quartz dot patterning process. From the measured diameters of the patterns, it was revealed that pattern collapse was apt to occur when the value of average diameter plus 3 sigma of diameter was close to the bp. It was suggested that multiple fabrication processes caused the degradation of pattern quality; therefore, hole patterning is more suitable than dot patterning for future applications owing to the lower quality degradation by its simple fabrication process.

  19. SEM AutoAnalysis: enhancing photomask and NIL defect disposition and review

    NASA Astrophysics Data System (ADS)

    Schulz, Kristian; Egodage, Kokila; Tabbone, Gilles; Ehrlich, Christian; Garetto, Anthony

    2017-06-01

    For defect disposition and repair verification regarding printability, AIMS™ is the state of the art measurement tool in industry. With its unique capability of capturing aerial images of photomasks it is the one method that comes closest to emulating the printing behaviour of a scanner. However for nanoimprint lithography (NIL) templates aerial images cannot be applied to evaluate the success of a repair process. Hence, for NIL defect dispositioning scanning, electron microscopy (SEM) imaging is the method of choice. In addition, it has been a standard imaging method for further root cause analysis of defects and defect review on optical photomasks which enables 2D or even 3D mask profiling at high resolutions. In recent years a trend observed in mask shops has been the automation of processes that traditionally were driven by operators. This of course has brought many advantages one of which is freeing cost intensive labour from conducting repetitive and tedious work. Furthermore, it reduces variability in processes due to different operator skill and experience levels which at the end contributes to eliminating the human factor. Taking these factors into consideration, one of the software based solutions available under the FAVOR® brand to support customer needs is the aerial image evaluation software, AIMS™ AutoAnalysis (AAA). It provides fully automated analysis of AIMS™ images and runs in parallel to measurements. This is enabled by its direct connection and communication with the AIMS™tools. As one of many positive outcomes, generating automated result reports is facilitated, standardizing the mask manufacturing workflow. Today, AAA has been successfully introduced into production at multiple customers and is supporting the workflow as described above. These trends indeed have triggered the demand for similar automation with respect to SEM measurements leading to the development of SEM AutoAnalysis (SAA). It aims towards a fully automated SEM image

  20. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xiuguo; Ma, Zhichao; Xu, Zhimou

    Mueller matrix ellipsometry (MME) is applied to detect foot-like asymmetry encountered in nanoimprint lithography (NIL) processes. We present both theoretical and experimental results which show that MME has good sensitivity to both the magnitude and direction of asymmetric profiles. The physics behind the use of MME for asymmetry detection is the breaking of electromagnetic reciprocity theorem for the zeroth-order diffraction of asymmetric gratings. We demonstrate that accurate characterization of asymmetric nanoimprinted gratings can be achieved by performing MME measurements in a conical mounting with the plane of incidence parallel to grating lines and meanwhile incorporating depolarization effects into the opticalmore » model. The comparison of MME-extracted asymmetric profile with the measurement by cross-sectional scanning electron microscopy also reveals the strong potential of this technique for in-line monitoring NIL processes, where symmetric structures are desired.« less

  1. High throughput nanoimprint lithography for semiconductor memory applications

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  2. Fabrication of semiconductor-polymer compound nonlinear photonic crystal slab with highly uniform infiltration based on nano-imprint lithography technique.

    PubMed

    Qin, Fei; Meng, Zi-Ming; Zhong, Xiao-Lan; Liu, Ye; Li, Zhi-Yuan

    2012-06-04

    We present a versatile technique based on nano-imprint lithography to fabricate high-quality semiconductor-polymer compound nonlinear photonic crystal (NPC) slabs. The approach allows one to infiltrate uniformly polystyrene materials that possess large Kerr nonlinearity and ultrafast nonlinear response into the cylindrical air holes with diameter of hundred nanometers that are perforated in silicon membranes. Both the structural characterization via the cross-sectional scanning electron microscopy images and the optical characterization via the transmission spectrum measurement undoubtedly show that the fabricated compound NPC samples have uniform and dense polymer infiltration and are of high quality in optical properties. The compound NPC samples exhibit sharp transmission band edges and nondegraded high quality factor of microcavities compared with those in the bare silicon PC. The versatile method can be expanded to make general semiconductor-polymer hybrid optical nanostructures, and thus it may pave the way for reliable and efficient fabrication of ultrafast and ultralow power all-optical tunable integrated photonic devices and circuits.

  3. Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation

    NASA Astrophysics Data System (ADS)

    Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc

    2018-06-01

    Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.

  4. Contact electrification induced interfacial reactions and direct electrochemical nanoimprint lithography in n-type gallium arsenate wafer.

    PubMed

    Zhang, Jie; Zhang, Lin; Wang, Wei; Han, Lianhuan; Jia, Jing-Chun; Tian, Zhao-Wu; Tian, Zhong-Qun; Zhan, Dongping

    2017-03-01

    Although metal assisted chemical etching (MacEtch) has emerged as a versatile micro-nanofabrication method for semiconductors, the chemical mechanism remains ambiguous in terms of both thermodynamics and kinetics. Here we demonstrate an innovative phenomenon, i.e. , the contact electrification between platinum (Pt) and an n-type gallium arsenide (100) wafer (n-GaAs) can induce interfacial redox reactions. Because of their different work functions, when the Pt electrode comes into contact with n-GaAs, electrons will move from n-GaAs to Pt and form a contact electric field at the Pt/n-GaAs junction until their electron Fermi levels ( E F ) become equal. In the presence of an electrolyte, the potential of the Pt/electrolyte interface will shift due to the contact electricity and induce the spontaneous reduction of MnO 4 - anions on the Pt surface. Because the equilibrium of contact electrification is disturbed, electrons will transfer from n-GaAs to Pt through the tunneling effect. Thus, the accumulated positive holes at the n-GaAs/electrolyte interface make n-GaAs dissolve anodically along the Pt/n-GaAs/electrolyte 3-phase interface. Based on this principle, we developed a direct electrochemical nanoimprint lithography method applicable to crystalline semiconductors.

  5. Photoluminescence enhancement of silicon quantum dot monolayer by plasmonic substrate fabricated by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Yanagawa, Hiroto; Inoue, Asuka; Sugimoto, Hiroshi; Shioi, Masahiko; Fujii, Minoru

    2017-12-01

    Near-field coupling between a silicon quantum dot (Si-QD) monolayer and a plasmonic substrate fabricated by nano-imprint lithography and having broad multiple resonances in the near-infrared (NIR) window of biological substances was studied by precisely controlling the QDs-substrate distance. A strong enhancement of the NIR photoluminescence (PL) of Si-QDs was observed. Detailed analyses of the PL and PL excitation spectra, the PL decay dynamics, and the reflectance spectra revealed that both the excitation cross-sections and the emission rates are enhanced by the surface plasmon resonances, thanks to the broad multiple resonances of the plasmonic substrate, and that the relative contribution of the two enhancement processes depends strongly on the excitation wavelength. Under excitation by short wavelength photons (405 nm), where enhancement of the excitation cross-section is not expected, the maximum enhancement was obtained when the QDs-substrate distance was around 30 nm. On the other hand, under long wavelength excitation (641 nm), where strong excitation cross-section enhancement is expected, the largest enhancement was obtained when the distance was minimum (around 1 nm). The achievement of efficient excitation of NIR luminescence of Si-QDs by long wavelength photons paves the way for the development of Si-QD-based fluorescence bio-sensing devices with a high bound-to-free ratio.

  6. Fabrication and testing of freestanding Si nanogratings for UV filtration on space-based particle sensors.

    PubMed

    Mukherjee, Pran; Zurbuchen, Thomas H; Guo, L Jay

    2009-08-12

    We demonstrate complete fabrication process integration and device performance of sturdy, self-supported transmission gratings in silicon. Gratings are patterned with nanoimprint lithography and aluminum liftoff on silicon-on-insulator wafers. Double-sided deep reactive ion etching (DRIE) creates freestanding 120 nm half-pitch gratings with 2000 nm depth and built-in 1 mm pitch bulk silicon support structures. Optical characterization demonstrates 10(-4) transmission of UV in the 190-250 nm band while a 25-30% geometric transparency allows particles to pass unimpeded for space plasma measurements.

  7. Continuous fabrication of nanostructure arrays for flexible surface enhanced Raman scattering substrate

    PubMed Central

    Zhang, Chengpeng; Yi, Peiyun; Peng, Linfa; Lai, Xinmin; Chen, Jie; Huang, Meizhen; Ni, Jun

    2017-01-01

    Surface-enhanced Raman spectroscopy (SERS) has been a powerful tool for applications including single molecule detection, analytical chemistry, electrochemistry, medical diagnostics and bio-sensing. Especially, flexible SERS substrates are highly desirable for daily-life applications, such as real-time and in situ Raman detection of chemical and biological targets, which can be used onto irregular surfaces. However, it is still a major challenge to fabricate the flexible SERS substrate on large-area substrates using a facile and cost-effective technique. The roll-to-roll ultraviolet nanoimprint lithography (R2R UV-NIL) technique provides a solution for the continuous fabrication of flexible SERS substrate due to its high-speed, large-area, high-resolution and high-throughput. In this paper, we presented a facile and cost-effective method to fabricate flexible SERS substrate including the fabrication of polymer nanostructure arrays and the metallization of the polymer nanostructure arrays. The polymer nanostructure arrays were obtained by using R2R UV-NIL technique and anodic aluminum oxide (AAO) mold. The functional SERS substrates were then obtained with Au sputtering on the surface of the polymer nanostructure arrays. The obtained SERS substrates exhibit excellent SERS and flexibility performance. This research can provide a beneficial direction for the continuous production of the flexible SERS substrates. PMID:28051175

  8. Patterning techniques for next generation IC's

    NASA Astrophysics Data System (ADS)

    Balasinski, A.

    2007-12-01

    Reduction of linear critical dimensions (CDs) beyond 45 nm would require significant increase of the complexity of pattern definition process. In this work, we discuss the key successor methodology to the current optical lithography, the Double Patterning Technique (DPT). We compare the complexity of CAD solutions, fab equipment, and wafer processing with its competitors, such as the nanoimprint (NIL) and the extreme UV (EUV) techniques. We also look ahead to the market availability for the product families enabled using the novel patterning solutions. DPT is often recognized as the most viable next generation lithography as it utilizes the existing equipment and processes and is considered a stop-gap solution before the advanced NIL or EUV equipment is developed. Using design for manufacturability (DfM) rules, DPT can drive the k1 factor down to 0.13. However, it faces a variety of challenges, from new mask overlay strategies, to layout pattern split, novel OPC, increased CD tolerances, new etch techniques, as well as long processing time, all of which compromise its return on investment (RoI). In contrast, it can be claimed e.g., that the RoI is the highest for the NIL but this technology bears significant risk. For all novel patterning techniques, the key questions remain: when and how should they be introduced, what is their long-term potential, when should they be replaced, and by what successor technology. We summarize the unpublished results of several panel discussions on DPT at the recent SPIE/BACUS conferences.

  9. Biomimetic Moth-eye Nanofabrication: Enhanced Antireflection with Superior Self-cleaning Characteristic.

    PubMed

    Sun, Jingyao; Wang, Xiaobing; Wu, Jinghua; Jiang, Chong; Shen, Jingjing; Cooper, Merideth A; Zheng, Xiuting; Liu, Ying; Yang, Zhaogang; Wu, Daming

    2018-04-03

    Sub-wavelength antireflection moth-eye structures were fabricated with Nickel mold using Roll-to-Plate (R2P) ultraviolet nanoimprint lithography (UV-NIL) on transparent polycarbonate (PC) substrates. Samples with well replicated patterns established an average reflection of 1.21% in the visible light range, 380 to 760 nm, at normal incidence. An excellent antireflection property of a wide range of incidence angles was shown with the average reflection below 4% at 50°. Compared with the unpatterned ultraviolet-curable resin coating, the resulting sub-wavelength moth-eye structure also exhibited increased hydrophobicity in addition to antireflection. This R2P method is especially suitable for large-area product preparation and the biomimetic moth-eye structure with multiple performances can be applied to optical devices such as display screens, solar cells, or light emitting diodes.

  10. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  11. Nanoimprinted photonic crystal color filters for solar-powered reflective displays.

    PubMed

    Cho, Eun-Hyoung; Kim, Hae-Sung; Sohn, Jin-Seung; Moon, Chang-Youl; Park, No-Cheol; Park, Young-Pil

    2010-12-20

    A novel concept for reflective displays that uses two-dimensional photonic crystals with subwavelength gratings is introduced. A solar-powered reflective display with photonic crystal color filters was analyzed by a theoretical approach. We fabricated the photonic crystal color filters on a glass substrate by using low-cost nanoimprint lithography and multi-scan excimer laser annealing to produce RGB color filters through a single patterning process. The theoretical and experimental results show that the color filters have high reflectance and angular tolerance, which was qualitatively confirmed by chromaticity coordination analysis.

  12. Ultra-sensitive detection of biomarker using localized surface plasmon resonance (LSPR) enhanced by ELISA

    NASA Astrophysics Data System (ADS)

    Shin, Yong-Beom; Jo, Na rae; Lee, Ki joong

    2015-07-01

    We demonstrate a highly sensitive detection of AFP (α-fetoprotein) protein (liver cancer marker) in human serum using the LSPR biosensor. Gold metal nanodot array (MNA) on a glass wafer were fabricated by UV nanoimprint lithography (NIL). After the NIL process using a film stamp and the removal of residual layer via oxygen plasma etching, metal films were deposited using an electron-beam evaporator, followed by the lift-off step. Consequently, the gold MNA was realized on 5-inch glass wafer and the pitch, diameter and height of MNA were 300nm, 150 nm and 20 nm, respectively. We employed observation of LSPR spectra via back-reflection, which provides a stable measurement of LSPR because a probe light does not pass a bio-sample. In addition, one channel among two flow channels was used a control channel, the MNA surface in which was modified with bovine serum albumin, not antibody. After antigen-antibody reaction, the enzyme/precipitation was employed on the MNA (Nano-ELISA). As a result, we could detect AFP in 50 L human serum with limit of detection (LOD) of 0.7 zeptomole (10-21 mole).

  13. Design and fabrication of nano-imprint templates using unique pattern transforms and primitives

    NASA Astrophysics Data System (ADS)

    MacDonald, Susan; Mellenthin, David; Rentzsch, Kevin; Kramer, Kenneth; Ellenson, James; Hostetler, Tim; Enck, Ron

    2005-11-01

    Increasing numbers of MEMS, photonic, and integrated circuit manufacturers are investigating the use of Nano-imprint Lithography or Step and Flash Imprint Lithography (SFIL) as a lithography choice for making various devices and products. Their main interests in using these technologies are the lack of aberrations inherent in traditional optical reduction lithography, and the relative low cost of imprint tools. Since imprint templates are at 1X scale, the small sizes of these structures have necessitated the use of high-resolution 50KeV, and 100KeV e-beam lithography tools to build these templates. For MEMS and photonic applications, the structures desired are often circles, arches, and other non-orthogonal shapes. It has long been known that both 50keV, and especially 100keV e-beam lithography tools are extremely accurate, and can produce very high resolution structures, but the trade off is long write times. The main drivers in write time are shot count and stage travel. This work will show how circles and other non-orthogonal shapes can be produced with a 50KeV Variable Shaped Beam (VSB) e-beam lithography system using unique pattern transforms and primitive shapes, while keeping the shot count and write times under control. The quality of shapes replicated into the resist on wafer using an SFIL tool will also be presented.

  14. Toward a nanoimprinted nanoantenna to perform optical rectification through molecular diodes

    NASA Astrophysics Data System (ADS)

    Reynaud, C. A.; Duché, D.; Ruiz, C. M.; Palanchoke, U.; Patrone, L.; Le Rouzo, J.; Labau, S.; Frolet, N.; Gourgon, C.; Alfonso, C.; Charaï, A.; Lebouin, C.; Simon, J.-J.; Escoubas, L.

    2017-12-01

    This work presents investigations about the realization and modelization of rectenna solar cells. Rectennas are antennas coupled with a rectifier to convert the alternative current originating from the antenna into direct current that can be harvested and stored. By reducing the size of the antennas to the nanoscale, interactions with visible and near-infrared light become possible. If techniques such as nanoimprint lithography make possible the fabrication of sufficiently small plasmonic structures to act as optical antennas, the concept of rectenna still faces several challenges. One of the most critical point is to achieve rectification at optical frequencies. To address this matter, we propose to use molecular diodes (ferrocenyl-alkanethiol) that can be self-assembled on metallic surfaces such as gold or silver. In this paper, we present a basic rectenna theory as well as finite-difference time-domain (FDTD) optical simulations of plasmonic structures and experimental results of both nanoimprint fabrication of samples and characterizations by electron microscopy, Raman spectroscopy, and cyclic voltammetry techniques.

  15. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  16. Multilayered Magnetic Nanoparticles Fabricated by Nanoimprint Lithography for Magnetomechanical Treatment of Cancer

    NASA Astrophysics Data System (ADS)

    Kwon, Byung Seok

    Fe3O4-magetite nanoparticles have received wide interest as prominent agents for various biomedical applications, ranging from target-specific cancer treatment, gene therapy, and Magnetic Particle Imaging (MPI). However, Fe3O4-magnetite nanoparticles, synthesized by chemical methods beyond a certain size, present challenges in controlling size distribution and shape. Similarly, Fe3O 4-magnetite nanoparticles fabricated by conventional top-down lithographic methods present difficulty of controlling defects and lead to agglomeration due to large size. In order to overcome the difficulties associated with the conventional chemical and top-down lithographic methods, it is critical to develop a fabrication method which produces homogeneous nanoparticles in large quantities with the control of size, defects, and structure. Furthermore, the concept of cell death induced by mechanical perturbation has received wide attention as a way to maximize the cancer cell death with minimal side effects. Previous study has proposed the use of permalloy disk-shaped vortex state microparticles, in order to create cancer cell death by mechanical force. However, insufficient biocompatibility, inadequate mechanical force created by vortex switching, and inability to control the particle size have been critical issues to be further researched and proceeded for in vivo application. Hence, we studied physical and magnetic properties of Fe3O 4 as a material in thin film form and proceeded to develop Fe3 O4 based synthetic antiferromagnetic (SAF) thin films. Then, we combined these favorable physical/magnetic properties with nanoimprint lithography to fabricate homogeneously patterned synthetic antiferromagnetic (SAF) nanoparticles (wafer area >1 x 1 cm2) with the control of size, shape and structure. Then we demonstrated the release of these particles in an aqueous environment. The fabrication process combines a tetrafluoroethylene (ETFE) "working stamp", a bi-layer resist lift-off, defect

  17. Rapid prototyping of microstructures in polydimethylsiloxane (PDMS) by direct UV-lithography.

    PubMed

    Scharnweber, Tim; Truckenmüller, Roman; Schneider, Andrea M; Welle, Alexander; Reinhardt, Martina; Giselbrecht, Stefan

    2011-04-07

    Microstructuring of polydimethylsiloxane (PDMS) is a key step for many lab-on-a-chip (LOC) applications. In general, the structure is generated by casting the liquid prepolymer against a master. The production of the master in turn calls for special equipment and know how. Furthermore, a given master only allows the reproduction of the defined structure. We report on a simple, cheap and practical method to produce microstructures in already cured PDMS by direct UV-lithography followed by chemical development. Due to the available options during the lithographic process like multiple exposures, the method offers a high design flexibility granting easy access to complex and stepped structures. Furthermore, no master is needed and the use of pre-cured PDMS allows processing at ambient (light) conditions. Features down to approximately 5 µm and a depth of 10 µm can be realised. As a proof of principle, we demonstrate the feasibility of the process by applying the structures to various established soft lithography techniques.

  18. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  19. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  20. Fabrication Process for Large Size Mold and Alignment Method for Nanoimprint System

    NASA Astrophysics Data System (ADS)

    Ishibashi, Kentaro; Kokubo, Mitsunori; Goto, Hiroshi; Mizuno, Jun; Shoji, Shuichi

    Nanoimprint technology is considered one of the mass production methods of the display for cellular phone or notebook computer, with Anti-Reflection Structures (ARS) pattern and so on. In this case, the large size mold with nanometer order pattern is very important. Then, we describe the fabrication process for large size mold, and the alignment method for UV nanoimprint system. We developed the original mold fabrication process using nanoimprint method and etching techniques. In 66 × 45 mm2 area, 200nm period seamless patterns were formed using this process. And, we constructed original alignment system that consists of the CCD-camera system, X-Y-θ table, method of moiré fringe, and image processing system, because the accuracy of pattern connection depends on the alignment method. This alignment system accuracy was within 20nm.

  1. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    NASA Astrophysics Data System (ADS)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  2. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  3. Transfer the multiscale texture of crystalline Si onto thin-film micromorph cell by UV nanoimprint for light trapping

    NASA Astrophysics Data System (ADS)

    Liu, Daiming; Wang, Qingkang; Wang, Qing

    2018-05-01

    Surface texturing is of great significance in light trapping for solar cells. Herein, the multiscale texture, consisting of microscale pyramids and nanoscale porous arrangement, was fabricated on crystalline Si by KOH etching and Ag-assisted HF etching processes and subsequently replicated onto glass with high fidelity by UV nanoimprint method. Light trapping of the multiscale texture was studied by spectral (reflectance, haze ratio) characterizations. Results reveal the multiscale texture provides the broadband reflection reducing, the highlighted light scattering and the additional self-cleaning behaviors. Compared with bare cell, the multiscale textured micromorph cell achieves a 4% relative increase in power conversion efficiency. This surface texturing route paves a promising way for developing low-cost, large-scale and high-efficiency solar applications.

  4. Nanoimprint of a 3D structure on an optical fiber for light wavefront manipulation.

    PubMed

    Calafiore, Giuseppe; Koshelev, Alexander; Allen, Frances I; Dhuey, Scott; Sassolini, Simone; Wong, Edward; Lum, Paul; Munechika, Keiko; Cabrini, Stefano

    2016-09-16

    Integration of complex photonic structures onto optical fiber facets enables powerful platforms with unprecedented optical functionalities. Conventional nanofabrication technologies, however, do not permit viable integration of complex photonic devices onto optical fibers owing to their low throughput and high cost. In this paper we report the fabrication of a three-dimensional structure achieved by direct nanoimprint lithography on the facet of an optical fiber. Nanoimprint processes and tools were specifically developed to enable a high lithographic accuracy and coaxial alignment of the optical device with respect to the fiber core. To demonstrate the capability of this new approach, a 3D beam splitter has been designed, imprinted and optically characterized. Scanning electron microscopy and optical measurements confirmed the good lithographic capabilities of the proposed approach as well as the desired optical performance of the imprinted structure. The inexpensive solution presented here should enable advancements in areas such as integrated optics and sensing, achieving enhanced portability and versatility of fiber optic components.

  5. Patterned media towards Nano-bit magnetic recording: fabrication and challenges.

    PubMed

    Sbiaa, Rachid; Piramanayagam, Seidikkurippu N

    2007-01-01

    During the past decade, magnetic recording density of HDD has doubled almost every 18 months. To keep increasing the recording density, there is a need to make the small bits thermally stable. The most recent method using perpendicular recording media (PMR) will lose its fuel in a few years time and alternatives are sought. Patterned media, where the bits are magnetically separated from each other, offer the possibility to solve many issues encountered by PMR technology. However, implementation of patterned media would involve developing processing methods which offer high resolution (small bits), regular patterns, and high density. All these need to be achieved without sacrificing a high throughput and low cost. In this article, we review some of the ideas that have been proposed in this subject. However, the focus of the paper is on nano-imprint lithography (NIL) as it fulfills most of the needs of HDD as compared to conventional lithography using electron beam, EUV or X-Rays. The latest development of NIL and related technologies and their future prospects for patterned media are also discussed.

  6. Demixing of polymers under nanoimprinting process

    NASA Astrophysics Data System (ADS)

    Wang, Zhen

    Polymer blend has been an important area in polymer science for decades. The knowledge of polymer blend in bulk is well established and technologies based on it have created products ubiquitous in our daily life. More intriguing problem arises when the phase separation of a polymer blend occurs under physical confinement. In this thesis, we investigated the effect of interfacial interactions between constituent polymers and confinement environment on phase evolution. Specifically, morphologies of thin films of binary polymer blends were examined on chemically homogenous substrates (preferential surface, neutral surface), on chemical pattern, between two parallel rigid substrates, and under thermal embossing/step-and-flash nanoimprint lithography conditions. We found that preferential wetting of selective component dominates the phase evolution, which can be suppressed by the use of neutral surfaces or external pressure. By manipulating these factors, a wide range of unique non-equilibrium micro or nanostructures can thus be achieved.

  7. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  8. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  9. Nanoimprinted ultrafine line and space nanogratings for liquid crystal alignment.

    PubMed

    Liu, Yan Jun; Loh, Wei Wei; Leong, Eunice Sok Ping; Kustandi, Tanu Suryadi; Sun, Xiao Wei; Teng, Jing Hua

    2012-11-23

    Ultrafine 50 nm line and space nanogratings were fabricated using nanoimprint lithography, and were further used as an alignment layer for liquid crystals. The surface morphologies of the nanogratings were characterized and their surface energies were estimated through the measurement of the contact angles for two different liquids. Experimental results show that the surface energies of the nanogratings are anisotropic: the surface free energy towards the direction parallel to the grating lines is higher than that in the direction perpendicular to the grating lines. Electro-optical characteristics were tested from a twisted nematic liquid crystal cell, which was assembled using two identical nanogratings. Experimental results show that such a kind of nanograting is promising as an alternative to the conventional rubbing process for liquid crystal alignment.

  10. Nanoimprinting on optical fiber end faces for chemical sensing

    NASA Astrophysics Data System (ADS)

    Kostovski, G.; White, D. J.; Mitchell, A.; Austin, M. W.; Stoddart, P. R.

    2008-04-01

    Optical fiber surface-enhanced Raman scattering (SERS) sensors offer a potential solution to monitoring low chemical concentrations in-situ or in remote sensing scenarios. We demonstrate the use of nanoimprint lithography to fabricate SERS-compatible nanoarrays on the end faces of standard silica optical fibers. The antireflective nanostructure found on cicada wings was used as a convenient template for the nanoarray, as high sensitivity SERS substrates have previously been demonstrated on these surfaces. Coating the high fidelity replicas with silver creates a dense array of regular nanoscale plasmonic resonators. A monolayer of thiophenol was used as a low concentration analyte, from which strong Raman spectra were collected using both direct endface illumination and through-fiber interrogation. This unique combination of nanoscale replication with optical fibers demonstrates a high-resolution, low-cost approach to fabricating high-performance optical fiber chemical sensors.

  11. Fabrication of a Ni nano-imprint stamp for an anti-reflective layer using an anodic aluminum oxide template.

    PubMed

    Park, Eun-Mi; Lim, Seung-Kyu; Ra, Senug-Hyun; Suh, Su-Jung

    2013-11-01

    Aluminum anodizing can alter pore diameter, density distribution, periodicity and layer thickness in a controlled way. Because of this property, porous type anodic aluminum oxide (AAO) was used as a template for nano-structure fabrication. The alumina layer generated at a constant voltage increased the pore size from 120 nm to 205 nm according to an increasing process time from 60 min to 150 min. The resulting fabricated AAO templates had pore diameters at or less than 200 nm. Ni was sputtered as a conductive layer onto this AAO template and electroplated using DC and pulse power. Comparing these Ni stamps, those generated from electroplating using on/reverse/off pulsing had an ordered pillar array and maintained the AAO template morphology. This stamp was used for nano-imprinting on UV curable resin coated glass wafer. Surface observations via electron microscopy showed that the nano-imprinted patterned had the same shape as the AAO template. A soft mold was subsequently fabricated and nano-imprinted to form a moth-eye structure on the glass wafer. An analysis of the substrate transmittance using UV-VIS/NIR spectroscopy showed that the transmittance of the substrate with the moth-eye structure was 5% greater that the non-patterned substrate.

  12. Quantification of a Cardiac Biomarker in Human Serum Using Extraordinary Optical Transmission (EOT)

    PubMed Central

    Ding, Tao; Hong, Minghui; Richards, A. Mark; Wong, Ten It; Zhou, Xiaodong; Drum, Chester Lee

    2015-01-01

    Nanoimprinting lithography (NIL) is a manufacturing process that can produce macroscale surface areas with nanoscale features. In this paper, this technique is used to solve three fundamental issues for the application of localized surface plasmonic resonance (LSPR) in practical clinical measurements: assay sensitivity, chip-to-chip variance, and the ability to perform assays in human serum. Using NIL, arrays of 140 nm square features were fabricated on a sensing area of 1.5 mm x 1.5 mm with low cost. The high reproducibility of NIL allowed for the use of a one-chip, one-measurement approach with 12 individually manufactured surfaces with minimal chip-to-chip variations. To better approximate a real world setting, all chips were modified with a biocompatible, multi-component monolayer and inter-chip variability was assessed by measuring a bioanalyte standard (2.5−75 ng/ml) in the presence of a complex biofluid, human serum. In this setting, nanoimprinted LSPR chips were able to provide sufficient characteristics for a ‘low-tech’ approach to laboratory-based bioanalyte measurement, including: 1) sufficient size to interface with a common laboratory light source and detector without the need for a microscope, 2) high sensitivity in serum with a cardiac troponin limit of detection of 0.55 ng/ml, and 3) very low variability in chip manufacturing to produce a figure of merit (FOM) of 10.5. These findings drive LSPR closer to technical comparability with ELISA-based assays while preserving the unique particularities of a LSPR based sensor, suitability for multiplexing and miniaturization, and point-of-care detections. PMID:25774658

  13. ZEP520A cold-development technique and tool for ultimate resolution to fabricate 1Xnm bit pattern EB master mold for nano-imprinting lithography for HDD/BPM development

    NASA Astrophysics Data System (ADS)

    Kobayashi, Hideo; Iyama, Hiromasa

    2012-06-01

    Poor solvent developers are effective for resolution enhancement on a polymer-type EB resist such as ZEP520A. Another way is to utilize "cold-development" technique which was accomplished by a dip-development technique usually. We then designed and successfully built a single-wafer spin-development tool for the cold-development down to -10degC in order to dissolve difficulties of the dip-development. The cold-development certainly helped improve ZEP520A resolution and hole CD size uniformity, and achieved 35nm pitch BPM patterns with the standard developer ZED-N50, but not 25nm pitch yet. By employing a poor solvent mixture of iso-Propyl Alcohol (IPA) and Fluoro-Carbon (FC), 25nm pitch BPM patterns were accomplished. However, the cold-development showed almost no improvement on the IPA/FC mixture developer solvent. This paper describes cold-development technique and a tool, as well as its results, for ZEP520A resolution enhancement to fabricate 1Xnm bits (holes) for EB master-mold for Nano-Imprinting Lithography for 1Tbit/inch2 and 25nm pitch Bit Patterned Media development.

  14. Sub-micron lines patterning into silica using water developable chitosan bioresist films for eco-friendly positive tone e-beam and UV lithography

    NASA Astrophysics Data System (ADS)

    Caillau, Mathieu; Chevalier, Céline; Crémillieu, Pierre; Delair, Thierry; Soppera, Olivier; Leuschel, Benjamin; Ray, Cédric; Moulin, Christophe; Jonin, Christian; Benichou, Emmanuel; Brevet, Pierre-François; Yeromonahos, Christelle; Laurenceau, Emmanuelle; Chevolot, Yann; Leclercq, Jean-Louis

    2018-03-01

    Biopolymers represent natural, renewable and abundant materials. Their use is steadily growing in various areas (food, health, building …) but, in lithography, despite some works, resists, solvents and developers are still oil-based and hazardous chemicals. In this work, we replaced synthetic resist by chitosan, a natural, abundant and hydrophilic polysaccharide. High resolution sub-micron patterns were obtained through chitosan films as water developable, chemically unmodified, positive tone mask resist for an eco-friendly electron beam and deep-UV (193 nm) lithography process. Sub-micron patterns were also successfully obtained using a 248 nm photomasker thanks to the addition of biosourced photoactivator, riboflavin. Patterns were then transferred by plasma etching into silica even for high resolution patterns.

  15. Extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Sweeney, Donald W.; Shafer, David; McGuire, James

    2001-01-01

    Condenser system for use with a ringfield camera in projection lithography where the condenser includes a series of segments of a parent aspheric mirror having one foci at a quasi-point source of radiation and the other foci at the radius of a ringfield have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ringfield camera about one of the beams and fall onto the ringfield radius as a coincident image as an arc of the ringfield. The condenser has a set of correcting mirrors with one of the correcting mirrors of each set, or a mirror that is common to said sets of mirrors, from which the radiation emanates, is a concave mirror that is positioned to shape a beam segment having a chord angle of about 25 to 85 degrees into a second beam segment having a chord angle of about 0 to 60 degrees.

  16. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  17. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  18. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  19. Direct nanopatterning of 100 nm metal oxide periodic structures by Deep-UV immersion lithography.

    PubMed

    Stehlin, Fabrice; Bourgin, Yannick; Spangenberg, Arnaud; Jourlin, Yves; Parriaux, Olivier; Reynaud, Stéphanie; Wieder, Fernand; Soppera, Olivier

    2012-11-15

    Deep-UV lithography using high-efficiency phase mask has been developed to print 100 nm period grating on sol-gel based thin layer. High efficiency phase mask has been designed to produce a high-contrast interferogram (periodic fringes) under water immersion conditions for 244 nm laser. The demonstration has been applied to a new developed immersion-compatible sol-gel layer. A sol-gel photoresist prepared from zirconium alkoxides caped with methacrylic acids was developed to achieve 50 nm resolution in a single step exposure. The nanostructures can be thermally annealed into ZrO(2). Such route considerably simplifies the process for elaborating nanopatterned surfaces of transition metal oxides, and opens new routes for integrating materials of interest for applications in the field of photocatalysis, photovoltaic, optics, photonics or microelectronics.

  20. Monolithically integrated distributed feedback laser array wavelength-selectable light sources for WDM-PON application

    NASA Astrophysics Data System (ADS)

    Chen, Xin; Zhao, Jianyi; Zhou, Ning; Huang, Xiaodong; Cao, Mingde; Wang, Lei; Liu, Wen

    2015-01-01

    The monolithic integration of 1.5-μm four channels phase shift distributed feedback lasers array (DFB-LD array) with 4×1 multi-mode interference (MMI) optical combiner is demonstrated. A home developed process mainly consists of butt-joint regrowth (BJR) and simultaneous thermal and ultraviolet nanoimprint lithography (STU-NIL) is implemented to fabricate gratings and integrated devices. The threshold currents of the lasers are less than 10 mA and the side mode suppression ratios (SMSR) are better than 40 dB for all channels. Quasi-continuous tuning is realized over 7.5 nm wavelength region with the 30 °C temperature variation. The results indicate that the integration device we proposed can be used in wavelength division multiplexing passive optical networks (WDM-PON).

  1. N-face GaN nanorods: Continuous-flux MOVPE growth and morphological properties

    NASA Astrophysics Data System (ADS)

    Bergbauer, W.; Strassburg, M.; Kölper, Ch.; Linder, N.; Roder, C.; Lähnemann, J.; Trampert, A.; Fündling, S.; Li, S. F.; Wehmann, H.-H.; Waag, A.

    2011-01-01

    We demonstrate the morphological properties of height, diameter and shape controlled N-face GaN nanorods (NRs) by adjusting conventional growth parameters of a standard metalorganic vapour phase epitaxy (MOVPE) growth process. Particularly the hydrogen fraction within the carrier gas was shown to be an important shaping tool for the grown nanostructures. Additionally, the aspect ratio of the NRs was successfully tuned by increasing the pitch of the nanoimprint lithography (NIL) pattern, while maintaining the hole-diameter constant. An optimum aspect ratio could be found at pitches between 400 and 800 nm, whereas larger pitches are counter-productive. The major conclusion drawn from our experiments is that the whole amount of growth material available over the masked surface contributes to the growth of the NRs.

  2. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  3. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  4. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  5. Imprintable, bendable, and shape-conformable polymer electrolytes for versatile-shaped lithium-ion batteries.

    PubMed

    Kil, Eun-Hye; Choi, Keun-Ho; Ha, Hyo-Jeong; Xu, Sheng; Rogers, John A; Kim, Mi Ri; Lee, Young-Gi; Kim, Kwang Man; Cho, Kuk Young; Lee, Sang-Young

    2013-03-13

    A class of imprintable, bendable, and shape-conformable polymer electrolyte with excellent electrochemical performance in a lithium battery system is reported. The material consists of a UV-cured polymer matrix, high-boiling point liquid electrolyte, and Al2 O3 nanoparticles, formulated for use in lithium-ion batteries with 3D-structured electrodes or flexible characteristics. The unique structural design and well-tuned rheological characteristics of the UV-curable electrolyte mixture, in combination with direct UV-assisted nanoimprint lithography, allow the successful fabrication of polymer electrolytes in geometries not accessible with conventional materials. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Advances in miniature spectrometer and sensor development

    NASA Astrophysics Data System (ADS)

    Malinen, Jouko; Rissanen, Anna; Saari, Heikki; Karioja, Pentti; Karppinen, Mikko; Aalto, Timo; Tukkiniemi, Kari

    2014-05-01

    Miniaturization and cost reduction of spectrometer and sensor technologies has great potential to open up new applications areas and business opportunities for analytical technology in hand held, mobile and on-line applications. Advances in microfabrication have resulted in high-performance MEMS and MOEMS devices for spectrometer applications. Many other enabling technologies are useful for miniature analytical solutions, such as silicon photonics, nanoimprint lithography (NIL), system-on-chip, system-on-package techniques for integration of electronics and photonics, 3D printing, powerful embedded computing platforms, networked solutions as well as advances in chemometrics modeling. This paper will summarize recent work on spectrometer and sensor miniaturization at VTT Technical Research Centre of Finland. Fabry-Perot interferometer (FPI) tunable filter technology has been developed in two technical versions: Piezoactuated FPIs have been applied in miniature hyperspectral imaging needs in light weight UAV and nanosatellite applications, chemical imaging as well as medical applications. Microfabricated MOEMS FPIs have been developed as cost-effective sensor platforms for visible, NIR and IR applications. Further examples of sensor miniaturization will be discussed, including system-on-package sensor head for mid-IR gas analyzer, roll-to-roll printed Surface Enhanced Raman Scattering (SERS) technology as well as UV imprinted waveguide sensor for formaldehyde detection.

  7. New self-assembly strategies for next generation lithography

    NASA Astrophysics Data System (ADS)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  8. Highly uniform residual layers for arrays of 3D nanoimprinted cavities in Fabry-Pérot-filter-array-based nanospectrometers

    NASA Astrophysics Data System (ADS)

    Memon, Imran; Shen, Yannan; Khan, Abdullah; Woidt, Carsten; Hillmer, Hartmut

    2016-04-01

    Miniaturized optical spectrometers can be implemented by an array of Fabry-Pérot (FP) filters. FP filters are composed of two highly reflecting parallel mirrors and a resonance cavity. Each filter transmits a small spectral band (filter line) depending on its individual cavity height. The optical nanospectrometer, a miniaturized FP-based spectrometer, implements 3D NanoImprint technology for the fabrication of multiple FP filter cavities in a single process step. However, it is challenging to avoid the dependency of residual layer (RL) thickness on the shape of the printed patterns in NanoImprint. Since in a nanospectrometer the filter cavities vary in height between neighboring FP filters and, thus, the volume of each cavity varies causing that the RL varies slightly or noticeably between different filters. This is one of the few disadvantages of NanoImprint using soft templates such as substrate conformal imprint lithography which is used in this paper. The advantages of large area soft templates can be revealed substantially if the problem of laterally inhomogeneous RLs can be avoided or reduced considerably. In the case of the nanospectrometer, non-uniform RLs lead to random variations in the designed cavity heights resulting in the shift of desired filter lines. To achieve highly uniform RLs, we report a volume-equalized template design with the lateral distribution of 64 different cavity heights into several units with each unit comprising four cavity heights. The average volume of each unit is kept constant to obtain uniform filling of imprint material per unit area. The imprint results, based on the volume-equalized template, demonstrate highly uniform RLs of 110 nm thickness.

  9. Three-dimensional particle tracking in concave structures made by ultraviolet nanoimprint via total internal reflection fluorescence microscopy and refractive-index-matching method

    NASA Astrophysics Data System (ADS)

    Fujinami, Taku; Kigami, Hiroshi; Unno, Noriyuki; Taniguchi, Jun; Satake, Shin-ichi

    2018-06-01

    Total internal reflection fluorescence microscopy (TIRFM) is a promising method for measuring fluid flow close to a wall with nanoscale resolution in a process that is termed "multilayer nanoparticle image velocimetry" (MnPIV). TIRFM uses evanescent light that is generated on a substrate (typically a glass slide) by total internal reflection of light. Many researchers have previously studied x- y- z (3D) flows of water close to flat glass slides using MnPIV. On the other hand, a fluid flow close to a structured surface is also important. To measure flows of water near micro-patterns, we previously developed an MnPIV technique that uses a refractive-index-matching method. In previous study, the micropattern is made of a thermoplastic material with a refractive index that closely matches that of water. In this study, ultraviolet nanoimprint lithography was used for fabricating the appropriate micro-patterns because this technique can fabricate a pattern with a high resolution. As a result, we succeeded in performing MnPIV in water with a circular hole array pattern made by ultraviolet nanoimprint using a refractive-index-matching method. We believe that this technique will be helpful in elucidating fluid flows around microstructures.

  10. Three-dimensional particle tracking in concave structures made by ultraviolet nanoimprint via total internal reflection fluorescence microscopy and refractive-index-matching method

    NASA Astrophysics Data System (ADS)

    Fujinami, Taku; Kigami, Hiroshi; Unno, Noriyuki; Taniguchi, Jun; Satake, Shin-ichi

    2018-03-01

    Total internal reflection fluorescence microscopy (TIRFM) is a promising method for measuring fluid flow close to a wall with nanoscale resolution in a process that is termed "multilayer nanoparticle image velocimetry" (MnPIV). TIRFM uses evanescent light that is generated on a substrate (typically a glass slide) by total internal reflection of light. Many researchers have previously studied x-y-z (3D) flows of water close to flat glass slides using MnPIV. On the other hand, a fluid flow close to a structured surface is also important. To measure flows of water near micro-patterns, we previously developed an MnPIV technique that uses a refractive-index-matching method. In previous study, the micropattern is made of a thermoplastic material with a refractive index that closely matches that of water. In this study, ultraviolet nanoimprint lithography was used for fabricating the appropriate micro-patterns because this technique can fabricate a pattern with a high resolution. As a result, we succeeded in performing MnPIV in water with a circular hole array pattern made by ultraviolet nanoimprint using a refractive-index-matching method. We believe that this technique will be helpful in elucidating fluid flows around microstructures.

  11. Simultaneous RGB lasing from a single-chip polymer device.

    PubMed

    Yamashita, Kenichi; Takeuchi, Nobutaka; Oe, Kunishige; Yanagi, Hisao

    2010-07-15

    This Letter describes the fabrication and operation of a single-chip white-laser device. The laser device has a multilayered structure consisting of three laser layers. Each laser layer comprises polymer claddings and a waveguide core doped with organic dye. In each laser layer, grating corrugations were fabricated by UV-nanoimprint lithography that act as distributed-feedback cavity structures. Under optical pumping, lasing output with red, green, and blue colors was simultaneously obtained from the sample edge.

  12. Modulated grayscale UV pattern for uniform photopolymerization based on a digital micromirror device system

    NASA Astrophysics Data System (ADS)

    Yoon, Jinsik; Kim, Kibeom; Park, Wook

    2017-07-01

    We present an essential method for generating microparticles uniformly in a single ultraviolet (UV) light exposure area for optofluidic maskless lithography. In the optofluidic maskless lithography process, the productivity of monodisperse microparticles depends on the size of the UV exposure area. An effective fabrication area is determined by the size of the UV intensity profile map, satisfying the required uniformity of UV intensity. To increase the productivity of monodisperse microparticles in optofluidic maskless lithography, we expanded the effective UV exposure area by modulating the intensity of the desired UV light pattern based on the premeasured UV intensity profile map. We verified the improvement of the uniformity of the microparticles generated by the proposed modulation technique, providing histogram analyses of the conjugated fluorescent intensities and the sizes of the microparticles. Additionally, we demonstrated the generation of DNA uniformly encapsulated in microparticles.

  13. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  14. Enhanced photovoltaic performance in nanoimprinted pentacene-PbS nanocrystal hybrid device

    NASA Astrophysics Data System (ADS)

    Dissanayake, D. M. N. M.; Adikaari, A. A. D. T.; Silva, S. R. P.

    2008-03-01

    Pentacene and PbS nanocrystal bilayer photovoltaic devices are fabricated after the pentacene layer is subjected to nanoimprinting using a laser textured silicon stamp. Increased short circuit current densities are observed for the imprinted devices, which are attributed to increased charge mobility in the pentacene film caused by the decrease in the intermolecular distances during nanoimprinting. This work is consistent with previous reports where hydrostatic pressure induced mobility increases have been observed in polyacenes under gigapascal pressure regimes. It is believed that the pentacene film undergoes localized high pressures during nanoimprinting, giving rise to the increased hole mobilities.

  15. Jet and flash imprint defectivity: assessment and reduction for semiconductor applications

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.; Johnson, Steve; Resnick, Douglas J.; Lovell, David

    2011-04-01

    Defectivity has been historically identified as a leading technical roadblock to the implementation of nanoimprint lithography for semiconductor high volume manufacturing. The lack of confidence in nanoimprint's ability to meet defect requirements originates in part from the industry's past experiences with 1X lithography and the shortage in end-user generated defect data. SEMATECH has therefore initiated a defect assessment aimed at addressing these concerns. The goal is to determine whether nanoimprint, specifically Jet and Flash Imprint Lithography from Molecular Imprints, is capable of meeting semiconductor industry defect requirements. At this time, several cycles of learning have been completed in SEMATECH's defect assessment, with promising results. J-FIL process random defectivity of < 0.1 def/cm2 has been demonstrated using a 120nm half-pitch template, providing proof of concept that a low defect nanoimprint process is possible. Template defectivity has also improved significantly as shown by a pre-production grade template at 80nm pitch. Cycles of learning continue on feature sizes down to 22nm.

  16. Fabrication of Al2O3 coated 2D TiO2 nanoparticle photonic crystal layers by reverse nano-imprint lithography and plasma enhanced atomic layer deposition.

    PubMed

    Kim, Ki-Kang; Ko, Ki-Young; Ahn, Jinho

    2013-10-01

    This paper reports simple process to enhance the extraction efficiency of photoluminescence (PL) from Eu-doped yttrium oxide (Y2O3:Eu3+) thin-film phosphor (TFP). Two-dimensional (2D) photonic crystal layer (PCL) was fabricated on Y2O3:Eu3+ phosphor films by reverse nano-imprint method using TiO2 nanoparticle solution as a nano-imprint resin and a 2D hole-patterned PDMS stamp. Atomic scale controlled Al2O3 deposition was performed onto this 2D nanoparticle PCL for the optimization of the photonic crystal pattern size and stabilization of TiO2 nanoparticle column structure. As a result, the light extraction efficiency of the Y2O3:Eu3+ phosphor film was improved by 2.0 times compared to the conventional Y2O3:Eu3+ phosphor film.

  17. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pina-Hernandez, Carlos; Koshelev, Alexander; Dhuey, Scott

    A novel method to realizing printed active photonic devices was developed using nanoimprint lithography (NIL), combining a printable high-refractive index material and colloidal CdSe/CdS quantum dots (QDs) for applications in the visible region. Active media QDs were applied in two different ways: embedded inside a printable high-refractive index matrix to form an active printable hybrid nanocomposite, and used as a uniform coating on top of printed photonic devices. As a proof-of-demonstration for printed active photonic devices, two-dimensional (2-D) photonic crystals as well as 1D and 2D photonic nanocavities were successfully fabricated following a simple reverse-nanoimprint process. We observed enhanced photoluminescencemore » from the 2D photonic crystal and the 1D nanocavities. Outstandingly, the process presented in this study is fully compatible with large-scale manufacturing where the patterning areas are only limited by the size of the corresponding mold. This work shows that the integration of active media and functional materials is a promising approach to the realization of integrated photonics for visible light using high throughput technologies. We believe that this work represents a powerful and cost-effective route for the development of numerous nanophotonic structures and devices that will lead to the emergence of new applications.« less

  18. NilD CRISPR RNA contributes to Xenorhabdus nematophila colonization of symbiotic host nematodes

    PubMed Central

    Veesenmeyer, Jeff L.; Andersen, Aaron W.; Lu, Xiaojun; Hussa, Elizabeth A.; Murfin, Kristen E.; Chaston, John M.; Dillman, Adler R.; Wassarman, Karen M.; Sternberg, Paul W.; Goodrich-Blair, Heidi

    2014-01-01

    Summary The bacterium Xenorhabdus nematophila is a mutualist of entomopathogenic Steinernema carpocapsae nematodes and facilitates infection of insect hosts. X. nematophila colonizes the intestine of S. carpocapsae which carries it between insects. In the X. nematophila colonization-defective mutant nilD6::Tn5, the transposon is inserted in a region lacking obvious coding potential. We demonstrate that the transposon disrupts expression of a single CRISPR RNA, NilD RNA. A variant NilD RNA also is expressed by X. nematophila strains from S. anatoliense and S. websteri nematodes. Only nilD from the S. carpocapsae strain of X. nematophila rescued the colonization defect of the nilD6::Tn5 mutant, and this mutant was defective in colonizing all three nematode host species. NilD expression depends on the presence of the associated Cas6e but not Cas3, components of the Type I-E CRISPR-associated machinery. While cas6e deletion in the complemented strain abolished nematode colonization, its disruption in the wild-type parent did not. Likewise, nilD deletion in the parental strain did not impact colonization of the nematode, revealing that the requirement for NilD is evident only in certain genetic backgrounds. Our data demonstrate that NilD RNA is conditionally necessary for mutualistic host colonization and suggest that it functions to regulate endogenous gene expression. PMID:25041533

  19. Cold-development tool and technique for the ultimate resolution of ZEP520A to fabricate an EB master mold for nano-imprint lithography for 1Tbit/inch2 BPM development

    NASA Astrophysics Data System (ADS)

    Kobayashi, Hideo; Iyama, Hiromasa; Kagatsume, Takeshi; Watanabe, Tsuyoshi

    2012-11-01

    Cold-development is well-known for resolution enhancement on ZEP520A. Dipping a wafer in a developer solvent chilled by a freezer, such a typical method had been employed. But, it is obvious that the dip-development method has several inferiorities such as developer temperature instability, temperature inconsistency between developer and a wafer, water-condensation on drying. We then built a single wafer spin-develop tool, and established a process sequence, to solve those difficulties. And, we tried to see their effect down to -10degC over various developers. In specific, we tried to make hole patterns in hexagonal closest packing in 40nm, 35nm, 30nm, 25nm pitch, and examined holes pattern quality and resolution limit by varying setting temperature from room temperature to -10degC in the cold-development, as well as varying developer chemistry from the standard developer ZED N-50 (n-amyl acetate, 100%) to MiBK and IPA mixture which was a rinsing solvent mixture originally. We also examined the other developer (poor solvent mixture) we designed, N-50 and fluorocarbon (FC) mixture, MiBK and FC mixture, and IPA+FC mixture. This paper describes cold-development tool and technique, and its results down to minus (-) 10degC, for ZEP520A resolution enhancement to obtain 1Xnm bits (holes) in 25nm pitch to fabricate an EB master mold for Nano-Imprinting Lithography for 1Tbit/in2 bit patterned media (BPM) in HDD development and production.

  20. Interfacial pattern changes of imprinted multilayered material in milli- and microscales

    NASA Astrophysics Data System (ADS)

    Yonekura, Kazuhiro; Tokumaru, Kazuki; Tsumori, Fujio

    2018-06-01

    Nanoimprint lithography (NIL) is a technique that transfers a mold pattern of nanometer order to the surface of a resist material by heating and pressing. NIL is an excellent technology in terms of high productivity, accuracy, and resolution. Recently, NIL has been applied to the processing of different multilayered materials, in which it is possible to process multiple materials simultaneously. In this processing of multilayered materials, it is possible to form an interfacial pattern between the upper layer and the lower layer simultaneously with patterning on the mold surface. This interface pattern can be controlled by the deformation characteristics, initial thickness, and so forth. In this research, we compared the interfacial pattern changes of imprinted multilayered materials in milli- and microscales. For multilayered imprint using multiple materials, it is important to know the flow of the resist and its dependence on the scale. If there is similarity in the relationship produced by the scale on the imprinted samples, a process design with a number of feedbacks could be realized. It also becomes easier to treat structures in the millimeter scale for the experiment. In this study, we employed micropowder imprint (µPI) for multilayered material imprint. A compound sheet of alumina powder and polymer binder was used for imprint. Two similar experiments in different scales, micro- and millimeter scales, were carried out. Results indicate that the interfacial patterns of micro- and millimeter-scale-imprinted samples are similar.

  1. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  2. Experimental demonstration of monolithically integrated 16 channel DFB laser array fabricated by nanoimprint lithography with AWG multiplexer and SOA for WDM-PON application

    NASA Astrophysics Data System (ADS)

    Zhao, Jianyi; Chen, Xin; Zhou, Ning; Huang, Xiaodong; Cao, Mingde; Wang, Lei; Liu, Wen

    2015-03-01

    A 16-channel monolithically integrated distributed feedback (DFB) laser array with arrayed waveguide gratings (AWGs) multiplexer and semiconductor optical amplifier (SOA) has been fabricated using nanoimprint technology. Selective lasing wavelength with 200 GHz frequency space has been obtained. The typical threshold current is between 20 mA and 30 mA. The output power is higher than 1 mW with 350 mA current in SOA. The side mode suppression ratio (SMSR) of the spectrum is better than 40 dB.

  3. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography.

    PubMed

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S D; Flewitt, Andrew J; Wilkinson, Timothy D

    2016-12-02

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm -2 , 1 nAs -1 ) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ∼33 nm with 80 nm spacing; for isolated structures, ∼45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ∼0.25 cm 2 .

  4. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography

    NASA Astrophysics Data System (ADS)

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S. D.; Flewitt, Andrew J.; Wilkinson, Timothy D.

    2016-12-01

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm-2, 1 nAs-1) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ˜33 nm with 80 nm spacing; for isolated structures, ˜45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ˜0.25 cm2.

  5. Electrochemical CO2 Reduction via Gas-Phase Catholyte

    NASA Astrophysics Data System (ADS)

    Carter, Brittany E.; Nesbitt, Nathan T.; D'Imperio, Luke A.; Naughton, Jeffrey R.; Courtney, Dave T.; Shepard, Steve; Burns, Michael J.; Vermaas, David A.; Smith, Wilson A.; Naughton, Michael J.

    Reducing CO2 to CO through electrolysis, for the eventual conversion to hydrocarbons, provides a path towards utility-scale seasonal storage of renewable energy. Electrochemical reduction of CO2 has previously been achieved using a two chamber system. The chambers are typically separated by a semipermeable Nafion membrane, with an oxygen evolution catalyst anode on one side, a gold cathode on the other, and a solution containing CO2 on both sides. If instead, CO2 gas was in the second chamber, the reaction should yield more CO formed from CO2 at a given overpotential; this would result from the increased concentration of CO2 at the cathode surface and more facile mass transport of the CO and CO2. With liquid in one chamber and gas in the other, electrolysis is performed by integrating the cathode onto the semipermeable Nafion membrane. This membrane electrode assembly is fabricated via nanoimprint lithography (NIL), simultaneously achieving high active surface area and permeability. Challenges to the Nafion NIL process, and the performance of the system in CO2 reduction, will be presented. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. (DGE-1258923).

  6. Applications of Nanostructured Graphene in Optoelectronics as Transparent Conductors and Photodetectors

    NASA Astrophysics Data System (ADS)

    Xu, Guowei

    Graphene, a single layer of carbon atoms arranged in a hexagonal lattice, has unique properties of high carrier mobility, high optical transmittance, chemical inertness and flexibility, making it attractive for electronic and optoelectronic applications, such as graphene transistors, ultrahigh capacitors, transparent conductors (TCs), photodetectors. This work explores novel schemes of nanostructured graphene for optoelectronic applications including advanced TCs and photodetectors. In nanophotonic graphene nanohole arrays patterned using nanoimprinting lithography (NIL), highly efficient chemical doping was achieved on the hole edges. This provides a unique scheme for improving both optical transmittance and electrical conductivity of graphene-based TCs. In plasmonic graphene, Ag nanoparticles were decorated on graphene using thermally assisted self-assembly and NIL. Much enhanced conductivity by a factor of 2-4 was achieved through electron doping in graphene from Ag nanoparticles. More importantly, surface plasmonic effect has been incorporated into plasmonic graphene as advanced TCs with light trapping, which is critical to ultrathin-film optoelectronics such as photovoltaics and photodetectors. Based on plasmonic graphene electric double-layer (EDL) transistor, a novel scheme of photodetection has been demonstrated using plasmonic enhanced local field gating. The resulting tuning of interfacial capacitance as well as the quantum capacitance of graphene manifested as extraordinary photoconductivity and hence photoresponse.

  7. Molecular dynamics modeling framework for overcoming nanoshape retention limits of imprint lithography

    NASA Astrophysics Data System (ADS)

    Cherala, Anshuman; Sreenivasan, S. V.

    2018-12-01

    Complex nanoshaped structures (nanoshape structures here are defined as shapes enabled by sharp corners with radius of curvature <5 nm) have been shown to enable emerging nanoscale applications in energy, electronics, optics, and medicine. This nanoshaped fabrication at high throughput is well beyond the capabilities of advanced optical lithography. While the highest-resolution e-beam processes (Gaussian beam tools with non-chemically amplified resists) can achieve <5 nm resolution, this is only available at very low throughputs. Large-area e-beam processes, needed for photomasks and imprint templates, are limited to 18 nm half-pitch lines and spaces and 20 nm half-pitch hole patterns. Using nanoimprint lithography, we have previously demonstrated the ability to fabricate precise diamond-like nanoshapes with 3 nm radius corners over large areas. An exemplary shaped silicon nanowire ultracapacitor device was fabricated with these nanoshaped structures, wherein the half-pitch was 100 nm. The device significantly exceeded standard nanowire capacitor performance (by 90%) due to relative increase in surface area per unit projected area, enabled by the nanoshape. Going beyond the previous work, in this paper we explore the scaling of these nanoshaped structures to 10 nm half-pitch and below. At these scales a new "shape retention" resolution limit is observed due to polymer relaxation in imprint resists, which cannot be predicted with a linear elastic continuum model. An all-atom molecular dynamics model of the nanoshape structure was developed here to study this shape retention phenomenon and accurately predict the polymer relaxation. The atomistic framework is an essential modeling and design tool to extend the capability of imprint lithography to sub-10 nm nanoshapes. This framework has been used here to propose process refinements that maximize shape retention, and design template assist features (design for nanoshape retention) to achieve targeted nanoshapes.

  8. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    NASA Astrophysics Data System (ADS)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  9. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    PubMed

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  10. Uniformity of LED light illumination in application to direct imaging lithography

    NASA Astrophysics Data System (ADS)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  11. Polymer nanoimprinting using an anodized aluminum mold for structural coloration

    NASA Astrophysics Data System (ADS)

    Kikuchi, Tatsuya; Nishinaga, Osamu; Natsui, Shungo; Suzuki, Ryosuke O.

    2015-06-01

    Polymer nanoimprinting of submicrometer-scale dimple arrays with structural coloration was demonstrated. Highly ordered aluminum dimple arrays measuring 530-670 nm in diameter were formed on an aluminum substrate via etidronic acid anodizing at 210-270 V and subsequent anodic oxide dissolution. The nanostructured aluminum surface led to bright structural coloration with a rainbow spectrum, and the reflected wavelength strongly depends on the angle of the specimen and the period of the dimple array. The reflection peak shifts gradually with the dimple diameter toward longer wavelength, reaching 800 nm in wavelength at 670 nm in diameter. The shape of the aluminum dimple arrays were successfully transferred to a mercapto-ester ultra-violet curable polymer via self-assembled monolayer coating and polymer replications using a nanoimprinting technique. The nanostructured polymer surfaces with positively and negatively shaped dimple arrays also exhibited structural coloration based on the periodic nanostructure, and reflected light mostly in the visible region, 400-800 nm. This nanostructuring with structural coloration can be easily realized by simple techniques such as anodizing, SAM coating, and nanoimprinting.

  12. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  13. Fabrication of complex nanoscale structures on various substrates

    NASA Astrophysics Data System (ADS)

    Han, Kang-Soo; Hong, Sung-Hoon; Lee, Heon

    2007-09-01

    Polymer based complex nanoscale structures were fabricated and transferred to various substrates using reverse nanoimprint lithography. To facilitate the fabrication and transference of the large area of the nanostructured layer to the substrates, a water-soluble polyvinyl alcohol mold was used. After generation and transference of the nanostructured layer, the polyvinyl alcohol mold was removed by dissolving in water. A residue-free, UV-curable, glue layer was formulated and used to bond the nanostructured layer onto the substrates. As a result, nanometer scale patterned polymer layers were bonded to various substrates and three-dimensional nanostructures were also fabricated by stacking of the layers.

  14. Fabrication and characterization of multi-stopband Fabry-Pérot filter array for nanospectrometers in the VIS range using SCIL nanoimprint technology

    NASA Astrophysics Data System (ADS)

    Shen, Yannan; Istock, André; Zaman, Anik; Woidt, Carsten; Hillmer, Hartmut

    2018-05-01

    Miniaturization of optical spectrometers can be achieved by Fabry-Pérot (FP) filter arrays. Each FP filter consists of two parallel highly reflecting mirrors and a resonance cavity in between. Originating from different individual cavity heights, each filter transmits a narrow spectral band (transmission line) with different wavelengths. Considering the fabrication efficiency, plasma enhanced chemical vapor deposition (PECVD) technology is applied to implement the high-optical-quality distributed Bragg reflectors (DBRs), while substrate conformal imprint lithography (one type of nanoimprint technology) is utilized to achieve the multiple cavities in just a single step. The FP filter array fabricated by nanoimprint combined with corresponding detector array builds a so-called "nanospectrometer". However, the silicon nitride and silicon dioxide stacks deposited by PECVD result in a limited stopband width of DBR (i.e., < 100 nm), which then limits the sensing range of filter arrays. However, an extension of the spectral range of filter arrays is desired and the topic of this investigation. In this work, multiple DBRs with different central wavelengths (λ c) are structured, deposited, and combined on a single substrate to enlarge the entire stopband. Cavity arrays are successfully aligned and imprinted over such terrace like surface in a single step. With this method, small chip size of filter arrays can be preserved, and the fabrication procedure of multiple resonance cavities is kept efficient as well. The detecting range of filter arrays is increased from roughly 50 nm with single DBR to 163 nm with three different DBRs.

  15. Diffraction spectral filter for use in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Tichenor, Daniel A.; Bernardez, Luis J.

    2002-01-01

    A condenser system for generating a beam of radiation includes a source of radiation light that generates a continuous spectrum of radiation light; a condenser comprising one or more first optical elements for collecting radiation from the source of radiation light and for generating a beam of radiation; and a diffractive spectral filter for separating first radiation light having a particular wavelength from the continuous spectrum of radiation light. Cooling devices can be employed to remove heat generated. The condenser system can be used with a ringfield camera in projection lithography.

  16. Wafer chamber having a gas curtain for extreme-UV lithography

    DOEpatents

    Kanouff, Michael P.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    An EUVL device includes a wafer chamber that is separated from the upstream optics by a barrier having an aperture that is permeable to the inert gas. Maintaining an inert gas curtain in the proximity of a wafer positioned in a chamber of an extreme ultraviolet lithography device can effectively prevent contaminants from reaching the optics in an extreme ultraviolet photolithography device even though solid window filters are not employed between the source of reflected radiation, e.g., the camera, and the wafer. The inert gas removes the contaminants by entrainment.

  17. Advances in deep-UV processing using cluster tools

    NASA Astrophysics Data System (ADS)

    Escher, Gary C.; Tepolt, Gary; Mohondro, Robert D.

    1993-09-01

    Deep-UV laser lithography has shown the capability of supporting the manufacture of multiple generations of integrated circuits (ICs) due to its wide process latitude and depth of focus (DOF) for 0.2 micrometers to 0.5 micrometers feature sizes. This capability has been attained through improvements in deep-UV wide field lens technology, excimer lasers, steppers and chemically amplified, positive deep-UV resists. Chemically amplified deep-UV resists are required for 248 nm lithography due to the poor absorption and sensitivity of conventional novolac resists. The acid catalyzation processes of the new resists requires control of the thermal history and environmental conditions of the lithographic process. Work is currently underway at several resist vendors to reduce the need for these controls, but practical manufacturing solutions exist today. One of these solutions is the integration of steppers and resist tracks into a `cluster tool' or `Lithocell' to insure a consistent thermal profile for the resist process and reduce the time the resist is exposed to atmospheric contamination. The work here reports processing and system integration results with a Machine Technology, Inc (MTI) post-exposure bake (PEB) track interfaced with an advanced GCA XLS 7800 deep-UV stepper [31 mm diameter, variable NA (0.35 - 0.53) and variable sigma (0.3 - 0.74)].

  18. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  19. Frequency and amplitude dependences of molding accuracy in ultrasonic nanoimprint technology

    NASA Astrophysics Data System (ADS)

    Mekaru, Harutaka; Takahashi, Masaharu

    2009-12-01

    We use neither a heater nor ultraviolet lights, and are researching and developing an ultrasonic nanoimprint as a new nano-patterning technology. In our ultrasonic nanoimprint technology, ultrasonic vibration is not used as a heat generator instead of the heater. A mold is connected with an ultrasonic generator, and mold patterns are pushed down and pulled up at a high speed into a thermoplastic. Frictional heat is generated by ultrasonic vibration between mold patterns and thermoplastic patterns formed by an initial contact force. However, because frictional heat occurs locally, the whole mold is not heated. Therefore, a molding material can be comprehensively processed at room temperature. A magnetostriction actuator was built into our ultrasonic nanoimprint system as an ultrasonic generator, and the frequency and amplitude can be changed between dc-10 kHz and 0-4 µm, respectively. First, the ultrasonic nanoimprint was experimented by using this system on polyethylene terephthalate (PET, Tg = 69 °C), whose the glass transition temperature (Tg) is comparatively low in engineering plastics, and it was ascertained that the most suitable elastic material for this technique was an ethyl urethane rubber. In addition, we used a changeable frequency of the magnetostriction actuator, and nano-patterns in an electroformed-Ni mold were transferred to a 0.5 mm thick sheet of PET, polymethylmethacrylate (PMMA) and polycarbonate (PC), which are typical engineering plastics, under variable molding conditions. The frequency and amplitude dependence of ultrasonic vibration to the molding accuracy were investigated by measuring depth and width of imprinted patterns. As a result, regardless of the molding material, the imprinted depth was changed drastically when the frequency exceeded 5 kHz. On the other hand, when the amplitude of ultrasonic vibration grew, the imprinted depth gradually deepened. Influence of the frequency and amplitude of ultrasonic vibration was not observed

  20. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  1. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    NASA Astrophysics Data System (ADS)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  2. High-throughput screening with nanoimprinting 3D culture for efficient drug development by mimicking the tumor environment.

    PubMed

    Yoshii, Yukie; Furukawa, Takako; Waki, Atsuo; Okuyama, Hiroaki; Inoue, Masahiro; Itoh, Manabu; Zhang, Ming-Rong; Wakizaka, Hidekatsu; Sogawa, Chizuru; Kiyono, Yasushi; Yoshii, Hiroshi; Fujibayashi, Yasuhisa; Saga, Tsuneo

    2015-05-01

    Anti-cancer drug development typically utilizes high-throughput screening with two-dimensional (2D) cell culture. However, 2D culture induces cellular characteristics different from tumors in vivo, resulting in inefficient drug development. Here, we report an innovative high-throughput screening system using nanoimprinting 3D culture to simulate in vivo conditions, thereby facilitating efficient drug development. We demonstrated that cell line-based nanoimprinting 3D screening can more efficiently select drugs that effectively inhibit cancer growth in vivo as compared to 2D culture. Metabolic responses after treatment were assessed using positron emission tomography (PET) probes, and revealed similar characteristics between the 3D spheroids and in vivo tumors. Further, we developed an advanced method to adopt cancer cells from patient tumor tissues for high-throughput drug screening with nanoimprinting 3D culture, which we termed Cancer tissue-Originated Uniformed Spheroid Assay (COUSA). This system identified drugs that were effective in xenografts of the original patient tumors. Nanoimprinting 3D spheroids showed low permeability and formation of hypoxic regions inside, similar to in vivo tumors. Collectively, the nanoimprinting 3D culture provides easy-handling high-throughput drug screening system, which allows for efficient drug development by mimicking the tumor environment. The COUSA system could be a useful platform for drug development with patient cancer cells. Copyright © 2015 Elsevier Ltd. All rights reserved.

  3. Nanotopography induced contact guidance of the F11 cell line during neuronal differentiation: a neuronal model cell line for tissue scaffold development

    NASA Astrophysics Data System (ADS)

    Wieringa, Paul; Tonazzini, Ilaria; Micera, Silvestro; Cecchini, Marco

    2012-07-01

    The F11 hybridoma, a dorsal root ganglion-derived cell line, was used to investigate the response of nociceptive sensory neurons to nanotopographical guidance cues. This established this cell line as a model of peripheral sensory neuron growth for tissue scaffold design. Cells were seeded on substrates of cyclic olefin copolymer (COC) films imprinted via nanoimprint lithography (NIL) with a grating pattern of nano-scale grooves and ridges. Different ridge widths were employed to alter the focal adhesion formation, thereby changing the cell/substrate interaction. Differentiation was stimulated with forskolin in culture medium consisting of either 1 or 10% fetal bovine serum (FBS). Per medium condition, similar neurite alignment was achieved over the four day period, with the 1% serum condition exhibiting longer, more aligned neurites. Immunostaining for focal adhesions found the 1% FBS condition to also have fewer, less developed focal adhesions. The robust response of the F11 to guidance cues further builds on the utility of this cell line as a sensory neuron model, representing a useful tool to explore the design of regenerative guidance tissue scaffolds.

  4. CpxRA influences Xenorhabdus nematophila colonization initiation and outgrowth in Steinernema carpocapsae nematodes through regulation of the nil locus.

    PubMed

    Herbert Tran, Erin E; Andersen, Aaron W; Goodrich-Blair, Heidi

    2009-06-01

    The gammaproteobacterium Xenorhabdus nematophila mutualistically colonizes an intestinal region of a soil-dwelling nematode and is a blood pathogen of insects. The X. nematophila CpxRA two-component regulatory system is necessary for both of these host interactions (E. Herbert et al., Appl. Environ. Microbiol. 73:7826-7836, 2007). Mutualistic association of X. nematophila with its nematode host consists of two stages: initiation, where a small number of bacterial cells establish themselves in the colonization site, and outgrowth, where these cells grow to fill the space. In this study, we show that the Cpx system is necessary for both of these stages. X. nematophila DeltacpxR1 colonized fewer nematodes than its wild-type parent and did not achieve as high a density as did the wild type within a portion of the colonized nematodes. To test whether the DeltacpxR1 host interaction phenotypes are due to its overexpression of mrxA, encoding the type I pilin subunit protein, we assessed the colonization phenotype of a DeltacpxR1 DeltamrxA1 double mutant. This mutant displayed the same colonization defect as DeltacpxR1, indicating that CpxR negative regulation of mrxA does not play a detectable role in X. nematophila-host interactions. CpxR positively regulates expression of nilA, nilB, and nilC genes necessary for nematode colonization. Here we show that the nematode colonization defect of the DeltacpxR1 mutant is rescued by elevating nil gene expression through mutation of nilR, a negative regulator of nilA, nilB, and nilC. These data suggest that the nematode colonization defect previously observed in DeltacpxR1 is caused, at least in part, by altered regulation of nilA, nilB, and nilC.

  5. Fabrication of 3D nano-structures using reverse imprint lithography

    NASA Astrophysics Data System (ADS)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  6. Fabrication of 3D nano-structures using reverse imprint lithography.

    PubMed

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-Woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures.UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  7. M-shaped grating by nanoimprinting: a replicable, large-area, highly active plasmonic surface-enhanced Raman scattering substrate with nanogaps.

    PubMed

    Zhu, Zhendong; Bai, Benfeng; Duan, Huigao; Zhang, Haosu; Zhang, Mingqian; You, Oubo; Li, Qunqing; Tan, Qiaofeng; Wang, Jia; Fan, Shoushan; Jin, Guofan

    2014-04-24

    Plasmonic nanostructures separated by nanogaps enable strong electromagnetic-field confinement on the nanoscale for enhancing light-matter interactions, which are in great demand in many applications such as surface-enhanced Raman scattering (SERS). A simple M-shaped nanograting with narrow V-shaped grooves is proposed. Both theoretical and experimental studies reveal that the electromagnetic field on the surface of the M grating can be pronouncedly enhanced over that of a grating without such grooves, due to field localization in the nanogaps formed by the narrow V grooves. A technique based on room-temperature nanoimprinting lithography and anisotropic reactive-ion etching is developed to fabricate this device, which is cost-effective, reliable, and suitable for fabricating large-area nanostructures. As a demonstration of the potential application of this device, the M grating is used as a SERS substrate for probing Rhodamine 6G molecules. Experimentally, an average SERS enhancement factor as high as 5×10⁸ has been achieved, which verifies the greatly enhanced light-matter interaction on the surface of the M grating over that of traditional SERS surfaces. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. New 3D structuring process for non-integrated circuit related technologies (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Nouri, Lamia; Possémé, Nicolas; Landis, Stéfan; Milesi, Frédéric; Gaillard, Frédéric-Xavier

    2017-04-01

    Fabrication processes that microelectronic developed for Integrated circuit (IC) technologies for decades, do not meet the new emerging structuration's requirements, in particular non-IC related technologies one, such as MEMS/NEMS, Micro-Fluidics, photovoltaics, lenses. Actually complex 3D structuration requires complex lithography patterning approaches such as gray-scale electron beam lithography, laser ablation, focused ion beam lithography, two photon polymerization. It is now challenging to find cheaper and easiest technique to achieve 3D structures. In this work, we propose a straightforward process to realize 3D structuration, intended for silicon based materials (Si, SiN, SiOCH). This structuration technique is based on nano-imprint lithography (NIL), ion implantation and selective wet etching. In a first step a pattern is performed by lithography on a substrate, then ion implantation is realized through a resist mask in order to create localized modifications in the material, thus the pattern is transferred into the subjacent layer. Finally, after the resist stripping, a selective wet etching is carried out to remove selectively the modified material regarding the non-modified one. In this paper, we will first present results achieved with simple 2D line array pattern processed either on Silicon or SiOCH samples. This step have been carried out to demonstrate the feasibility of this new structuration process. SEM pictures reveals that "infinite" selectivity between the implanted areas versus the non-implanted one could be achieved. We will show that a key combination between the type of implanted ion species and wet etching chemistries is required to obtain such results. The mechanisms understanding involved during both implantation and wet etching processes will also be presented through fine characterizations with Photoluminescence, Raman and Secondary Ion Mass Spectrometry (SIMS) for silicon samples, and ellipso-porosimetry and Fourier Transform Infra

  9. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  10. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  11. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  12. Branched poly(ethyleneimine): a versatile scaffold for patterning polymer brushes by means of remote photocatalytic lithography

    NASA Astrophysics Data System (ADS)

    Panzarasa, Guido; Dübner, Matthias; Soliveri, Guido; Edler, Matthias; Griesser, Thomas

    2017-09-01

    Patterning of functional surfaces is one of the cornerstones of nanotechnology as it allows the fabrication of sensors and lab-on-a-chip devices. Here, the patterning of self-assembled monolayers of branched poly(ethyleneimine) (bPEI) on silica was achieved by means of remote photocatalytic lithography. Moreover, when 2-bromoisobutyryl-modified bPEI was used, the resulting pattern could be amplified by grafting polymer brushes by means of surface-initiated atom transfer radical polymerization. In contrast to previous reports for the patterning of bPEI, the present approach can be conducted in minutes instead of hours, reducing the exposure time to UV radiation and enhancing the overall efficiency. Furthermore, our approach is much more user-friendly, allowing a facile fabrication of patterned initiator-modified surfaces and the use of inexpensive instrumentation such as a low-power UV source and a simple photomask. Considering the versatility of bPEI as a scaffold for the development of biosensors, patterning by means of remote photocatalytic lithography will open new opportunities in a broad field of applications.

  13. A Nanopore Structured High Performance Toluene Gas Sensor Made by Nanoimprinting Method

    PubMed Central

    Kim, Kwang-Su; Baek, Woon-Hyuk; Kim, Jung-Min; Yoon, Tae-Sik; Lee, Hyun Ho; Kang, Chi Jung; Kim, Yong-Sang

    2010-01-01

    Toluene gas was successfully measured at room temperature using a device microfabricated by a nanoimprinting method. A highly uniform nanoporous thin film was produced with a dense array of titania (TiO2) pores with a diameter of 70∼80 nm using this method. This thin film had a Pd/TiO2 nanoporous/SiO2/Si MIS layered structure with Pd-TiO2 as the catalytic sensing layer. The nanoimprinting method was useful in expanding the TiO2 surface area by about 30%, as confirmed using AFM and SEM imaging. The measured toluene concentrations ranged from 50 ppm to 200 ppm. The toluene was easily detected by changing the Pd/TiO2 interface work function, resulting in a change in the I–V characteristics. PMID:22315567

  14. INFLUENCE OF D-Nil plus (A POLYHERBAL DRUG) ON HAEMATOLOGICAL AND BIOCHEMICAL CHANGES IN DIABETIC INDUCED RATS

    PubMed Central

    Vanithamani, J.; Selvi, V.; Krishnaswamy, B. G.

    2006-01-01

    Diabetes mellitus, a metabolic disorder, is characterized by hyperglycemia and altered metabolism. The administration of D-Nil plus (a polyherbal drug) showed effective treatment for alloxan induced diabetes in rats. In diabetic rats, haematological profiles namely RBC, WBC, platlet count and haemoglobin were decreased whereas ESR was increased. Similarly biochemical parameters creatinine, urea and protein were decreased but cholesterol level was increased. After the treatment with D-Nil plus, haematological parameters and biochemical parameters were reversed. The results suggest that the D-Nil plus can be used for the treatment of diabetes. PMID:22557203

  15. Servo-integrated patterned media by hybrid directed self-assembly.

    PubMed

    Xiao, Shuaigang; Yang, Xiaomin; Steiner, Philip; Hsu, Yautzong; Lee, Kim; Wago, Koichi; Kuo, David

    2014-11-25

    A hybrid directed self-assembly approach is developed to fabricate unprecedented servo-integrated bit-patterned media templates, by combining sphere-forming block copolymers with 5 teradot/in.(2) resolution capability, nanoimprint and optical lithography with overlay control. Nanoimprint generates prepatterns with different dimensions in the data field and servo field, respectively, and optical lithography controls the selective self-assembly process in either field. Two distinct directed self-assembly techniques, low-topography graphoepitaxy and high-topography graphoepitaxy, are elegantly integrated to create bit-patterned templates with flexible embedded servo information. Spinstand magnetic test at 1 teradot/in.(2) shows a low bit error rate of 10(-2.43), indicating fully functioning bit-patterned media and great potential of this approach for fabricating future ultra-high-density magnetic storage media.

  16. Shock wave energy dissipation behavior (SWED) in Network forming ionic liquids (NILs): A Molecular dynamics study

    NASA Astrophysics Data System (ADS)

    Guda Vishnu, Karthik; Strachan, Alejandro

    2017-06-01

    SWED materials play a crucial role in protecting both personnel and structures in close proximity to blasts or ballistic impact. Exposure to shock waves with intensities as low as 1 MPa can cause brain injury in personnel and, hence, it is extremely important to understand the mechanisms operating in SWED materials and help design improved formulations. Recent experimental studies show that NILs containing di-ammonium cations and citrate anions with glass transition temperatures (Tg) below room temperature exhibit shockwave absorption characteristics that outperform polyurea (PU), a benchmark SWED assessment material. The experimentalists further hypothesized that the increased SWED ability in NILs with longer side chains (in di-ammonium cation) is due to a permanent structural ordering and nano-scale segregation. We use molecular dynamics simulations with the Dreiding force field to study shock propagation mechanisms in NILs. Shock propagation mechanisms in these materials are explored by performing both Hugoniostat and large scale non-equilibrium molecular dynamics (NEMD) simulations at 300 K. The simulation results show that the NIL 5-6 (5 C atoms (back bone) and 6 C atoms (side chain)) attenuates shocks better than NIL 5-3 (3 C atoms (side chain) and higher Tg) and PMMA in agreement with experimental observation. The simulations show that under shock loading the structures lose long range order; we find no evidence of nano-segregation nor or permanent structural changes.

  17. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  18. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  19. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  20. Design and process development of a photonic crystal polymer biosensor for point-of-care diagnostics

    NASA Astrophysics Data System (ADS)

    Dortu, F.; Egger, H.; Kolari, K.; Haatainen, T.; Furjes, P.; Fekete, Z.; Bernier, D.; Sharp, G.; Lahiri, B.; Kurunczi, S.; Sanchez, J.-C.; Turck, N.; Petrik, P.; Patko, D.; Horvath, R.; Eiden, S.; Aalto, T.; Watts, S.; Johnson, N. P.; De La Rue, R. M.; Giannone, D.

    2011-07-01

    In this work, we report advances in the fabrication and anticipated performance of a polymer biosensor photonic chip developed in the European Union project P3SENS (FP7-ICT4-248304). Due to the low cost requirements of point-ofcare applications, the photonic chip is fabricated from nanocomposite polymeric materials, using highly scalable nanoimprint- lithography (NIL). A suitable microfluidic structure transporting the analyte solutions to the sensor area is also fabricated in polymer and adequately bonded to the photonic chip. We first discuss the design and the simulated performance of a high-Q resonant cavity photonic crystal sensor made of a high refractive index polyimide core waveguide on a low index polymer cladding. We then report the advances in doped and undoped polymer thin film processing and characterization for fabricating the photonic sensor chip. Finally the development of the microfluidic chip is presented in details, including the characterisation of the fluidic behaviour, the technological and material aspects of the 3D polymer structuring and the stable adhesion strategies for bonding the fluidic and the photonic chips, with regards to the constraints imposed by the bioreceptors supposedly already present on the sensors.

  1. Photonic crystals on copolymer film for label-free detection of DNA hybridization.

    PubMed

    Su, Han; Cheng, Xin R; Endo, Tatsuro; Kerman, Kagan

    2018-04-30

    The presence of a single-nucleotide polymorphism in Apolipoprotein E4 gene is implicated with the increased risk of developing Alzheimer's disease (AD). In this study, detection of AD-related DNA oligonucleotide sequence associated with Apolipoprotein E4 gene sequence was achieved using localized-surface plasmon resonance (LSPR) on 2D-Photonic crystal (2D-PC) and Au-coated 2D-PC surfaces. 2D-PC surfaces were fabricated on a flexible copolymer film using nano-imprint lithography (NIL). The film surface was then coated with a dual-functionalized polymer to react with surface immobilized DNA probe. DNA hybridization was detected by monitoring the optical responses of either a Fresnel decrease in reflectance on 2D-PC surfaces or an increase in LSPR on Au-coated 2D-PC surfaces. The change in response due to DNA hybridization on the modified surfaces was also investigated using mismatched and non-complementary oligonucleotides sequences. The proof-of-concept results are promising towards the development of 2D-PC on copolymer film surfaces as miniaturized and wearable biosensors for various diagnostic and defense applications. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Antibacterial Activity of Pharbitin, Isolated from the Seeds of Pharbitis nil, against Various Plant Pathogenic Bacteria.

    PubMed

    Nguyen, Hoa Thi; Yu, Nan Hee; Park, Ae Ran; Park, Hae Woong; Kim, In Seon; Kim, Jin-Cheol

    2017-10-28

    This study aimed to isolate and characterize antibacterial metabolites from Pharbitis nil seeds and investigate their antibacterial activity against various plant pathogenic bacteria. The methanol extract of P. nil seeds showed the strongest activity against Xanthomonas arboricola pv. pruni (Xap) with a minimum inhibition concentration (MIC) value of 250 μg/ml. Among the three solvent layers obtained from the methanol extract of P. nil seeds, only the butanol layer displayed the activity with an MIC value of 125 μg/ml against Xap. An antibacterial fraction was obtained from P. nil seeds by repeated column chromatography and identified as pharbitin, a crude resin glycoside, by instrumental analysis. The antibacterial activity of pharbitin was tested in vitro against 14 phytopathogenic bacteria, and it was found to inhibit Ralstonia solanacearum and four Xanthomonas species. The minimum inhibitory concentration values against the five bacteria were 125-500 μg/ml for the n-butanol layer and 31.25-125 μg/ml for pharbitin. In a detached peach leaf assay, it effectively suppressed the development of bacterial leaf spot, with a control value of 87.5% at 500 μg/ml. In addition, pharbitin strongly reduced the development of bacterial wilt on tomato seedlings by 97.4% at 250 μg/ml, 7 days after inoculation. These findings suggest that the crude extract of P. nil seeds can be used as an alternative biopesticide for the control of plant diseases caused by R. solanacearum and Xanthomonas spp. This is the first report on the antibacterial activity of pharbitin against phytopathogenic bacteria.

  3. Development of 25 near-isogenic lines (NILs) with ten BPH resistance genes in rice (Oryza sativa L.): production, resistance spectrum, and molecular analysis.

    PubMed

    Jena, Kshirod K; Hechanova, Sherry Lou; Verdeprado, Holden; Prahalada, G D; Kim, Sung-Ryul

    2017-11-01

    A first set of 25 NILs carrying ten BPH resistance genes and their pyramids was developed in the background of indica variety IR24 for insect resistance breeding in rice. Brown planthopper (Nilaparvata lugens Stal.) is one of the most destructive insect pests in rice. Development of near-isogenic lines (NILs) is an important strategy for genetic analysis of brown planthopper (BPH) resistance (R) genes and their deployment against diverse BPH populations. A set of 25 NILs with 9 single R genes and 16 multiple R gene combinations consisting of 11 two-gene pyramids and 5 three-gene pyramids in the genetic background of the susceptible indica rice cultivar IR24 was developed through marker-assisted selection. The linked DNA markers for each of the R genes were used for foreground selection and confirming the introgressed regions of the BPH R genes. Modified seed box screening and feeding rate of BPH were used to evaluate the spectrum of resistance. BPH reaction of each of the NILs carrying different single genes was variable at the antibiosis level with the four BPH populations of the Philippines. The NILs with two- to three-pyramided genes showed a stronger level of antibiosis (49.3-99.0%) against BPH populations compared with NILs with a single R gene NILs (42.0-83.5%) and IR24 (10.0%). Background genotyping by high-density SNPs markers revealed that most of the chromosome regions of the NILs (BC 3 F 5 ) had IR24 genome recovery of 82.0-94.2%. Six major agronomic data of the NILs showed a phenotypically comparable agronomic performance with IR24. These newly developed NILs will be useful as new genetic resources for BPH resistance breeding and are valuable sources of genes in monitoring against the emerging BPH biotypes in different rice-growing countries.

  4. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  5. Gln3p and Nil1p regulation of invertase activity and SUC2 expression in Saccharomyces cerevisiae.

    PubMed

    Oliveira, Edna Maria Morais; Mansure, José João; Bon, Elba Pinto da Silva

    2005-04-01

    In Saccharomyces cerevisiae, sensing and signalling pathways regulate gene expression in response to quality of carbon and nitrogen sources. One such system, the target of rapamycin (Tor) proteins, senses nutrients and uses the GATA activators Gln3p and Nil1p to regulate translation in response to low-quality carbon and nitrogen. The signal transduction, triggered in response to nitrogen nutrition that is sensed by the Tor proteins, operates via a regulatory pathway involving the cytoplasmic factor Ure2p. When carbon and nitrogen are abundant, the phosphorylated Ure2p anchors the also phosphorylated Gln3p and Nil1p in the cytoplasm. Upon a shift from high- to low-quality nitrogen or treatment with rapamycin all three proteins are dephosphorylated, causing Gln3p and Nil1p to enter the nucleus and promote transcription. The genes that code for yeast periplasmic enzymes with nutritional roles would be obvious targets for regulation by the sensing and signalling pathways that respond to quality of carbon and nitrogen sources. Indeed, previous results from our laboratory had shown that the GATA factors Gln3p, Nil1p, Dal80p, Nil2p and also the protein Ure2 regulate the expression of asparaginase II, coded by ASP3. We also had observed that the activity levels of the also periplasmic invertase, coded by SUC2, were 6-fold lower in ure2 mutant cells in comparison to wild-type cells collected at stationary phase. These results suggested similarities between the signalling pathways regulating the expression of ASP3 and SUC2. In the present work we showed that invertase levels displayed by the single nil1 and gln3 and by the double gln3nil1 mutant cells, cultivated in a sucrose-ammonium medium and collected at the exponential phase, were 6-, 10- and 60-fold higher, respectively, in comparison to their wild-type counterparts. RT-PCR data of SUC2 expression in the double-mutant cells indicated a 10-fold increase in the mRNA(SUC2) levels.

  6. Physically transient photonics: random versus distributed feedback lasing based on nanoimprinted DNA.

    PubMed

    Camposeo, Andrea; Del Carro, Pompilio; Persano, Luana; Cyprych, Konrad; Szukalski, Adam; Sznitko, Lech; Mysliwiec, Jaroslaw; Pisignano, Dario

    2014-10-28

    Room-temperature nanoimprinted, DNA-based distributed feedback (DFB) laser operation at 605 nm is reported. The laser is made of a pure DNA host matrix doped with gain dyes. At high excitation densities, the emission of the untextured dye-doped DNA films is characterized by a broad emission peak with an overall line width of 12 nm and superimposed narrow peaks, characteristic of random lasing. Moreover, direct patterning of the DNA films is demonstrated with a resolution down to 100 nm, enabling the realization of both surface-emitting and edge-emitting DFB lasers with a typical line width of <0.3 nm. The resulting emission is polarized, with a ratio between the TE- and TM-polarized intensities exceeding 30. In addition, the nanopatterned devices dissolve in water within less than 2 min. These results demonstrate the possibility of realizing various physically transient nanophotonics and laser architectures, including random lasing and nanoimprinted devices, based on natural biopolymers.

  7. Surface fatigue life of M50NiL and AISI 9310 spur gears and R C bars

    NASA Technical Reports Server (NTRS)

    Townsend, Dennis P.; Bamberger, Eric N.

    1991-01-01

    Spur gear endurance tests and rolling element surface fatigue tests were conducted to study vacuum induction melted, vacuum arc remelted (VIM-VAR) M50NiL steel for use as a gear steel in advanced aircraft applications, to determine its endurance characteristics, and to compare the results with those for standard VAR and VIM-VAR AISI 9310 gear material. Tests were conducted with spur gears and rolling contact bars manufactured from VIM-VAR M50NiL and VAR and VIM-VAR AISI 9310. The gear pitch diameter was 8.9 cm. Gear test conditions were an inlet oil temperature of 320 K, and outlet oil temperature of 350 K, a maximum Hertz stress of 1.71 GPa, and a speed of 10000 rpm. Bench rolling element fatigue tests were conducted at ambient temperatures with a bar speed of 12,500 rpm and a maximum Hertz stress of 4.83 GPa. The VIM-VAR M50NiL gears had a surface fatigue life that was 4.5 and 11.5 times that for VIM-VAR and VAR AISI 9310 gears, respectively. The surface fatigue life of the VIM-VAR M50NiL rolling contact bars was 13.2 and 21.6 times that for the VIM-VAR and VAR AISI 9310, respectively. The VIM-VAR M50NiL material was shown to have good resistance to fracture through a fatigue spall and superior fatigue life to both other gears.

  8. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    NASA Astrophysics Data System (ADS)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  9. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  10. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  11. Pharbinilic acid, an allogibberic acid from morning glory (Pharbitis nil).

    PubMed

    Kim, Ki Hyun; Choi, Sang Un; Son, Mi Won; Choi, Sang Zin; Clardy, Jon; Lee, Kang Ro

    2013-07-26

    Pharbinilic acid (1), the first naturally occurring allogibberic acid, was isolated from ethanol extracts of morning glory (Pharbitis nil) seeds. Its absolute configuration was determined by NOESY NMR and ECD experiments. Compound 1 showed weak cytotoxicity against A549, SK-OV-3, SK-MEL-2, and HCT-15 cells and weakly inhibited nitric oxide production in lipopolysaccharide-activated BV-2 microglia cells.

  12. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  13. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  14. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  15. Contact electrification induced interfacial reactions and direct electrochemical nanoimprint lithography in n-type gallium arsenate wafer† †Electronic supplementary information (ESI) available: Electrochemical measurements of the interfaces, optimization of the contact force and temperature of ECNL, XPS analysis, and more examples of ECNL on n-GaAs. See DOI: 10.1039/c6sc04091h Click here for additional data file.

    PubMed Central

    Zhang, Jie; Zhang, Lin; Wang, Wei; Han, Lianhuan; Jia, Jing-Chun; Tian, Zhao-Wu; Tian, Zhong-Qun

    2017-01-01

    Although metal assisted chemical etching (MacEtch) has emerged as a versatile micro-nanofabrication method for semiconductors, the chemical mechanism remains ambiguous in terms of both thermodynamics and kinetics. Here we demonstrate an innovative phenomenon, i.e., the contact electrification between platinum (Pt) and an n-type gallium arsenide (100) wafer (n-GaAs) can induce interfacial redox reactions. Because of their different work functions, when the Pt electrode comes into contact with n-GaAs, electrons will move from n-GaAs to Pt and form a contact electric field at the Pt/n-GaAs junction until their electron Fermi levels (E F) become equal. In the presence of an electrolyte, the potential of the Pt/electrolyte interface will shift due to the contact electricity and induce the spontaneous reduction of MnO4 – anions on the Pt surface. Because the equilibrium of contact electrification is disturbed, electrons will transfer from n-GaAs to Pt through the tunneling effect. Thus, the accumulated positive holes at the n-GaAs/electrolyte interface make n-GaAs dissolve anodically along the Pt/n-GaAs/electrolyte 3-phase interface. Based on this principle, we developed a direct electrochemical nanoimprint lithography method applicable to crystalline semiconductors. PMID:28451347

  16. Imprint Characteristics by Photo-Induced Solidification of Liquid Polymer

    NASA Astrophysics Data System (ADS)

    Komuro, Masanori; Taniguchi, Jun; Inoue, Seiji; Kimura, Naoya; Tokano, Yuji; Hiroshima, Hiroshi; Matsui, Shinji

    2000-12-01

    Nanoimprint lithography is an attractive technology for LSIs era below 40-nm critical dimension from the viewpoints of high-throughput and low-cost equipment. In order to avoid a pattern placement error due to thermal expansion in the conventional thermal imprint process, we attempted to replicate the mold pattern onto a liquid polymer, which was solidified using ultra-violet (UV) light irradiation at room temperature. The liquid polymer used here was supplied by TEIJIN SEIKI Co., and termed TSR-820. It was spin coated on slide glass to produce approximately 1.5-μm-thick polymer film. The thickness remained after UV exposure and rinsing in acetone was observed at the dose of 10 J/cm2 and it saturated about a UV exposure dose of 100 J/cm2 with an increase in the exposure dose. The mold fabricated of quartz plate was first pressed onto the polymer film at about 100 kg/cm2 and then the UV light was irradiated using an imprint apparatus developed for this work. After releasing the mold from the film, the substrate was rinsed in acetone to remove the residual liquid polymer. Eventually the minimum feature size of 100-nm line and 300-nm space pattern was successfully replicated in the polymer with good fidelity.

  17. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing.

    PubMed

    Lai, Fang-I; Yang, Jui-Fu

    2013-05-17

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  18. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing

    PubMed Central

    2013-01-01

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography. PMID:23683526

  19. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  20. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  1. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  2. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  3. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  4. The May 18, 1998 Indian Nuclear Test Seismograms at station NIL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Walter, W R; Rodgers, A J; Bowers, D

    2005-04-11

    The last underground nuclear tests were conducted by India and Pakistan in May 1998. Although the Comprehensive Test Ban Treaty has not entered force, an International Monitoring System (IMS), established by the treaty is nearing completion. This system includes 170 seismic stations, a number of them originally established by IRIS. The station IRIS station NIL (Nilore, Pakistan) is close to a planned IMS primary station and recorded some very interesting seismograms from the May 18, 1998 Indian test. We carefully calibrated the path to NIL using a prior Mw 4.4 that occurred on April 4, 1995 about 110 km northmore » of the Indian test site. We used joint epicentral location techniques along with teleseismic P waves and regional surface waves to fix the epicenter, depth, mechanism and moment of this event. From these we obtained a velocity model for the path to NIL and created explosion synthetic seismograms to compare with the data. Interestingly the observed Rayleigh waves are reversed, consistent with an implosion rather than an explosion source. The preferred explanation is that the explosion released tectonic stress near the source region, which can be modeled as a thrust earthquake of approximate Mw 4.0 plus a pure explosion. This tectonic release is sufficient to completely dominate the Rayleigh waves and produce the observed signal (Walter et al. 2005). We also examined the explosion at high frequencies of 6 6-8 Hz where many studies have shown that relative P/S amplitudes can discriminate explosions from a background of earthquakes (Rodgers and Walter, 2002). Comparing with the April 4 1995 earthquake we see the classic difference of relatively large P/S values for the explosion compared to the earthquakes despite the complication of the large tectonic release during the explosion.« less

  5. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  6. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  7. Fabrication of n-type Si nanostructures by direct nanoimprinting with liquid-Si ink

    NASA Astrophysics Data System (ADS)

    Takagishi, Hideyuki; Masuda, Takashi; Yamazaki, Ken; Shimoda, Tatsuya

    2018-01-01

    Nanostructures of n-type amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) with a height of 270 nm and line widths of 110-165 nm were fabricated directly onto a substrate through a simple imprinting process that does not require vacuum conditions or photolithography. The n-type Liquid-Si ink was synthesized via photopolymerization of cyclopentasilane (Si5H10) and white phosphorus (P4). By raising the temperature from 160 °C to 200 °C during the nanoimprinting process, well-defined angular patterns were fabricated without any cracking, peeling, or deflections. After the nanoimprinting process, a-Si was produced by heating the nanostructures at 400°C-700 °C, and poly-Si was produced by heating at 800 °C. The dopant P diffuses uniformly in the Si films, and its concentration can be controlled by varying the concentration of P4 in the ink. The specific resistance of the n-type poly-Si pattern was 7.0 × 10-3Ω ṡ cm, which is comparable to the specific resistance of flat n-type poly-Si films.

  8. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  9. Modification of insulating diamond-like films by pulsed UV laser emission

    NASA Astrophysics Data System (ADS)

    Ageev, V. P.; Glushko, T. N.; Dorfman, V. F.; Kuzmichev, A. V.; Pypkin, B. N.

    1991-07-01

    The basic regimes of the modification of diamond-like a-C/Si/O:H films by the emission of the KrF laser are investigated. In particular, attention is given to the effect of the graphitization process on the spatial resolution of the dimensional treament. The possibility of the submicron cross-linking of the films using the methods of ablative UV laser lithography is demonstrated.

  10. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  11. Function follows form: combining nanoimprint and inkjet printing

    NASA Astrophysics Data System (ADS)

    Muehlberger, M.; Haslinger, M. J.; Kurzmann, J.; Ikeda, M.; Fuchsbauer, A.; Faury, T.; Koepplmayr, T.; Ausserhuber, H.; Kastner, J.; Woegerer, C.; Fechtig, D.

    2017-06-01

    We are investigating the possibilities and the technical requirements to do nanopatterning on arbitrary curved surfaces. This is done considering the opportunities and possibilities of additive manufacturing. One of the key elements is the necessity to deposit material in well-defined areas of various complex 3D objects. In order to achieve this we are developing a robot-based inkjet printing. We report on our progress with this respect and also on our efforts to perform nanoimprinting on curved, possibly 3D-printed objects using materials that can be deposited by inkjet printing. In the framework of this article, we provide an overview over our current status, the challenges and an outlook.

  12. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  13. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  14. Defect reduction for semiconductor memory applications using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Luo, Kang; Irving, J. W.; Lu, Xiaoming; Zhang, Wei; Fletcher, Brian; Liu, Weijun; Xu, Frank; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2013-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. In previous studies, we have focused on defects such as random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. In this work, we attempted to identify the critical imprint defect types using a mask with NAND Flash-like patterns at dimensions as small as 26nm. The two key defect types identified were line break defects induced by small particulates and airborne contaminants which result in local adhesion failure. After identification, the root cause of the defect was determined, and corrective measures were taken to either eliminate or reduce the defect source. As a result, we have been able to reduce defectivity levels by more than three orders of magnitude in only 12 months and are now achieving defectivity adders as small as 2 adders per lot of wafers.

  15. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  16. Large-area metallic photonic lattices for military applications.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Luk, Ting Shan

    2007-11-01

    In this project we developed photonic crystal modeling capability and fabrication technology that is scaleable to large area. An intelligent optimization code was developed to find the optimal structure for the desired spectral response. In terms of fabrication, an exhaustive survey of fabrication techniques that would meet the large area requirement was reduced to Deep X-ray Lithography (DXRL) and nano-imprint. Using DXRL, we fabricated a gold logpile photonic crystal in the <100> plane. For the nano-imprint technique, we fabricated a cubic array of gold squares. These two examples also represent two classes of metallic photonic crystal topologies, the connected networkmore » and cermet arrangement.« less

  17. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  18. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  19. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  20. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  1. Integrated model-based retargeting and optical proximity correction

    NASA Astrophysics Data System (ADS)

    Agarwal, Kanak B.; Banerjee, Shayak

    2011-04-01

    Conventional resolution enhancement techniques (RET) are becoming increasingly inadequate at addressing the challenges of subwavelength lithography. In particular, features show high sensitivity to process variation in low-k1 lithography. Process variation aware RETs such as process-window OPC are becoming increasingly important to guarantee high lithographic yield, but such techniques suffer from high runtime impact. An alternative to PWOPC is to perform retargeting, which is a rule-assisted modification of target layout shapes to improve their process window. However, rule-based retargeting is not a scalable technique since rules cannot cover the entire search space of two-dimensional shape configurations, especially with technology scaling. In this paper, we propose to integrate the processes of retargeting and optical proximity correction (OPC). We utilize the normalized image log slope (NILS) metric, which is available at no extra computational cost during OPC. We use NILS to guide dynamic target modification between iterations of OPC. We utilize the NILS tagging capabilities of Calibre TCL scripting to identify fragments with low NILS. We then perform NILS binning to assign different magnitude of retargeting to different NILS bins. NILS is determined both for width, to identify regions of pinching, and space, to locate regions of potential bridging. We develop an integrated flow for 1x metal lines (M1) which exhibits lesser lithographic hotspots compared to a flow with just OPC and no retargeting. We also observe cases where hotspots that existed in the rule-based retargeting flow are fixed using our methodology. We finally also demonstrate that such a retargeting methodology does not significantly alter design properties by electrically simulating a latch layout before and after retargeting. We observe less than 1% impact on latch Clk-Q and D-Q delays post-retargeting, which makes this methodology an attractive one for use in improving shape process windows

  2. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  3. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  4. Applying the miniaturization technologies for biosensor design.

    PubMed

    Derkus, Burak

    2016-05-15

    Microengineering technologies give us some opportunities in developing high-tech sensing systems that operate with low volumes of samples, integrates one or more laboratory functions on a single substrate, and enables automation. These millimetric sized devices can be produced for only a few dollars, which makes them promising candidates for mass-production. Besides electron beam lithography, stencil lithography, nano-imprint lithography or dip pen lithography, basic photolithography is the technique which is extensively used for the design of microengineered sensing systems. This technique has some advantages such as easy-to-manufacture, do not require expensive instrumentation, and allow creation of lower micron-sized patterns. In this review, it has been focused on three different type of microengineered sensing devices which are developed using micro/nano-patterning techniques, microfluidic technology, and microelectromechanics system based technology. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Surface Fatigue Tests Of M50NiL Gears And Bars

    NASA Technical Reports Server (NTRS)

    Townsend, Dennis P.; Bamberger, Eric N.

    1994-01-01

    Report presents results of tests of steels for use in gears and bearings of advanced aircraft. Spur-gear endurance tests and rolling-element surface fatigue tests performed on gear and bar specimens of M50NiL steel processed by vacuum induction melting and vacuum arc remelting (VIM-VAR). Compares results of tests with similar tests of specimens of VIM-VAR AISI 9310 steel and of AISI 9310 steel subjected to VAR only.

  6. Effects of Nanoimprinted Structures on the Performance of Organic Solar Cells

    DOE PAGES

    Gill, Hardeep Singh; Li, Lian; Ren, Haizhou; ...

    2018-01-01

    The effect of nanoimprinted structures on the performance of organic bulk heterojunction solar cells was investigated. The nanostructures were formed over the active layer employing the soft lithographic technique. The measured incident photon-to-current efficiency revealed that the nanostructured morphology over the active layer can efficiently enhance both light harvesting and charge carrier collection due to improvement of the absorption of incident light and the buried nanostructured cathode, respectively. The devices prepared with the imprinted nanostructures exhibited significantly higher power conversion efficiencies as compared to those of the control cells.

  7. Effects of Nanoimprinted Structures on the Performance of Organic Solar Cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gill, Hardeep Singh; Li, Lian; Ren, Haizhou

    The effect of nanoimprinted structures on the performance of organic bulk heterojunction solar cells was investigated. The nanostructures were formed over the active layer employing the soft lithographic technique. The measured incident photon-to-current efficiency revealed that the nanostructured morphology over the active layer can efficiently enhance both light harvesting and charge carrier collection due to improvement of the absorption of incident light and the buried nanostructured cathode, respectively. The devices prepared with the imprinted nanostructures exhibited significantly higher power conversion efficiencies as compared to those of the control cells.

  8. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  9. High performance Si immersion gratings patterned with electron beam lithography

    NASA Astrophysics Data System (ADS)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  10. Nanomoulding of Functional Materials, a Versatile Complementary Pattern Replication Method to Nanoimprinting

    PubMed Central

    Battaglia, Corsin; Söderström, Karin; Escarré, Jordi; Haug, Franz-Josef; Despeisse, Matthieu; Ballif, Christophe

    2013-01-01

    We describe a nanomoulding technique which allows low-cost nanoscale patterning of functional materials, materials stacks and full devices. Nanomoulding combined with layer transfer enables the replication of arbitrary surface patterns from a master structure onto the functional material. Nanomoulding can be performed on any nanoimprinting setup and can be applied to a wide range of materials and deposition processes. In particular we demonstrate the fabrication of patterned transparent zinc oxide electrodes for light trapping applications in solar cells. PMID:23380874

  11. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  12. Electrowetting of liquid polymer on petal-mimetic microbowl-array surfaces for formation of microlens array with varying focus on a single substrate

    NASA Astrophysics Data System (ADS)

    Li, Xiangmeng; Shao, Jinyou; Li, Xiangming; Tian, Hongmiao

    2015-03-01

    In this paper, microlens array with varying focal lengths were fabricated on a single microbowl-array textured substrate. The solid microbowl-arrayed NOA61 (kind of polyurethane-based polymer with UV curablity) surface was resulted from nanoimprinting by polydimethylsiloxane (PDMS) mold. The PDMS mold was replicated from an SU-8 master which was generated by electron beam lithography. Such microbowl-arrayed surfaces demonstrate petal-mimetic highly adhesive hydrophobic wetting properties, which can promote an irreversible electrowetting (EW) effect and a dereased contact angle of water droplets as well as other liquid droplets by applying direct current (DC) voltage. To fabricate a microlens array with varying focal-lengths, liquid NOA61 was supplied from a syringe on the solid NOA61 microtextured film and DC voltage was applied succesively. After removing the DC voltage, these liquid NOA61 microdrops deposited on the solid microtextured NOA61 surface on tin-indium-oxide coated substrate could be solidified via UV irradiation, thus leading to microlens array with uneven numerical apertures on a single substrate. Numerical simulation was also done to verify the EW effect. Finally, optical imaging characterization was performed to confirm the varied focus of the NOA61 microdrops.

  13. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  14. Grayscale lithography-automated mask generation for complex three-dimensional topography

    NASA Astrophysics Data System (ADS)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  15. Nils Larson

    NASA Image and Video Library

    2007-03-16

    Nils Larson is a research pilot in the Flight Crew Branch of NASA's Dryden Flight Research Center, Edwards, Calif. Larson joined NASA in February 2007 and will fly the F-15, F-18, T-38 and ER-2. Prior to joining NASA, Larson was on active duty with the U.S. Air Force. He has accumulated more that 4,900 hours of military and civilian flight experience in more than 70 fixed and rotary winged aircraft. Larson completed undergraduate pilot training at Williams Air Force Base, Chandler, Ariz., in 1987. He remained at Williams as a T-37 instructor pilot. In 1991, Larson was assigned to Beale Air Force Base, Calif., as a U-2 pilot. He flew 88 operational missions from Korea, Saudi Arabia, the United Kingdom, Panama and other locations. Larson graduated from the U.S. Air Force Test Pilot School at Edwards Air Force Base, Calif., in Class 95A. He became a flight commander and assistant operations officer for the 445th squadron at Edwards. He flew the radar, avionics integration and engine tests in F-15 A-D, the early flights of the glass cockpit T-38C and airworthiness flights of the Coast Guard RU-38. He was selected to serve as an Air Force exchange instructor at the U.S. Naval Test Pilot School, Patuxent River, Md. He taught systems and fixed-wing flight test and flew as an instructor pilot in the F-18, T-2, U-6A Beaver and X-26 Schweizer sailplane. Larson commanded U-2 operations for Warner Robins Air Logistics Center's Detachment 2 located in Palmdale, Calif. In addition to flying the U-2, Larson supervised the aircraft's depot maintenance and flight test. He was the deputy group commander for the 412th Operations Group at Edwards before retiring from active duty in 2007 with the rank of lieutenant colonel. His first experience with NASA was at the Glenn Research Center, Cleveland, where he served a college summer internship working on arcjet engines. Larson is a native of Bethany, W.Va,, and received his commission from the U.S. Air Force Academy in 1986 with a

  16. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  17. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  18. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  19. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  20. Manipulating the assembly of perovskites onto soft nanoimprinted titanium dioxide templates

    NASA Astrophysics Data System (ADS)

    Baca, Alfred J.; Roberts, M. Joseph; Stenger-Smith, John; Baldwin, Lawrence

    2018-06-01

    Soft nanoimprinted titanium dioxide (TiO2) substrates decorated with methylammonium lead halide perovskite (MAPbI3) crystals were fabricated by controlling the perovskite precursor concentration and volume during spin coat processing combined with the use of hydrophobic TiO2 templates. The patterned growth was demonstrated with different perovskite crystallization methods. We investigated and successfully demonstrated the controlled assembly of two MAPbI3 nanomaterials, one a nanocomposite formed between the perovskite and a hole conducting polymer poly(2,5-bis(N-methyl-N-hexylamino)phenylene vinylene) (BAMPPV), and a second formed from perovskite crystals using common solution based MAPbI3 growth methods (1-step and 2-step processing). Both types of MAPbI3 crystals were fabricated on hydrophobic TiO2 nanotemplates composed of nanowells or grating patterns. Patterned areas as large as 100 μm × 100 μm were achieved. We examined and characterized the substrates using atomic force microscopy, scanning electron microscopy, x-ray diffraction, and energy dispersive spectroscopy. We present the optical properties (i.e. fluorescence and transmission) of soft nanoimprinted nanowells decorated with perovskites demonstrating the successful synthesis of MAPbI3 perovskite nanocrystals. As an example of their use, we demonstrate a two terminal device and show photocurrent response of a perovskite patterned micro-grating. Our method is a nondestructive approach to nanopatterning perovskites, and produces patterned arrays that maintain their photo-electric properties. The results presented herein suggests an attractive route to developing nanopatterned and small area perovskite substrates for applications in photovoltaics, x-ray sensing/detection, image sensor arrays, and others.

  1. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  2. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  3. Timing of growth inhibition following shoot inversion in Pharbitis nil

    NASA Technical Reports Server (NTRS)

    Abdel-Rahman, A. M.; Cline, M. G.

    1989-01-01

    Shoot inversion in Pharbitis nil results in the enhancement of ethylene production and in the inhibition of elongation in the growth zone of the inverted shoot. The initial increase in ethylene production previously was detected within 2 to 2.75 hours after inversion. In the present study, the initial inhibition of shoot elongation was detected within 1.5 to 4 hours with a weighted mean of 2.4 hours. Ethylene treatment of upright shoots inhibited elongation in 1.5 hours. A cause and effect relationship between shoot inversion-enhanced ethylene production and inhibition of elongation cannot be excluded.

  4. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  5. Nils Silfverskiöld (1888-1957) and gastrocnemius contracture.

    PubMed

    Singh, Dishan

    2013-06-01

    Nils Silfverskiöld was an orthopaedic surgeon, Swedish aristocrat, bon vivant, Olympic gymnast, left wing intellectual and anti-Nazi who described that the force required to dorsiflex the ankle in spastic equinus contracture decreased with knee flexion in isolated gastrocnemius contracture. He advocated detaching the origins of the gastrocnemii from the femur and reattaching them to the tibia. The Silfverskiöld knee flexion test has now also been adapted to distinguish between isolated gastrocnemius contracture and combined shortening of the gastrocnemius-soleus complex in non-spastic contracture by measuring the range of ankle dorsiflexion with the knee flexed and the knee straight. Copyright © 2012 European Foot and Ankle Society. Published by Elsevier Ltd. All rights reserved.

  6. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  7. Gibberellin induces alpha-amylase gene in seed coat of Ipomoea nil immature seeds.

    PubMed

    Nakajima, Masatoshi; Nakayama, Akira; Xu, Zheng-Jun; Yamaguchi, Isomaro

    2004-03-01

    Two full-length cDNAs encoding gibberellin 3-oxidases, InGA3ox1 and InGA3ox2, were cloned from developing seeds of morning glory (Ipomoea nil (Pharbitis nil) Choisy cv. Violet) with degenerate-PCR and RACEs. The RNA-blot analysis for these clones revealed that the InGA3ox2 gene was organ-specifically expressed in the developing seeds at 6-18 days after anthesis. In situ hybridization showed the signals of InGA3ox2 mRNA in the seed coat, suggesting that active gibberellins (GAs) were synthesized in the tissue, although no active GA was detected there by immunohistochemistry. In situ hybridization analysis for InAmy1 (former PnAmy1) mRNA showed that InAmy1 was also synthesized in the seed coat. Both InGA3ox2 and InAmy1 genes were expressed spatially overlapped without a clear time lag, suggesting that both active GAs and InAmy1 were synthesized almost simultaneously in seed coat and secreted to the integument. These observations support the idea that GAs play an important role in seed development by inducing alpha-amylase.

  8. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  9. High-density patterned media fabrication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ye, Zhengmao; Ramos, Rick; Brooks, Cynthia; Simpson, Logan; Fretwell, John; Carden, Scott; Hellebrekers, Paul; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FIL®) process uses drop dispensing of UV curable resists for high resolution patterning. Several applications, including patterned media, are better, and more economically served by a full substrate patterning process since the alignment requirements are minimal. Patterned media is particularly challenging because of the aggressive feature sizes necessary to achieve storage densities required for manufacturing beyond the current technology of perpendicular recording. In this paper, the key process steps for the application of J-FIL to pattern media fabrication are reviewed with special attention to substrate cleaning, vapor adhesion of the adhesion layer and imprint performance at >300 disk per hour. Also discussed are recent results for imprinting discrete track patterns at half pitches of 24nm and bit patterned media patterns at densities of 1 Tb/in2.

  10. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  11. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  12. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  13. Spatial and directional control of self-assembled wrinkle patterns by UV light absorption

    NASA Astrophysics Data System (ADS)

    Kortz, C.; Oesterschulze, E.

    2017-12-01

    Wrinkle formation on surfaces is a phenomenon that is observed in layered systems with a compressed elastic thin capping layer residing on a viscoelastic film. So far, the properties of the viscoelastic material could only be changed replacing it by another material. Here, we propose to use a photosensitive material whose viscoelastic properties, Young's modulus, and glass transition temperature can easily be adjusted by the absorption of UV light. Employing UV lithography masks during the exposure, we gain additionally spatial and directional control of the self-assembled wrinkle pattern formation that relies on a spinodal decomposition process. Inspired by the results on surface wrinkling and its dependence on the intrinsic stress, we also derive a method to avoid wrinkling locally by tailoring the mechanical stress distribution in the layered system choosing UV masks with convex patterns. This is of particular interest in technical applications where the buckling of surfaces is undesirable.

  14. Manipulating the assembly of perovskites onto soft nanoimprinted titanium dioxide templates.

    PubMed

    Baca, Alfred J; Roberts, M Joseph; Stenger-Smith, John; Baldwin, Lawrence

    2018-06-22

    Soft nanoimprinted titanium dioxide (TiO 2 ) substrates decorated with methylammonium lead halide perovskite (MAPbI 3 ) crystals were fabricated by controlling the perovskite precursor concentration and volume during spin coat processing combined with the use of hydrophobic TiO 2 templates. The patterned growth was demonstrated with different perovskite crystallization methods. We investigated and successfully demonstrated the controlled assembly of two MAPbI 3 nanomaterials, one a nanocomposite formed between the perovskite and a hole conducting polymer poly(2,5-bis(N-methyl-N-hexylamino)phenylene vinylene) (BAMPPV), and a second formed from perovskite crystals using common solution based MAPbI 3 growth methods (1-step and 2-step processing). Both types of MAPbI 3 crystals were fabricated on hydrophobic TiO 2 nanotemplates composed of nanowells or grating patterns. Patterned areas as large as 100 μm × 100 μm were achieved. We examined and characterized the substrates using atomic force microscopy, scanning electron microscopy, x-ray diffraction, and energy dispersive spectroscopy. We present the optical properties (i.e. fluorescence and transmission) of soft nanoimprinted nanowells decorated with perovskites demonstrating the successful synthesis of MAPbI 3 perovskite nanocrystals. As an example of their use, we demonstrate a two terminal device and show photocurrent response of a perovskite patterned micro-grating. Our method is a nondestructive approach to nanopatterning perovskites, and produces patterned arrays that maintain their photo-electric properties. The results presented herein suggests an attractive route to developing nanopatterned and small area perovskite substrates for applications in photovoltaics, x-ray sensing/detection, image sensor arrays, and others.

  15. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  16. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  17. Comparison of "Nil by Mouth" Versus Early Oral Intake in Three Different Diet Regimens Following Esophagectomy.

    PubMed

    Eberhard, Kristine Elisabeth; Achiam, Michael Patrick; Rolff, Hans Christian; Belmouhand, Mohamed; Svendsen, Lars Bo; Thorsteinsson, Morten

    2017-06-01

    The literature on oral intake after esophagectomy and its influence on anastomotic leakage and complications is sparse. This retrospective study included 359 patients undergoing esophagectomy between January 2011 and August 2015. Three oral intake protocols were evaluated: regimen 1, nil by mouth until postoperative day (POD) 7 followed by a normal diet; regimen 2, oral intake of clear fluids from POD 1 followed by a normal diet; regimen 3, nil by mouth until POD 7 followed by a slow increase to a blended diet. The outcome endpoints were: (1) anastomotic leakage, (2) complications [severity and number described using the Dindo-Clavien Classification and Comprehensive Complication Index (CCI)] and (3) length of stay. A multivariate logistic regression model was obtained for CCI and anastomotic leakage using Wald's stepwise selection. CCI was significantly lower in regimen 3 (16 vs. 22 and 26 in regimen 1 and 2, p = 0.027). Additionally, significantly fewer patients in regimen 3 suffered from severe complications of Dindo-Clavien grade IIIb-IV (p = 0.025). The incidence of anastomotic leakage reached its lowest in regimen 3, 2%, compared to 7-9%. Multivariate analyses revealed that high American Society of Anesthesiologist score was a predicting factor for both CCI and anastomotic leakage. The study indicates that nil by mouth until postoperative day 7 followed by a slow increase to a blended diet after esophagectomy results in less severe complications and a tendency of fewer anastomotic leakages. Multiple comorbidities proved to be an important predictive factor of the postoperative course.

  18. Contamination and UV lasers: lessons learned

    NASA Astrophysics Data System (ADS)

    Daly, John G.

    2015-09-01

    Laser induced damage to optical elements has been a subject of significant research, development, and improvement, since the first lasers were built over the last 50 years. Better materials, with less absorption, impurities, and defects are available, as well as surface coatings with higher laser damage resistance. However, the presence of contamination (particles, surface deposition films, or airborne) can reduce the threshold for damage by several orders of magnitude. A brief review of the anticipated laser energy levels for damage free operation is presented as a lead into the problems associated with contamination for ultraviolet (UV) laser systems. As UV lasers become more common in applications especially in areas such as lithography, these problems have limited reliability and added to costs. This has been characterized as Airborne Molecular Contamination (AMC) in many published reports. Normal engineering guidelines such as screening materials within the optical compartment for low outgassing levels is the first step. The use of the NASA outgassing database (or similar test methods) with low Total Mass Loss (TML) and Condensed Collected Volatiles Collected Mass (CVCM) is a good baseline. Energetic UV photons are capable of chemical bond scission and interaction with surface contaminant or airborne materials results in deposition of obscuring film laser footprints that continue to degrade laser system performance. Laser systems with average powers less than 5 mW have been shown to exhibit aggressive degradation. Lessons learned over the past 15 years with UV laser contamination and steps to reduce risk will be presented.

  19. Combining nanofluidics and plasmonics for single molecule detection

    NASA Astrophysics Data System (ADS)

    West, Melanie M.

    Single molecule detection is limited by the small scattering cross-section of molecules which leads to weak optical signals that can be obscured by background noise. The combination of plasmonics and nanofluidics in an integrated nano-device has the potential to provide the signal enhancement necessary for the detection of single molecules. The purpose of this investigation was to optimize the fabrication of an optofluidic device that integrates a nanochannel with a plasmonic bowtie antenna. The fluidic structure of the device was fabricated using UV-nanoimprint lithography, and the gold plasmonic antennas were fabricated using a shadow evaporation and lift-off process. The effect of electron beam lithography doses on the resolution of antenna-nanochannel configurations was studied to minimize antenna gap size while maintaining the integrity of the imprinted features. The smallest antenna gap size that was achieved was 46 nm. The antennas were characterized using dark field spectroscopy to find the resonance shift, which indicated the appropriate range for optical signal enhancement. The dark field scattering results showed antennas with a broad and well-defined resonance shift that ranged from 650--800 nm. The Raman scattering results showed the highest enhancement factor (EF = 2) for antennas with an "inverted configuration," which involved having the triangles of the antenna facing back-to-back rather than the more conventional tip-to-tip bowtie arrangement.

  20. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  1. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  2. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  3. Rolling Contact Fatigue Life and Spall Propagation Characteristics of AISI M50, M50 NiL, and AISI 52100. Part 3. Metallurgical Examination (Preprint)

    DTIC Science & Technology

    2009-10-01

    bearing materials, namely AISI 52100, VIM-VAR M50 , and VIM-VAR M50 NiL steels . While...propagation characteristics of three bearing materials, namely AISI 52100, VIM-VAR M50 , and VIM-VAR M50 NiL steels . While there is substantial prior work...deformation from run in. Residual stress profiles for bearing 075B are shown in Figure 3. The bearing is made from M50 steel and the spall

  4. Effects of shoot inversion on stem structure in Pharbitis nil

    NASA Technical Reports Server (NTRS)

    Prasad, T. K.; Sack, F. D.; Cline, M. G.

    1988-01-01

    The effects of shoot inversion on stem structure over 72 hr were investigated in Pharbitis nil by analyzing cell number, cell length, and the cross sectional areas of cells, tissues, and regions. An increase in stem diameter can be attributed to an increase in both cell number and cross sectional area of pith (primarily) and vascular tissue (secondarily). Qualitative observations of cell wall thickness in the light microscope did not reveal any significant effects of shoot inversion on this parameter. The inhibition of shoot elongation was accompanied by a significant decrease in cell length in the pith. The results are generally consistent with an ethylene effect on cell dimensions, especially in the pith.

  5. Simple Multi-level Microchannel Fabrication by Pseudo-Grayscale Backside Diffused Light Lithography.

    PubMed

    Lai, David; Labuz, Joseph M; Kim, Jiwon; Luker, Gary D; Shikanov, Ariella; Takayama, Shuichi

    2013-11-14

    Photolithography of multi-level channel features in microfluidics is laborious and/or costly. Grayscale photolithography is mostly used with positive photoresists and conventional front side exposure, but the grayscale masks needed are generally costly and positive photoresists are not commonly used in microfluidic rapid prototyping. Here we introduce a simple and inexpensive alternative that uses pseudo-grayscale (pGS) photomasks in combination with backside diffused light lithography (BDLL) and the commonly used negative photoresist, SU-8. BDLL can produce smooth multi-level channels of gradually changing heights without use of true grayscale masks because of the use of diffused light. Since the exposure is done through a glass slide, the photoresist is cross-linked from the substrate side up enabling well-defined and stable structures to be fabricated from even unspun photoresist layers. In addition to providing unique structures and capabilities, the method is compatible with the "garage microfluidics" concept of creating useful tools at low cost since pGS BDLL can be performed with the use of only hot plates and a UV transilluminator: equipment commonly found in biology labs. Expensive spin coaters or collimated UV aligners are not needed. To demonstrate the applicability of pGS BDLL, a variety of weir-type cell traps were constructed with a single UV exposure to separate cancer cells (MDA-MB-231, 10-15 μm in size) from red blood cells (RBCs, 2-8 μm in size) as well as follicle clusters (40-50 μm in size) from cancer cells (MDA-MB-231, 10-15 μm in size).

  6. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  7. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  8. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  9. The control of apical dominance: localization of the growth region of the Pharbitis nil shoot

    NASA Technical Reports Server (NTRS)

    Prasad, T. K.; Cline, M. G.

    1986-01-01

    The growing region of the upright Pharbitis nil shoot extends over a distance 13 cm basipetal to the shoot apex. When the shoot is inverted, ethylene production in this region is greatly enhanced whereas stem elongation is significantly inhibited. This growth region is ethylene-sensitive and the restriction of its growth by shoot inversion-induced ethylene may mediate the release of apical dominance.

  10. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  11. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  12. Interfacial Surface Modification via Nanoimprinting to Increase Open-Circuit Voltage of Organic Solar Cells

    NASA Astrophysics Data System (ADS)

    Emah, Joseph B.; George, Nyakno J.; Akpan, Usenobong B.

    2017-08-01

    The low-cost patterning of poly(3,4-ethylenedioxythiophene) poly(4-styrenesulfonate) (PEDOT:PSS) interfacial layers inserted between indium tin oxide and poly(3-hexylthiophene-2,5-diyl):[6,6]-phenyl-C61-butyric acid ester blends leads to an improvement in organic photovoltaics (OPV) device performance. Significantly, improvements in all device parameters, including the open-circuit voltage, are achieved. The nanoimprinted devices improved further as the pattern period and imprinting depth was reduced from 727 nm and 42 nm to 340 nm and 10 nm, respectively. A residue of poly(dimethylsiloxane) (PDMS) is found on the interfacial PEDOT:PSS film following patterning and can be used to explain the increase in OPV performance. Ultraviolet photoelectron spectroscopy measurements of the PEDOT:PSS interfacial layer demonstrated a reduction of the work function of 0.4 eV following nanoimprinting which may originate from chemical modification of the PDMS residue or interfacial dipole formation supported by x-ray photoelectron spectroscopy analysis. Ultimately, we have demonstrated a 39% improvement in OPV device performance via a simple low-cost modification of the anode interfacial layer. This improvement can be assigned to two effects resulting from a PDMS residue on the PEDOT:PSS surface: (1) the reduction of the anode work function which in turn decreases the hole extraction barrier, and (2) the reduction of electron transfer from the highest occupied molecular orbital of PCBM to the anode.

  13. Free-standing coating patterns fabricated by ultraviolet contact lithography using photosensitive sol-gel coatings

    NASA Astrophysics Data System (ADS)

    Xiang, Youlai; Du, Ai; Li, Xiaoguang; Sun, Wei; Wu, Shuai; Li, Tiemin; Liu, Mingfang; Zhou, Bin

    2017-07-01

    Photosensitive ZrO2-SiO2 hybrid sol-gel coatings containing large contents of chelating rings were prepared by using the zirconium n-butoxide (TBOZ) and methyltriethoxysilane (MTES) as hybrid precursors, and benzoylacetone (BZAC) as chelating agent. The change of ultraviolet (UV) absorption spectra, chemical composition, and optical properties of ZrO2-SiO2 hybrid sol-gel coatings were analyzed before and after UV exposure and calcination. The refractive index of the ZrO2-SiO2 hybrid gel coatings decreased from 1.673 to 1.561 with the increase of the molar content of MTES in precursors. The sol-gel coating patterns with the periods of 20.24 μm, 10.11 μm and 3.99 μm on the PAMS substrates were firstly obtained by using the photosensitive ZrO2-SiO2 hybrid sol-gel films as fundamental materials through a process of UV contact lithography with photo masks and etching with ethanol. Finally, the free-standing gel coating patterns supported by copper grids, with the period of 12.70 μm and line width of 4.93 μm, and the period of 14.20 μm and line width of 3.82 μm, were obtained by removing the PAMS thermal degradation sacrifice layer after being calcined at 330 °C. Micrometer-periodic free-standing gel coating patterns with different structure have potential applications in the laser physical experiments.

  14. Efficient room temperature hydrogen sensor based on UV-activated ZnO nano-network

    NASA Astrophysics Data System (ADS)

    Kumar, Mohit; Kumar, Rahul; Rajamani, Saravanan; Ranwa, Sapana; Fanetti, Mattia; Valant, Matjaz; Kumar, Mahesh

    2017-09-01

    Room temperature hydrogen sensors were fabricated from Au embedded ZnO nano-networks using a 30 mW GaN ultraviolet LED. The Au-decorated ZnO nano-networks were deposited on a SiO2/Si substrate by a chemical vapour deposition process. X-ray diffraction (XRD) spectrum analysis revealed a hexagonal wurtzite structure of ZnO and presence of Au. The ZnO nanoparticles were interconnected, forming nano-network structures. Au nanoparticles were uniformly distributed on ZnO surfaces, as confirmed by FESEM imaging. Interdigitated electrodes (IDEs) were fabricated on the ZnO nano-networks using optical lithography. Sensor performances were measured with and without UV illumination, at room temperate, with concentrations of hydrogen varying from 5 ppm to 1%. The sensor response was found to be ˜21.5% under UV illumination and 0% without UV at room temperature for low hydrogen concentration of 5 ppm. The UV-photoactivated mode enhanced the adsorption of photo-induced O- and O2- ions, and the d-band electron transition from the Au nanoparticles to ZnO—which increased the chemisorbed reaction between hydrogen and oxygen. The sensor response was also measured at 150 °C (without UV illumination) and found to be ˜18% at 5 ppm. Energy efficient low cost hydrogen sensors can be designed and fabricated with the combination of GaN UV LEDs and ZnO nanostructures.

  15. Climatic factors influence leaf structure and thereby affect the ozone sensitivity of Ipomoea nil 'Scarlet O'Hara'.

    PubMed

    Moura, Bárbara B; Alves, Edenise S

    2014-11-01

    Phenotypic plasticity of the leaves can interfere with the plant sensitivity to ozone (O3) toxic effect. This study aimed to assess whether the leaf structure of Ipomoea nil changes due to climatic variations and whether these changes affect the species' sensitivity. Field exposures, in different seasons (winter and spring) were made. The leaves that developed during the winter were thinner, with a lower proportion of photosynthetic tissues, higher proportion of intercellular spaces and lower density and stomatal index compared to those developed during the spring. The temperature and relative humidity positively influenced the leaf thickness and stomatal index. The visible injuries during winter were positively correlated with the palisade parenchyma thickness and negatively correlated with the percentage of spongy parenchyma; during the spring, the symptoms were positively correlated with the stomatal density. In conclusion, the leaf structure of I. nil varied among the seasons, interfering in its sensitivity to O3. Copyright © 2014 Elsevier Ltd. All rights reserved.

  16. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  17. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  18. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  19. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  20. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  1. High-definition micropatterning method for hard, stiff and brittle polymers.

    PubMed

    Zhao, Yiping; Truckenmuller, Roman; Levers, Marloes; Hua, Wei-Shu; de Boer, Jan; Papenburg, Bernke

    2017-02-01

    Polystyrene (PS) is the most commonly used material in cell culture devices, such as Petri dishes, culture flasks and well plates. Micropatterning of cell culture substrates can significantly affect cell-material interactions leading to an increasing interest in the fabrication of topographically micro-structured PS surfaces. However, the high stiffness combined with brittleness of PS (elastic modulus 3-3.5GPa) makes high-quality patterning into PS difficult when standard hard molds, e.g. silicon and nickel, are used as templates. A new and robust scheme for easy processing of large-area high-density micro-patterning into PS film is established using nanoimprinting lithography and standard hot embossing techniques. Including an extra step through an intermediate PDMS mold alone does not result in faithful replication of the large area, high-density micropattern into PS. Here, we developed an approach using an additional intermediate mold out of OrmoStamp, which allows for high-quality and large-area micro-patterning into PS. OrmoStamp was originally developed for UV nanoimprint applications; this work demonstrates for the first time that OrmoStamp is a highly adequate material for micro-patterning of PS through hot embossing. Our proposed processing method achieves high-quality replication of micropatterns in PS, incorporating features with high aspect ratio (4:1, height:width), high density, and over a large pattern area. The proposed scheme can easily be adapted for other large-area and high-density micropatterns of PS, as well as other stiff and brittle polymers. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Defect reduction of high-density full-field patterns in jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Lovejeet; Luo, Kang; Ye, Zhengmao; Xu, Frank; Haase, Gaddi; Curran, David; LaBrake, Dwayne; Resnick, Douglas; Sreenivasan, S. V.

    2011-04-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed leaving a patterned resist on the substrate. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the defect specifications of high end memory devices. Typical defectivity targets are on the order of 0.10/cm2. This work summarizes the results of defect inspections focusing on two key defect types; random non-fill defects occurring during the resist filling process and repeater defects caused by interactions with particles on the substrate. Non-fill defectivity must always be considered within the context of process throughput. The key limiting throughput step in an imprint process is resist filling time. As a result, it is critical to characterize the filling process by measuring non-fill defectivity as a function of fill time. Repeater defects typically have two main sources; mask defects and particle related defects. Previous studies have indicated that soft particles tend to cause non-repeating defects. Hard particles, on the other hand, can cause either resist plugging or mask damage. In this work, an Imprio 500 twenty wafer per hour (wph) development tool was used to study both defect types. By carefully controlling the volume of inkjetted resist, optimizing the drop pattern and controlling the resist fluid front during spreading, fill times of 1.5 seconds were achieved with non-fill defect levels of approximately 1.2/cm2. Longevity runs were used to study repeater defects and a nickel

  3. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  4. Highly Loaded Mesoporous Silica/Nanoparticle Composites and Patterned Mesoporous Silica Films

    NASA Astrophysics Data System (ADS)

    Kothari, Rohit; Hendricks, Nicholas R.; Wang, Xinyu; Watkins, James J.

    2014-03-01

    Novel approaches for the preparation of highly filled mesoporous silica/nanoparticle (MS/NP) composites and for the fabrication of patterned MS films are described. The incorporation of iron platinum NPs within the walls of MS is achieved at high NP loadings by doping amphiphilic poly(ethylene oxide-b-propylene oxide-b-ethylene oxide) (Pluronic®) copolymer templates via selective hydrogen bonding between the pre-synthesized NPs and the hydrophilic portion of the block copolymer. The MS is then synthesized by means of phase selective condensation of tetraethylorthosilicate (TEOS) within the NP loaded block copolymer templates dilated with supercritical carbon dioxide (scCO2) followed by calcination. For patterned films, microphase separated block copolymer/small molecule additive blends are patterned using UV-assisted nanoimprint lithography. Infusion and condensation of a TEOS within template films using ScCO2 as a processing medium followed by calcination yields the patterned MS films. Scanning electron microscopy is used characterize pattern fidelity and transmission electron microscopy analysis confirms the presence of the mesopores. Long range order in nanocomposites is confirmed by low angle x-ray diffraction.

  5. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  6. Critical dimensional linewidth calibration using UV microscope and laser interferometry

    NASA Astrophysics Data System (ADS)

    Li, Qi; Gao, Si-tian; Li, Wei; Lu, Ming-zhen; Zhang, Ming-kai

    2013-10-01

    In order to calibrate the critical dimensional (CD) uncertainty of lithography masks in semiconductor manufacturing, NIM is building a two dimensional metrological UV microscope which has traceable measurement ability for nanometer linewidths and pitches. The microscope mainly consists of UV light receiving components, piezoelectric ceramics (PZT) driven stage and interferometer calibration framework. In UV light receiving components they include all optical elements on optical path. The UV light originates from Köhler high aperture transmit/reflect illumination sources; then goes through objective lens to UV splitting optical elements; after that, one part of light attains UV camera for large range calibration, the other part of light passes through a three dimensional adjusted pinhole and is collected by PMT for nanoscale scanning. In PZT driven stage, PZT stick actuators with closed loop control are equipped to push/pull a flexural hinge based platform. The platform has a novel designed compound flexural hinges which nest separate X, Y direction moving mechanisms within one layer but avoiding from mutual cross talk, besides this, the hinges also contain leverage structures to amplify moving distance. With these designs, the platform can attain 100 μm displacement ranges as well as 1 nm resolution. In interferometer framework a heterodyne multi-pass interferometer is mounted on the platform, which measures X-Y plane movement and Z axis rotation, through reference mirror mounted on objective lens tube and Zerodur mirror mounted on PZT platform, the displacement is traced back to laser wavelength. When development is finished, the apparatus can offer the capability to calibrate one dimensional linewidths and two dimensional pitches ranging from 200nm to 50μm with expanded uncertainty below 20nm.

  7. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  8. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  9. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  10. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  11. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  12. Capillary Force Lithography for Cardiac Tissue Engineering

    PubMed Central

    Macadangdang, Jesse; Lee, Hyun Jung; Carson, Daniel; Jiao, Alex; Fugate, James; Pabon, Lil; Regnier, Michael; Murry, Charles; Kim, Deok-Ho

    2014-01-01

    Cardiovascular disease remains the leading cause of death worldwide1. Cardiac tissue engineering holds much promise to deliver groundbreaking medical discoveries with the aims of developing functional tissues for cardiac regeneration as well as in vitro screening assays. However, the ability to create high-fidelity models of heart tissue has proven difficult. The heart’s extracellular matrix (ECM) is a complex structure consisting of both biochemical and biomechanical signals ranging from the micro- to the nanometer scale2. Local mechanical loading conditions and cell-ECM interactions have recently been recognized as vital components in cardiac tissue engineering3-5. A large portion of the cardiac ECM is composed of aligned collagen fibers with nano-scale diameters that significantly influences tissue architecture and electromechanical coupling2. Unfortunately, few methods have been able to mimic the organization of ECM fibers down to the nanometer scale. Recent advancements in nanofabrication techniques, however, have enabled the design and fabrication of scalable scaffolds that mimic the in vivo structural and substrate stiffness cues of the ECM in the heart6-9. Here we present the development of two reproducible, cost-effective, and scalable nanopatterning processes for the functional alignment of cardiac cells using the biocompatible polymer poly(lactide-co-glycolide) (PLGA)8 and a polyurethane (PU) based polymer. These anisotropically nanofabricated substrata (ANFS) mimic the underlying ECM of well-organized, aligned tissues and can be used to investigate the role of nanotopography on cell morphology and function10-14. Using a nanopatterned (NP) silicon master as a template, a polyurethane acrylate (PUA) mold is fabricated. This PUA mold is then used to pattern the PU or PLGA hydrogel via UV-assisted or solvent-mediated capillary force lithography (CFL), respectively15,16. Briefly, PU or PLGA pre-polymer is drop dispensed onto a glass coverslip and the PUA

  13. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  14. 100-nm gate lithography for double-gate transistors

    NASA Astrophysics Data System (ADS)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  15. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  16. Marker-assisted NIL development of an Oryza sativa x Oryza rufipogon cross using SSRs, InDels and SNPs

    USDA-ARS?s Scientific Manuscript database

    A set of near isogenic lines (NILs) with introgressions from O. rufipogon (IRGC 105491) in the genetic background of an elite US variety, cv Jefferson, were developed to confirm the performance of six yield-enhancing QTLs identified in a previous study. Approximately 200 SSRs were used to evaluate ...

  17. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  18. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  19. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  20. Assembly of metallic nanoparticle arrays on glass via nanoimprinting and thin-film dewetting

    PubMed Central

    Lee, Sun-Kyu; Hwang, Sori; Kim, Yoon-Kee

    2017-01-01

    We propose a nanofabrication process to generate large-area arrays of noble metal nanoparticles on glass substrates via nanoimprinting and dewetting of metallic thin films. Glass templates were made via pattern transfer from a topographic Si mold to an inorganically cross-linked sol–gel (IGSG) resist on glass using a two-layer polydimethylsiloxane (PDMS) stamp followed by annealing, which turned the imprinted resist into pure silica. The transparent, topographic glass successfully templated the assembly of Au and Ag nanoparticle arrays via thin-film deposition and dewetting at elevated temperatures. The microstructural and mechanical characteristics that developed during the processes were discussed. The results are promising for low-cost mass fabrication of devices for several photonic applications. PMID:28546899

  1. Assembly of metallic nanoparticle arrays on glass via nanoimprinting and thin-film dewetting.

    PubMed

    Lee, Sun-Kyu; Hwang, Sori; Kim, Yoon-Kee; Oh, Yong-Jun

    2017-01-01

    We propose a nanofabrication process to generate large-area arrays of noble metal nanoparticles on glass substrates via nanoimprinting and dewetting of metallic thin films. Glass templates were made via pattern transfer from a topographic Si mold to an inorganically cross-linked sol-gel (IGSG) resist on glass using a two-layer polydimethylsiloxane (PDMS) stamp followed by annealing, which turned the imprinted resist into pure silica. The transparent, topographic glass successfully templated the assembly of Au and Ag nanoparticle arrays via thin-film deposition and dewetting at elevated temperatures. The microstructural and mechanical characteristics that developed during the processes were discussed. The results are promising for low-cost mass fabrication of devices for several photonic applications.

  2. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  3. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  4. Synthesis of photobleachable deep UV resists based on single component nonchemically amplified resist system

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung-Seon; Kim, Su-Min; Park, Ji-Young; Kim, Jin-Baek

    2006-03-01

    In a general way, non-CARs consist of the matrix resins and photoactive compounds (PACs), and the dissolution properties of the resists are dependent on the amount of PACs. In common, I-line and G-line resists based on novolac and diazonaphthoquinone (DNQ) are typical non-CARs. But most PACs absorb much light in the deep UV, and they are poorly photobleached by deep UV exposure. This strong absorption of PACs prevents the deep UV light from reaching the bottom of the resist film, leading to scum and sloped pattern profiles. Several PACs which contain diazoketo groups have been reported for deep UV lithography. Our goal in this investigation is to find a proper resist that is processable without photoacid generator and induces both photobleaching in the deep UV regions and polarity change upon exposure. We thought diazoketo groups attached to the polymer side chains could give such effects. There is no necessity for the post-exposure bake step that is the cause of acid-diffusion. The diazoketo groups undergo the Wolff rearrangement upon irradiation in the deep UV, affording ketenes that react with water to provide base soluble photoproducts. The polymers were synthesized by radical copolymerization of 2-(2-diazo-3-oxo-butyryloxy)-ethyl methacrylate, 2-hydroxyethyl methacrylate, and γ-butyrolacton-2-yl methacrylate. The single component resist showed 0.7μm line and space patterns using a mercury-xenon lamp in a contact printing mode.

  5. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  6. Effects of post exposure bake temperature and exposure time on SU-8 nanopattern obtained by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Yasui, Manabu; Kazawa, Elito; Kaneko, Satoru; Takahashi, Ryo; Kurouchi, Masahito; Ozawa, Takeshi; Arai, Masahiro

    2014-11-01

    SU-8 is a photoresist imaged using UV rays. However, we investigated the characteristics of an SU-8 nanopattern obtained by electron beam lithography (EBL). In particular, we studied the relationship between post-exposure bake (PEB) temperature and exposure time on an SU-8 nanopattern with a focus on phase transition temperature. SU-8 residue was formed by increasing both PEB temperature and exposure time. To prevent the formation of this, Monte Carlo simulation was performed; the results of such simulation showed that decreasing the thickness of SU-8 can reduce the amount of residue from the SU-8 nanopattern. We confirmed that decreasing the thickness of SU-8 can also prevent the formation of residue from the SU-8 nanopattern with EBL.

  7. Giant cross polarization in a nanoimprinted metamaterial combining a fishnet with its Babinet complement.

    PubMed

    Dong, Lin; Haslinger, Michael J; Danzberger, Jürgen; Bergmair, Iris; Hingerl, Kurt; Hrelescu, Calin; Klar, Thomas A

    2015-07-27

    We present a large area (1 cm2) nanoimprinted metamaterial comprising a fishnet structure and its Babinet complement, which shows giant cross polarization. When illuminated with s-polarized light, the reflected beam can be p-polarized up to 96%, depending on the azimuthal orientation of the sample. This experimental result is close to the result of numerical simulations, which predict 98.7% of cross-polarization. It is further shown, that 95-100% cross polarization is only achieved in the case when the fishnet is combined with its Babinet complement. Each structure alone (either an ordinary fishnet or a plane with metallic rectangles only) shows substantially less polarization conversion.

  8. Photonic crystal enhanced fluorescence using a quartz substrate to reduce limits of detection

    PubMed Central

    Pokhriyal, Anusha; Lu, Meng; Chaudhery, Vikram; Huang, Cheng-Sheng; Schulz, Stephen; Cunningham, Brian T.

    2010-01-01

    A Photonic Crystal (PC) surface fabricated upon a quartz substrate using nanoimprint lithography has been demonstrated to enhance light emission from fluorescent molecules in close proximity to the PC surface. Quartz was selected for its low autofluorescence characteristics compared to polymer-based PCs, improving the detection sensitivity and signal-to-noise ratio (SNR) of PC Enhanced Fluorescence (PCEF). Nanoimprint lithography enables economical fabrication of the subwavelength PCEF surface structure over entire 1x3 in2 quartz slides. The demonstrated PCEF surface supports a transverse magnetic (TM) resonant mode at a wavelength of λ = 632.8 nm and an incident angle of θ = 11°, which amplifies the electric field magnitude experienced by surface-bound fluorophores. Meanwhile, another TM mode at a wavelength of λ = 690 nm and incident angle of θ = 0° efficiently directs the fluorescent emission toward the detection optics. An enhancement factor as high as 7500 × was achieved for the detection of LD-700 dye spin-coated upon the PC, compared to detecting the same material on an unpatterned glass surface. The detection of spotted Alexa-647 labeled polypeptide on the PC exhibits a 330 × SNR improvement. Using dose-response characterization of deposited fluorophore-tagged protein spots, the PCEF surface demonstrated a 140 × lower limit of detection compared to a conventional glass substrate. PMID:21164826

  9. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  10. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  11. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  12. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  13. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  14. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    PubMed

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  15. Effect of gravity on apical dominance in Pharbitis nil.

    PubMed

    Kitazawa, Daisuke; Fujii, Nobuharu; Suge, Hiroshi; Takahashi, Hideyuki

    2003-10-01

    When the upper part of main shoot of morning glory (Pharbitis nil) is gently bent down, lateral bud on the bending region is released from apical dominance and starts to elongate. But, clinorotating the bending shoots prevents the release of the lateral bud from apical dominance. These results suggest that gravity affects apical dominance in morning glory. Here we verified the gravity-regulated apical dominance by using a weeping morning glory defective in gravitropic response due to abnormal differentiation of endodermis. That is, bending main shoot of the weeping morning glory hardly caused the lateral bud to elongate. In addition, decapitation of apical bud released the lateral bud from apical dominance, and exogenous auxin applied to the cut surface of the decapitated stem was inhibitory to the outgrowth of the lateral bud in the wild type. However, the effect of auxin was much less in the weeping morning glory. Thus, apical dominance of the weeping morning glory was weaker and less influenced by gravity than that of the wild type, which could occur due to abnormal differentiation of endodermis required for graviperception.

  16. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  17. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  18. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  19. Nanoimprinting-induced nanomorphological transition in polymer solar cells: enhanced electrical and optical performance.

    PubMed

    Jeong, Seonju; Cho, Changsoon; Kang, Hyunbum; Kim, Ki-Hyun; Yuk, Youngji; Park, Jeong Young; Kim, Bumjoon J; Lee, Jung-Yong

    2015-03-24

    We have investigated the effects of a directly nanopatterned active layer on the electrical and optical properties of inverted polymer solar cells (i-PSCs). The capillary force in confined molds plays a critical role in polymer crystallization and phase separation of the film. The nanoimprinting process induced improved crystallization and multidimensional chain alignment of polymers for more effective charge transfer and a fine phase-separation between polymers and [6,6]-phenyl-C71-butyric acid methyl ester (PC71BM) to favor exciton dissociation and increase the generation rate of charge transfer excitons. Consequently, the power conversion efficiency with a periodic nanostructure was enhanced from 7.40% to 8.50% and 7.17% to 9.15% in PTB7 and PTB7-Th based i-PSCs, respectively.

  20. Multilayer metal-oxide-metal nanopatterns via nanoimprint and strip-off for multispectral resonance

    NASA Astrophysics Data System (ADS)

    Jeon, Sohee; Sung, Sang-Keun; Jang, Eun-Hwan; Jeong, Junho; Surabhi, Srivathsava; Choi, Jun-Hyuk; Jeong, Jong-Ryul

    2018-01-01

    A fabrication technology for multispectral plasmonic resonators is presented on a basis of metal-insulator-metal (MIM) nanopattern arrays. Resonators comprised of MIM nanopatterns were fabricated using nanoimprint-based transfer and strip-off following MIM depositions. Two different kinds of configuration (web and hole) were developed for three and five layers of MIMs. The corresponding measured transmittance and reflectance spectroscopies were compared to their counterpart finite difference time domain (FDTD) simulation results. The results implied various plasmonic resonance couplings occurred at different locations around the metal structures, dependent on the layer and array configuration. By tuning the model geometry and simulation conditions, agreement between the experimental results and simulation was achieved. This work is believed to provide a viable fabrication method for multispectral resonance filters or sensors.

  1. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  2. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  3. High Quality 3D Photonics using Nano Imprint Lithography of Fast Sol-gel Materials.

    PubMed

    Bar-On, Ofer; Brenner, Philipp; Siegle, Tobias; Gvishi, Raz; Kalt, Heinz; Lemmer, Uli; Scheuer, Jacob

    2018-05-18

    A method for the realization of low-loss integrated optical components is proposed and demonstrated. This approach is simple, fast, inexpensive, scalable for mass production, and compatible with both 2D and 3D geometries. The process is based on a novel dual-step soft nano imprint lithography process for producing devices with smooth surfaces, combined with fast sol-gel technology providing highly transparent materials. As a concrete example, this approach is demonstrated on a micro ring resonator made by direct laser writing (DLW) to achieve a quality factor improvement from one hundred thousand to more than 3 million. To the best of our knowledge this also sets a Q-factor record for UV-curable integrated micro-ring resonators. The process supports the integration of many types of materials such as light-emitting, electro-optic, piezo-electric, and can be readily applied to a wide variety of devices such as waveguides, lenses, diffractive elements and more.

  4. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  5. Welding of nickel-base superalloys having a nil-ductility range

    NASA Technical Reports Server (NTRS)

    Smashey, Russell W. (Inventor); Kelly, Thomas J. (Inventor); Snyder, John H. (Inventor); Sheranko, Ronald L. (Inventor)

    1999-01-01

    An article made of a nickel-base superalloy having a nil-ductility range from the solidus temperature of the alloy to about 600.degree. F. below the solidus temperature is welded, as for example in the weld repair of surface cracks, by removing foreign matter from the area to be welded, first stress relieving the article, adjusting the temperature of the article to a welding temperature of from about 1800.degree. F. to about 2100.degree. F., welding a preselected area in an inert atmosphere at the welding temperature, and second stress relieving the article. Welding is preferably accomplished by striking an arc in the preselected area so as to locally melt the alloy in the preselected area, providing a filler metal having the same composition as the nickel-based superalloy of the article, and feeding the filler metal into the arc so that the filler metal is melted and fused with the article to form a weldment upon solidification.

  6. Extreme-UV lithography system

    DOEpatents

    Replogle, William C.; Sweatt, William C.

    2001-01-01

    A photolithography system that employs a condenser that includes a series of aspheric mirrors on one side of a small, incoherent source of radiation producing a series of beams is provided. Each aspheric mirror images the quasi point source into a curved line segment. A relatively small arc of the ring image is needed by the camera; all of the beams are so manipulated that they all fall onto this same arc needed by the camera. Also, all of the beams are aimed through the camera's virtual entrance pupil. The condenser includes a correcting mirror for reshaping a beam segment which improves the overall system efficiency. The condenser efficiently fills the larger radius ringfield created by today's advanced camera designs. The system further includes (i) means for adjusting the intensity profile at the camera's entrance pupil or (ii) means for partially shielding the illumination imaging onto the mask or wafer. The adjusting means can, for example, change at least one of: (i) partial coherence of the photolithography system, (ii) mask image illumination uniformity on the wafer or (iii) centroid position of the illumination flux in the entrance pupil. A particularly preferred adjusting means includes at least one vignetting mask that covers at least a portion of the at least two substantially equal radial segments of the parent aspheric mirror.

  7. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  8. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  9. Large-scale fabrication of polymer/Ag core-shell nanorod array as flexible SERS substrate by combining direct nanoimprint and electroless deposition

    NASA Astrophysics Data System (ADS)

    Liu, Sisi; Xu, Zhimou; Sun, Tangyou; Zhao, Wenning; Wu, Xinghui; Ma, Zhichao; Xu, Haifeng; He, Jian; Chen, Cunhua

    2014-06-01

    We demonstrate a highly sensitive surface-enhanced Raman scattering (SERS) substrate, which consists of Ag nanoparticles (NPs) assembled on the surface of a nanopatterned polymer film. The fabrication route of a polymer/Ag core-shell nanorod (PACSN) array employed a direct nanoimprint technique to create a high-resolution polymer nanorod array. The obtained nanopatterned polymer film was subjected to electroless deposition to form a sea-cucumber-like Ag shell over the surface of the polymer nanorod. The morphology and structures of PACSNs were analyzed by using scanning electron microscopy and X-ray diffraction. The as-synthesized PACSNs exhibited a remarkable SERS activity and Raman signal reproducibility to rhodamine 6G, and a concentration down to 10-12 M can be identified. The effect of electroless deposition time of Ag NPs onto the polymer nanorod surface was investigated. It was found that the electroless deposition time played an important role in SERS activity. Our results revealed that the combination of direct nanoimprint and electroless deposition provided a convenient and cost-effective way for large-scale fabrication of reliable SERS substrates without the requirement of expensive instruments.

  10. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  11. Two-dimensional grating guided-mode resonance tunable filter.

    PubMed

    Kuo, Wen-Kai; Hsu, Che-Jung

    2017-11-27

    A two-dimensional (2D) grating guided-mode resonance (GMR) tunable filter is experimentally demonstrated using a low-cost two-step nanoimprinting technology with a one-dimensional (1D) grating polydimethylsiloxane mold. For the first nanoimprinting, we precisely control the UV LED irradiation dosage and demold the device when the UV glue is partially cured and the 1D grating mold is then rotated by three different angles, 30°, 60°, and 90°, for the second nanoimprinting to obtain 2D grating structures with different crossing angles. A high-refractive-index film ZnO is then coated on the surface of the grating structure to form the GMR filter devices. The simulation and experimental results demonstrate that the passband central wavelength of the filter can be tuned by rotating the device to change azimuth angle of the incident light. We compare these three 2D GMR filters with differential crossing angles and find that the filter device with a crossing angle of 60° exhibits the best performance. The tunable range of its central wavelength is 668-742 nm when the azimuth angle varies from 30° to 90°.

  12. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  13. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  14. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  15. Genetic dissection of the (poly)phenol profile of diploid strawberry (Fragaria vesca) fruits using a NIL collection.

    PubMed

    Urrutia, Maria; Schwab, Wilfried; Hoffmann, Thomas; Monfort, Amparo

    2016-01-01

    Over the last few years, diploid strawberry (Fragaria vesca) has been recognized as a model species for applied research of cultivated strawberry (Fragaria × ananassa) that is one of the most economically important crops. Berries, particularly strawberries, are known for their high antioxidant capacity due to a high concentration of (poly) phenolic compounds. Studies have already characterized the phenolic composition of fruits from sets of cultivated strawberries but the quantification of phenolics in a Fragaria mapping population has not been reported, yet. The metabolite profiling of a F. vesca near isogenic line (NIL) collection by LC-MS allowed the unambiguous identification of 22 (poly)-phenols, including anthocyanins, flavonols, flavan-3-ols, flavanones, hydroxycinnamic acid derivatives, and ellagic acid in the diploid strawberry fruit. The variability in the collection revealed that the genetic factor was more decisive than the environmental factor for the accumulation of 18 of the 24 compounds. Genotyping the NIL collection with the Axiom® IStraw90® SNPs array, we were able to map 76 stable QTLs controlling accumulation of the (poly)-phenolic compounds. They provide a powerful new tool to characterise candidate genes to increase the antioxidant capacity of fruits and produce healthier strawberries for consumers. Copyright © 2015 The Authors. Published by Elsevier Ireland Ltd.. All rights reserved.

  16. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  17. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  18. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  19. Design and fabrication of label-free biochip using a guided mode resonance filter with nano grating structures by injection molding process.

    PubMed

    Cho, E; Kim, B; Choi, S; Han, J; Jin, J; Han, J; Lim, J; Heo, Y; Kim, S; Sung, G Y; Kang, S

    2011-01-01

    This paper introduces technology to fabricate a guided mode resonance filter biochip using injection molding. Of the various nanofabrication processes that exist, injection molding is the most suitable for the mass production of polymer nanostructures. Fabrication of a nanograting pattern for guided mode resonance filters by injection molding requires a durable metal stamp, because of the high injection temperature and pressure. Careful consideration of the optimized process parameters is also required to achieve uniform sub-wavelength gratings with high fidelity. In this study, a metallic nanostructure pattern to be used as the stamp for the injection molding process was fabricated using electron beam lithography, a UV nanoimprinting process, and an electroforming process. A one-dimensional nanograting substrate was replicated by injection molding, during which the process parameters were controlled. To evaluate the geometric quality of the injection molded nanograting patterns, the surface profile of the fabricated nanograting for different processing conditions was analyzed using an atomic force microscope and a scanning electron microscope. Finally, to demonstrate the feasibility of the proposed process for fabricating guided mode resonance filter biochips, a high-refractive-index material was deposited on the polymer nanograting and its guided mode resonance characteristics were analyzed.

  20. Flexible organic light-emitting diodes with enhanced light out-coupling efficiency fabricated on a double-sided nanotextured substrate.

    PubMed

    Luo, Yu; Wang, Chunhui; Wang, Li; Ding, Yucheng; Li, Long; Wei, Bin; Zhang, Jianhua

    2014-07-09

    High-efficiency organic light-emitting diodes (OLEDs) have generated tremendous research interest. One of the exciting possibilities of OLEDs is the use of flexible plastic substrates, which unfortunately have a mismatching refractive index compared with the conventional ITO anode and the air. To unlock the light loss on flexible plastic, we report a high-efficiency flexible OLED directly fabricated on a double-sided nanotextured polycarbonate substrate by thermal nanoimprint lithography. The template for the nanoimprint process is a replicate from a silica arrayed with nanopillars and fabricated by ICP etching through a SiO2 colloidal spheres mask. It has been shown that with the internal quasi-periodical scattering gratings the efficiency enhancement can reach 50% for a green light OLED, and with an external antireflection structure, the normal transmittance is increased from 89% to 94% for paraboloid-like pillars. The OLED directly fabricated on the double-sided nanotextured polycarbonate substrate has reached an enhancing factor of ∼2.8 for the current efficiency.

  1. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  2. Patterning and photoluminescent properties of perovskite-type organic/inorganic hybrid luminescent films by soft lithography

    NASA Astrophysics Data System (ADS)

    Cheng, Z. Y.; Wang, Z.; Xing, R. B.; Han, Y. C.; Lin, J.

    2003-07-01

    Perovskite-type organic/inorganic hybrid layered compound (C 6H 5C 2H 4NH 3) 2PbI 4 was synthesized. The patterning of (C 6H 5C 2H 4NH 3) 2PbI 4 thin films on silicon substrate was realized by the micromolding in capillaries (MIMIC) process, a kind of soft lithography. Bright green luminescent stripes with different widths (50, 15, 0.8 μm) have been obtained. The structure and optical properties of (C 6H 5C 2H 4NH 3) 2PbI 4 films were characterized by X-ray diffraction (XRD), UV/Vis absorption and photoluminescence excitation and emission spectra, respectively. It is shown that the organic-inorganic layered (C 6H 5C 2H 4NH 3) 2PbI 4 film was c-axis oriented, paralleling to the substrate plane. Green exciton emission at 525 nm was observed in the film, and the explanations for it were given.

  3. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  4. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  5. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  6. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  7. Nanoimprint-Assisted Shear Exfoliation (NASE) for Producing Multilayer MoS2 Structures as Field-Effect Transistor Channel Arrays.

    PubMed

    Chen, Mikai; Nam, Hongsuk; Rokni, Hossein; Wi, Sungjin; Yoon, Jeong Seop; Chen, Pengyu; Kurabayashi, Katsuo; Lu, Wei; Liang, Xiaogan

    2015-09-22

    MoS2 and other semiconducting transition metal dichalcogenides (TMDCs) are of great interest due to their excellent physical properties and versatile chemistry. Although many recent research efforts have been directed to explore attractive properties associated with MoS2 monolayers, multilayer/few-layer MoS2 structures are indeed demanded by many practical scale-up device applications, because multilayer structures can provide sizable electronic/photonic state densities for driving upscalable electrical/optical signals. Currently there is a lack of processes capable of producing ordered, pristine multilayer structures of MoS2 (or other relevant TMDCs) with manufacturing-grade uniformity of thicknesses and electronic/photonic properties. In this article, we present a nanoimprint-based approach toward addressing this challenge. In this approach, termed as nanoimprint-assisted shear exfoliation (NASE), a prepatterned bulk MoS2 stamp is pressed into a polymeric fixing layer, and the imprinted MoS2 features are exfoliated along a shear direction. This shear exfoliation can significantly enhance the exfoliation efficiency and thickness uniformity of exfoliated flakes in comparison with previously reported exfoliation processes. Furthermore, we have preliminarily demonstrated the fabrication of multiple transistors and biosensors exhibiting excellent device-to-device performance consistency. Finally, we present a molecular dynamics modeling analysis of the scaling behavior of NASE. This work holds significant potential to leverage the superior properties of MoS2 and other emerging TMDCs for practical scale-up device applications.

  8. Endogenous alpha-ketol linolenic acid levels in short day-induced cotyledons are closely related to flower induction in Pharbitis nil.

    PubMed

    Suzuki, Masayuki; Yamaguchi, Shoko; Iida, Toshii; Hashimoto, Ikue; Teranishi, Hiromi; Mizoguchi, Masaya; Yano, Fumihiko; Todoroki, Yasushi; Watanabe, Naoharu; Yokoyama, Mineyuki

    2003-01-01

    Alpha-ketol linolenic acid [KODA, 9,10-ketol-octadecadienoic acid, that is 9-hydroxy-10-oxo-12(Z),15(Z)-octadecadienoic acid] is a signal compound found in Lemna paucicostata after exposure to stress, such as drought, heat or osmotic stress. KODA reacts with catecholamines to generate products that strongly induce flowering, although KODA itself is inactive [Yokoyama et al. (2000) Plant Cell Physiol. 41: 110; Yamaguchi et al. (2001) Plant Cell Physiol. 42: 1201]. We examined the role of KODA in the flower-induction process of Pharbitis nil (violet). KODA was identified for the first time in seedlings of P. nil grown under a flower-inductive condition (16-h dark exposure), by means of LC-SIM and LC-MS/MS. In addition, the changes in endogenous KODA levels (evaluated after esterification of KODA with 9-anthryldiazomethane) during the flower-inductive phase in short day-induced cotyledons were closely related to flower induction. The KODA concentration sharply increased in seedlings during the last 2 h of a 16-h dark period, while the KODA level showed no significant elevation under continuous light. The increase of KODA level occurred in cotyledonal blades, but not in other parts (petiole, hypocotyls and shoot tip). When the 16-h dark period was interrupted with a 10-min light exposure at the 8th h, flower induction was blocked and KODA level also failed to increase. The degree of elevation of KODA concentration in response to 16-h dark exposure was the highest when the cotyledons had just unfolded, and gradually decreased in seedlings grown under continuous light for longer periods, reaching the basal level at the 3rd day after unfolding. Flower-inducing ability also decreased in a similar manner. These results suggest that KODA may be involved in flower induction in P. nil.

  9. Designs for optimizing depth of focus and spot size for UV laser ablation

    NASA Astrophysics Data System (ADS)

    Wei, An-Chi; Sze, Jyh-Rou; Chern, Jyh-Long

    2010-11-01

    The proposed optical systems are designed for extending the depths of foci (DOF) of UV lasers, which can be exploited in the laser-ablation technologies, such as laser machining and lithography. The designed systems are commonly constructed by an optical module that has at least one aspherical surface. Two configurations of optical module, lens-only and lens-reflector, are presented with the designs of 2-lens and 1-lens-1-reflector demonstrated by commercially optical software. Compared with conventional DOF-enhanced systems, which required the chromatic aberration lenses and the light sources with multiple wavelengths, the proposed designs are adapted to the single-wavelength systems, leading to more economical and efficient systems.

  10. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  11. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  12. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  13. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  14. Investigation of electron beam lithography effects on metal-insulator transition behavior of vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Yuce, H.; Alaboz, H.; Demirhan, Y.; Ozdemir, M.; Ozyuzer, L.; Aygun, G.

    2017-11-01

    Vanadium dioxide (VO2) shows metal-insulator phase transition at nearly 68 °C. This metal-insulator transition (MIT) in VO2 leads to a significant change in near-infrared transmittance and an abrupt change in the resistivity of VO2. Due to these characteristics, VO2 plays an important role on optic and electronic devices, such as thermochromic windows, meta-materials with tunable frequency, uncooled bolometers and switching devices. In this work, VO2 thin films were fabricated by reactive direct current magnetron sputtering in O2/Ar atmosphere on sapphire substrates without any further post annealing processes. The effect of sputtering parameters on optical characteristics and structural properties of grown thin films was investigated by SEM, XRD, Raman and UV/VIS spectrophotometer measurements. Patterning process of VO2 thin films was realized by e-beam lithography technique to monitor the temperature dependent electrical characterization. Electrical properties of VO2 samples were characterized using microprobe station in a vacuum system. MIT with hysteresis behavior was observed for the unpatterned square samples at around 68 °C. By four orders of magnitude of resistivity change was measured for the deposited VO2 thin films at transition temperature. After e-beam lithography process, substantial results in patterned VO2 thin films were observed. In this stage, for patterned VO2 thin films as stripes, the change in resistivity of VO2 was reduced by a factor of 10. As a consequence of electrical resistivity measurements, MIT temperature was shifted from 68 °C to 50 °C. The influence of e-beam process on the properties of VO2 thin films and the mechanism of the effects are discussed. The presented results contribute to the achievement of VO2 based thermochromic windows and bolometer applications.

  15. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  16. A thermal microjet system with tapered micronozzles fabricated by inclined UV lithography for transdermal drug delivery

    NASA Astrophysics Data System (ADS)

    Yoon, Yong-Kyu; Park, Jung-Hwan; Lee, Jeong-Woo; Prausnitz, Mark R.; Allen, Mark G.

    2011-02-01

    Transdermal drug delivery can be enabled by various methods that increase the permeability of the skin's outer barrier of stratum corneum, including skin exposure to heat and chemical enhancers, such as ethanol. Combining these approaches for the first time, in this study we designed a microdevice consisting of an array of microchambers filled with ethanol that is vaporized using an integrated microheater and ejected through a micronozzle contacting the skin surface. In this way, we hypothesize that the hot ethanol vapor can increase skin permeability upon contacting the skin surface. The tapered micronozzle and the microchamber designed for this application were realized using proximity-mode inclined rotational ultraviolet lithography, which facilitates easy fabrication of complex three-dimensional structures, convenient integration with other functional layers, low fabrication cost, and mass production. The resulting device had a micronozzle with an orifice inner and outer diameter of 220 and 320 µm, respectively, and an extruded height of 250 µm. When the microchamber was filled with an ethanol gel and activated, the resulting ethanol vapor jet increased the permeability of human cadaver epidermis to a model compound, calcein, by approximately 17 times, which is attributed to thermal and chemical disruption of stratum corneum structure. This thermal microjet system can serve as a tool not only for transdermal drug delivery, but also for a variety of biomedical applications.

  17. L10 FePtCu bit patterned media

    NASA Astrophysics Data System (ADS)

    Brombacher, C.; Grobis, M.; Lee, J.; Fidler, J.; Eriksson, T.; Werner, T.; Hellwig, O.; Albrecht, M.

    2012-01-01

    Chemically ordered 5 nm-thick L10 FePtCu films with strong perpendicular magnetic anisotropy were post-patterned by nanoimprint lithography into a dot array over a 3 mm-wide circumferential band on a 3 inch Si wafer. The dots with a diameter of 30 nm and a center-to-center pitch of 60 nm appear as single domain and reveal an enhanced switching field as compared to the continuous film. We demonstrate successful recording on a single track using shingled writing with a conventional hard disk drive write/read head.

  18. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  19. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  20. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  1. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  2. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  3. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  4. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  5. Broadband infrared light emitting waveguides based on UV curable PbS quantum dot composites

    NASA Astrophysics Data System (ADS)

    Shen, Kai; Baig, Sarfaraz; Jiang, Guomin; Paik, Young-hun; Kim, Sung Jin; Wang, Michael R.

    2018-02-01

    We present herein the active PbS-photopolymer waveguide fabricated by vacuum assisted microfluidic (VAM) soft lithography technique. The PbS Quantum Dots (QDs) were synthesized using colloidal chemistry methods with tunable sizes and emission wavelengths, resulting in efficient light emission around 1000 nm center wavelength. The PbS QDs have demonstrated much better solubility in our newly synthesized UV curable polymer than SU-8 photoresist, verified by Photoluminescence (PL) testing. Through refractive index control, the PbS QDs-polymer core material and polymer cladding material can efficiently confine the infrared emitting light with a broad spectral bandwidth of 180 nm. Both single-mode and multi-mode light emitting waveguides have been realized.

  6. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  7. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  8. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  9. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  10. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  11. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  12. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  13. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  14. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  15. Treatment of disseminated granuloma annulare with oral vitamin E: 'primum nil nocere'.

    PubMed

    Poppe, Heiko; Poppe, Lidia M; Goebeler, Matthias; Trautmann, Axel

    2013-01-01

    Disseminated granuloma annulare (DGA) is a benign and usually asymptomatic skin disease. However, many patients feel aesthetically disfigured and ask for treatment. Until today, no standard therapy is recommended. To evaluate the safety and efficacy of oral vitamin E treatment compared to the natural course of DGA. This single-centre observational cohort study included 38 consecutive patients with histologically confirmed DGA. 21 patients underwent treatment with oral vitamin E, whereas 17 patients preferred a wait-and-see approach. Complete healing (40%) and improvement (30%) were frequently seen under oral vitamin E therapy. However, DGA also spontaneously disappeared in 31% and improved in 25% of untreated control patients. Vitamin E therapy was very well tolerated. Oral vitamin E treatment is a safe and probably effective therapy for DGA. As the natural course of DGA leads to complete healing or significant improvement in many cases, 'primum nil nocere' should be the maxim.

  16. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  17. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  18. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  19. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  20. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  1. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  2. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  3. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  4. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  5. Nanoimprint-Transfer-Patterned Solids Enhance Light Absorption in Colloidal Quantum Dot Solar Cells.

    PubMed

    Kim, Younghoon; Bicanic, Kristopher; Tan, Hairen; Ouellette, Olivier; Sutherland, Brandon R; García de Arquer, F Pelayo; Jo, Jea Woong; Liu, Mengxia; Sun, Bin; Liu, Min; Hoogland, Sjoerd; Sargent, Edward H

    2017-04-12

    Colloidal quantum dot (CQD) materials are of interest in thin-film solar cells due to their size-tunable bandgap and low-cost solution-processing. However, CQD solar cells suffer from inefficient charge extraction over the film thicknesses required for complete absorption of solar light. Here we show a new strategy to enhance light absorption in CQD solar cells by nanostructuring the CQD film itself at the back interface. We use two-dimensional finite-difference time-domain (FDTD) simulations to study quantitatively the light absorption enhancement in nanostructured back interfaces in CQD solar cells. We implement this experimentally by demonstrating a nanoimprint-transfer-patterning (NTP) process for the fabrication of nanostructured CQD solids with highly ordered patterns. We show that this approach enables a boost in the power conversion efficiency in CQD solar cells primarily due to an increase in short-circuit current density as a result of enhanced absorption through light-trapping.

  6. Development of flexible plasmonic plastic sensor using nanograting textured laminating film

    NASA Astrophysics Data System (ADS)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    2017-02-01

    The work presented in this paper describes the development of a cost-effective, flexible plasmonic plastic sensor using gold-coated nanograting nanoimprinted on a laminating plastic. The fabrication of plasmonic plastic sensor involved the transfer of nanograting pattern from polydimethylsiloxane (PDMS) polymer stamp to laminating plastic via thermal nanoimprint lithography, and subsequent gold film deposition. Gold-coated nanograting sample acted as a plasmonic chip, which exhibited surface plasmon resonance (SPR) mode in reflectance spectra under the white light illumination. The theoretical calculation was performed to study and analyze the excited SPR mode on the plasmonic chip. Further, the bulk refractive index sensitivity was demonstrated with respect to changing surrounding dielectric medium giving a value about 800  ±  27 nm/RIU (refractive index unit). In addition, the surface binding sensitivity upon adsorption of bovine serum albumin protein on the sensor surface was approximately 4.605 nm/(ng/mm2).We believe that our proposed low-cost plastic based plasmonic sensing device could be a potential candidate for the label-free and high-throughput screening of biological molecules.

  7. Nanoimprint-defined, large-area meta-surfaces for unidirectional optical transmission with superior extinction in the visible-to-infrared range.

    PubMed

    Yao, Yuhan; Liu, He; Wang, Yifei; Li, Yuanrui; Song, Boxiang; Wang, Richard P; Povinelli, Michelle L; Wu, Wei

    2016-07-11

    Optical devices with asymmetric transmission have important applications in optical systems, but optical isolators with the modal asymmetry can only be built using magneto-optical or nonlinear materials, as dictated by the Lorentz reciprocity theorem. However, optical devices with the power asymmetry can be achieved by linear materials such as metals and dielectrics. In this paper, we report a large-area, nanoimprint-defined meta-surface (stacked subwavelength gratings) with high-contrast asymmetric transmittance in the visible-to-infrared wavelength range for TM-polarized light. The physical origin of asymmetric transmission through the meta-surface is studied by analyzing the scattering matrix.

  8. Plasmonic based light manipulation and applications in AIGaN deep-UV devices (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Yin, Jun; Li, Jing; Kang, Junyong

    2016-09-01

    Recently, surface plasmon (SP)-exciton coupling has been wildly applied in nitride semiconductors in order to improve the spontaneous radiative recombination rate [1-3]. However, most works have been focused on the emission enhancement in InGaN-based blue or green light emitting diodes (LEDs). Practically, it is significantly important to improve the emission efficiency in deep-UV AlGaN-base quantum well (QW) structure due to its intrinsically low internal quantum efficiency (IQE) induced by the high defect density in its epitaxy layer [4]. But, the effective SP-exciton coupling with matched energy in deep-UV region is still a challenge issue due to the lack of appropriate metal structures and compatible fabrication techniques. In this work, the Al nanoparticles (NPs) were introduced by the nanosphere lithography (NSL) and deposition techniques into the AlGaN based MQWs with optimized size and structure. Due to the local surface plasmon (LSP) coupling with the excitons in QWs, emission enhancement in deep UV region has been achieved in the Al NPs decorated AlGaN MQWs structure with comparison to the bare MQWs. Theoretical calculations on the energy subbands of AlGaN QWs were further carried out to investigate the corresponding mechanisms, in which the hot carrier transition activated by SP-exciton coupling was believed to be mainly responsible for the enhancement. This work demonstrated a low cost, wafer scale fabrication process, which can be potentially employed to the practical SP-enhanced AlGaN-based deep UV LEDs with high IQEs.

  9. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  10. Enhanced linearly polarized lasing emission from nanoimprinted surface-emitting distributed feedback laser based on polymeric liquid crystals

    NASA Astrophysics Data System (ADS)

    Jeong, Soon Moon; Ha, Na Young; Chee, Mu Guen; Araoka, Fumito; Ishikawa, Ken; Takezoe, Hideo; Nishimura, Suzushi; Suzaki, Goro

    2008-12-01

    The authors have demonstrated the enhancement of linearly polarized lasing emission intensity using a structure made by a simple fabrication process. The enhanced lasing is achieved using a nanoimprinted distributed feedback structure together with spin-coated polymeric liquid crystals. The backward linearly TE-polarized lasing emission is transformed to left-handed circularly polarized light (L-CPL) by employing a dye-doped polymeric nematic liquid crystal (PNLC) film as a (-1/4)λ[=(3/4)λ] plate. The L-CPL is effectively reflected by a L-polymeric cholesteric liquid crystal film as a reflector and transformed back to TE-polarized light by the PNLC film; as a result one-directional emission intensity is enhanced.

  11. Effectiveness of surface enhanced Raman spectroscopy of tear fluid with soft substrate for point-of-care therapeutic drug monitoring

    NASA Astrophysics Data System (ADS)

    Yamada, K.; Endo, T.; Imai, H.; Kido, M.; Jeong, H.; Ohno, Y.

    2016-03-01

    We have developed the point-of-care therapeutic drug monitoring kit based on Raman Spectroscopy of tear fluid. In this study, we were examined a soft substrate for an optimal lattice based on nanoimprint lithography using cyclo-olefin polymer to improve the sensitivity for measuring drug concentration in tear fluid. This is photonics crystal which is one of the nano-photonics based device was fabricated. Target is Sodium Phenobarbital which is an anticonvulsant agent. We show the effectiveness of Surface Enhanced Raman Spectroscopy of tear fluid with soft substrate for point-of-care therapeutic drug monitoring.

  12. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  13. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  14. Incorporation of C-Kaurene into the Gibberellin of a Higher Plant (Pharbitis nil Chois).

    PubMed

    Barendse, G W; Kok, N J

    1971-10-01

    Enzymic formation of (14)C-kaurene from 2-(14)C-mevalonate was carried out with a cell-free system of Cucurbita pepo L. It was shown that either heating of the enzyme system or the addition of the growth retardants (2-chloroethyl)-trimethylammonium chloride and 2'-isopropyl-4' (trimethylammonium chloride)-5'-methylphenyl piperidine-1-carboxylate prevented the synthesis of (14)C-kaurene. Experiments in which (14)C-kaurene was applied to seedlings of Pharbitis nil revealed that the kaurene is converted to at least two compounds present in the acidic ethyl acetate fraction, containing free gibberellins, as well as in the second acidic ethyl acetate fraction, containing the released bound gibberellins. One of the compounds cochromatographed with gibberellic acid; the other compound is possibly a break-down product of gibberellic acid with no biological activity.

  15. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  16. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  17. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  18. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  19. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  20. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  1. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  2. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  3. Plasmonic colour generation

    NASA Astrophysics Data System (ADS)

    Kristensen, Anders; Yang, Joel K. W.; Bozhevolnyi, Sergey I.; Link, Stephan; Nordlander, Peter; Halas, Naomi J.; Mortensen, N. Asger

    2017-01-01

    Plasmonic colours are structural colours that emerge from resonant interactions between light and metallic nanostructures. The engineering of plasmonic colours is a promising, rapidly emerging research field that could have a large technological impact. We highlight basic properties of plasmonic colours and recent nanofabrication developments, comparing technology-performance indicators for traditional and nanophotonic colour technologies. The structures of interest include diffraction gratings, nanoaperture arrays, thin films, and multilayers and structures that support Mie resonances and whispering-gallery modes. We discuss plasmonic colour nanotechnology based on localized surface plasmon resonances, such as gap plasmons and hybridized disk-hole plasmons, which allow for colour printing with sub-diffraction resolution. We also address a range of fabrication approaches that enable large-area printing and nanoscale lithography compatible with complementary metal-oxide semiconductor technologies, including nanoimprint lithography and self-assembly. Finally, we review recent developments in dynamically reconfigurable plasmonic colours and in the laser-induced post-processing of plasmonic colour surfaces.

  4. HED-TIE: A wafer-scale approach for fabricating hybrid electronic devices with trench isolated electrodes

    NASA Astrophysics Data System (ADS)

    Banerjee, Sreetama; Bülz, Daniel; Solonenko, Dmytro; Reuter, Danny; Deibel, Carsten; Hiller, Karla; Zahn, Dietrich R. T.; Salvan, Georgeta

    2017-05-01

    Organic-inorganic hybrid electronic devices (HEDs) offer opportunities for functionalities that are not easily obtainable with either organic or inorganic materials individually. In the strive for down-scaling the channel length in planar geometry HEDs, the best results were achieved with electron beam lithography or nanoimprint lithography. Their application on the wafer level is, however, cost intensive and time consuming. Here, we propose trench isolated electrode (TIE) technology as a fast, cost effective, wafer-level approach for the fabrication of planar HEDs with electrode gaps in the range of 100 nm. We demonstrate that the formation of the organic channel can be realized by deposition from solution as well as by the thermal evaporation of organic molecules. To underline one key feature of planar HED-TIEs, namely full accessibility of the active area of the devices by external stimuli such as light, 6,13-bis (triisopropylsilylethynyl) (TIPS)-pentacene/Au HED-TIEs are successfully tested for possible application as hybrid photodetectors in the visible spectral range.

  5. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  6. Stress and salicylic acid induce the expression of PnFT2 in the regulation of the stress-induced flowering of Pharbitis nil.

    PubMed

    Yamada, Mizuki; Takeno, Kiyotoshi

    2014-02-15

    Poor nutrition and low temperature stress treatments induced flowering in the Japanese morning glory Pharbitis nil (synonym Ipomoea nil) cv. Violet. The expression of PnFT2, one of two homologs of the floral pathway integrator gene FLOWERING LOCUS T (FT), was induced by stress, whereas the expression of both PnFT1 and PnFT2 was induced by a short-day treatment. There was no positive correlation between the flowering response and the homolog expression of another floral pathway integrator gene SUPPRESSOR OF OVEREXPRESSION OF CO1 and genes upstream of PnFT, such as CONSTANS. In another cultivar, Tendan, flowering and PnFT2 expression were not induced by poor nutrition stress. Aminooxyacetic acid (AOA), a phenylalanine ammonia-lyase inhibitor, inhibited the flowering and PnFT2 expression induced by poor nutrition stress in Violet. Salicylic acid (SA) eliminated the inhibitory effects of AOA. SA enhanced PnFT2 expression under the poor nutrition stress but not under non-stress conditions. These results suggest that SA induces PnFT2 expression, which in turn induces flowering; SA on its own, however, may not be sufficient for induction. Copyright © 2013 Elsevier GmbH. All rights reserved.

  7. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  8. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  9. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  10. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  11. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  12. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  13. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  14. Mask CD relationship to temperature at the time backscatter is received

    NASA Astrophysics Data System (ADS)

    Zable, Harold; Kronmiller, Tom; Pearman, Ryan; Guthrie, Bill; Shirali, Nagesh; Masuda, Yukihiro; Kamikubo, Takashi; Nakayamada, Noriaki; Fujimura, Aki

    2017-07-01

    Mask writers need to be able to write sub-50nm features accurately. Nano-imprint lithography (NIL) masters need to create sub-20nm line and space (L:S) patterns reliably. Increasingly slower resists are deployed, but mask write times need to remain reasonable. The leading edge EBM-9500 offers 1200A/cm2 current density to shoot variable shaped beam (VSB) to write the masks. Last year, thermal effect correction (TEC) was introduced by NuFlare in the EBM-95001. It is a GPU-accelerated inline correction for the effect that the temperature of the resist has on CD. For example, a 100nm CD may print at 102nm where that area was at a comparably high temperature at the time of the shot. Since thermal effect is a temporal effect, the simulated temperature of the surface of the mask is dynamically updated for the effect of each shot in order to accurately predict the cumulative effect that is the temperature at the location of the shot at the time of the shot and therefore its impact on CD. The shot dose is changed to reverse the effects of the temperature change. This paper for the first time reveals an enhancement to this thermal model and a simulator for it. It turns out that the temperature at the time each location receives backscatter from other shots also make a difference to the CD. The effect is secondary, but still measurable for some resists and substrates. Results of a test-chip study will be presented. The computation required for the backscatter effect is substantial. It has been demonstrated that this calculation can be performed fast enough to be inline with the EBM-9500 with a reasonable-sized computing platform. Run-time results and the computing architecture will be presented.

  15. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  16. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  17. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  18. Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.

    2016-05-23

    We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less

  19. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  20. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  1. Preparations, Properties, and Applications of Periodic Nano Arrays using Anodized Aluminum Oxide and Di-block Copolymer

    NASA Astrophysics Data System (ADS)

    Noh, Kunbae

    2011-12-01

    Self-ordered arrangements observed in various materials systems such as anodic aluminum oxide, polystyrene nanoparticles, and block copolymer are of great interest in terms of providing new opportunities in nanofabrication field where lithographic techniques are broadly used in general. Investigations on self-assembled nano arrays to understand how to obtain periodic nano arrays in an efficient yet inexpensive way, and how to realize advanced material and device systems thereof, can lead to significant impacts on science and technology for many forefront device applications. In this thesis, various aspects of periodic nano-arrays have been discussed including novel preparations, properties and applications of anodized aluminum oxide (AAO) and PS-b-P4VP (S4VP) di-block copolymer self-assembly. First, long-range ordered AAO arrays have been demonstrated. Nanoimprint lithography (NIL) process allowed a faithful pattern transfer of the imprint mold pattern onto Al thin film, and interesting self-healing and pattern tripling phenomena were observed, which could be applicable towards fabrication of the NIL master mold having highly dense pattern over large area, useful for fabrication of a large-area substrate for predictable positioning of arrayed devices. Second, S4VP diblock copolymer self-assembly and S4VP directed AAO self-assembly have been demonstrated in the Al thin film on Si substrate. Such a novel combination of two dissimilar self-assembly techniques demonstrated a potential as a versatile tool for nanopatterning formation on a Si substrate, capable of being integrated into Si process technology. As exemplary applications, vertically aligned Ni nanowires have been synthesized into an S4VP-guided AAO membrane on a Si substrate in addition to anti-dot structured [Co/Pd]n magnetic multilayer using S4VP self assembly. Third, a highly hexagonally ordered, vertically parallel aluminum oxide nanotube array was successfully fabricated via hard anodization technique

  2. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  3. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  4. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  5. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  6. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  7. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  8. A fuzzy pattern matching method based on graph kernel for lithography hotspot detection

    NASA Astrophysics Data System (ADS)

    Nitta, Izumi; Kanazawa, Yuzi; Ishida, Tsutomu; Banno, Koji

    2017-03-01

    In advanced technology nodes, lithography hotspot detection has become one of the most significant issues in design for manufacturability. Recently, machine learning based lithography hotspot detection has been widely investigated, but it has trade-off between detection accuracy and false alarm. To apply machine learning based technique to the physical verification phase, designers require minimizing undetected hotspots to avoid yield degradation. They also need a ranking of similar known patterns with a detected hotspot to prioritize layout pattern to be corrected. To achieve high detection accuracy and to prioritize detected hotspots, we propose a novel lithography hotspot detection method using Delaunay triangulation and graph kernel based machine learning. Delaunay triangulation extracts features of hotspot patterns where polygons locate irregularly and closely one another, and graph kernel expresses inner structure of graphs. Additionally, our method provides similarity between two patterns and creates a list of similar training patterns with a detected hotspot. Experiments results on ICCAD 2012 benchmarks show that our method achieves high accuracy with allowable range of false alarm. We also show the ranking of the similar known patterns with a detected hotspot.

  9. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  10. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  11. Advancing semiconductor–electrocatalyst systems: application of surface transformation films and nanosphere lithography

    DOE PAGES

    Brinkert, Katharina; Richter, Matthias H.; Akay, Ömer; ...

    2018-01-01

    We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties.

  12. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  13. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  14. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  15. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  16. CUVE - Cubesat UV Experiment: Unveil Venus' UV Absorber with Cubesat UV Mapping Spectrometer

    NASA Astrophysics Data System (ADS)

    Cottini, V.; Aslam, S.; D'Aversa, E.; Glaze, L.; Gorius, N.; Hewagama, T.; Ignatiev, N.; Piccioni, G.

    2017-09-01

    Our Venus mission concept Cubesat UV Experiment (CUVE) is one of ten proposals selected for funding by the NASA PSDS3 Program - Planetary Science Deep Space SmallSat Studies. CUVE concept is to insert a CubeSat spacecraft into a Venusian orbit and perform remote sensing of the UV spectral region using a high spectral resolution point spectrometer to resolve UV molecular bands, observe nightglow, and characterize the unidentified main UV absorber. The UV spectrometer is complemented by an imaging UV camera with multiple bands in the UV absorber main band range for contextual imaging. CUVE Science Objectives are: the nature of the "Unknown" UV-absorber; the abundances and distributions of SO2 and SO at and above Venus's cloud tops and their correlation with the UV absorber; the atmospheric dynamics at the cloud tops, structure of upper clouds and wind measurements from cloud-tracking; the nightglow emissions: NO, CO, O2. This mission will therefore be an excellent platform to study Venus' cloud top atmospheric properties where the UV absorption drives the planet's energy balance. CUVE would complement past, current and future Venus missions with conventional spacecraft, and address critical science questions cost effectively.

  17. Memristor-CMOS hybrid integrated circuits for reconfigurable logic.

    PubMed

    Xia, Qiangfei; Robinett, Warren; Cumbie, Michael W; Banerjee, Neel; Cardinali, Thomas J; Yang, J Joshua; Wu, Wei; Li, Xuema; Tong, William M; Strukov, Dmitri B; Snider, Gregory S; Medeiros-Ribeiro, Gilberto; Williams, R Stanley

    2009-10-01

    Hybrid reconfigurable logic circuits were fabricated by integrating memristor-based crossbars onto a foundry-built CMOS (complementary metal-oxide-semiconductor) platform using nanoimprint lithography, as well as materials and processes that were compatible with the CMOS. Titanium dioxide thin-film memristors served as the configuration bits and switches in a data routing network and were connected to gate-level CMOS components that acted as logic elements, in a manner similar to a field programmable gate array. We analyzed the chips using a purpose-built testing system, and demonstrated the ability to configure individual devices, use them to wire up various logic gates and a flip-flop, and then reconfigure devices.

  18. Improved out-coupling efficiency of organic light emitting diodes fabricated on a TiO2 planarization layer with embedded Si oxide nanostructures

    NASA Astrophysics Data System (ADS)

    Sung, Young Hoon; Jung, Pil-Hoon; Han, Kyung-Hoon; Kim, Yang Doo; Kim, Jang-Joo; Lee, Heon

    2017-10-01

    In order to increase the out-coupling efficiency of organic light emitting diodes, conical Si oxide nanostructures were formed on a glass substrate using nanoimprint lithography with hydrogen silsesquioxane. Then, the substrate was planarized with TiO2 nanoparticles. Since TiO2 nanoparticles have a higher refractive index than Si oxide, the surface of substrate is physically flat, but optically undulated in a manner that enables optical scattering and suppression of total internal reflection. Subsequently, OLEDs formed on a substrate with nanostructured Si oxide and a TiO2 planarization layer exhibit a 25% increase in out-coupling efficiency by suppressing total internal reflection.

  19. Cell-micropatterning by micromolding in capillary technique based on UV polymerization

    NASA Astrophysics Data System (ADS)

    Park, Min J.; Choi, Won M.; Park, O. O.

    2006-01-01

    Although optical lithography or photolithography is one of the most well-established techniques for micro, nano-fabrication, its usage with proteins and cells is restricted by steps that must be carried out in harsh organic solvents. Here, we present simple methods for cell-micropatterning using poly(dimethylsiloxane) (PDMS) as a mold. Cell non-adhesive surface or nonfouling surface providing a physico-chemical barrier to cell attachment was introduced for biomaterial pattering, where cells fail to interact with the surface over desired periods of time determined by each application. Poly(ethylene glycol) (PEG) was selected as nonfouling material to inhibit protein adsorption from biological media. The fouling resistance of PEG polymer is often explained by a steric repulsion interaction, resulting from the compression of PEG chains as proteins approach the surface. We also chose fibronectin to direct cell attachment because it is an extracellular matrix protein that is involved in the adhesion and spreading of anchorage-dependent cells. In our experiment, we propose two methods by application of micromolding in capillary (MIMIC) method based on UV polymerization to obtain a surface of alternating PEG and fibronectin. First to fabricate PEG microstructure via MIMIC method, a pre-patterned PDMS mold is placed on a desired substrate, and then the relief structure in the mold forms a network of empty channels. A drop of ethylene glycol monomer solution containing initiator for UV polymerization is placed at the open ends of the network of channels, which is then polymerized by exposure to UV light at room temperature. Once PEG microstructure is fabricated, incubation of the patterned surface in a fibronectin-containing solution allows back-filling of only the bare regions with fibronectin via adsorption. In the alternative method, a substrate is first incubated in a fibronectin-containing solution, leading to the adsorption of fibronectin over the entire surface, and

  20. Nanoimprinting techniques for large-area three-dimensional negative index metamaterials with operation in the visible and telecom bands.

    PubMed

    Gao, Li; Shigeta, Kazuki; Vazquez-Guardado, Abraham; Progler, Christopher J; Bogart, Gregory R; Rogers, John A; Chanda, Debashis

    2014-06-24

    We report advances in materials, designs, and fabrication schemes for large-area negative index metamaterials (NIMs) in multilayer "fishnet" layouts that offer negative index behavior at wavelengths into the visible regime. A simple nanoimprinting scheme capable of implementation using standard, widely available tools followed by a subtractive, physical liftoff step provides an enabling route for the fabrication. Computational analysis of reflection and transmission measurements suggests that the resulting structures offer negative index of refraction that spans both the visible wavelength range (529-720 nm) and the telecommunication band (1.35-1.6 μm). The data reveal that these large (>75 cm(2)) imprinted NIMs have predictable behaviors, good spatial uniformity in properties, and figures of merit as high as 4.3 in the visible range.

  1. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  2. Method for maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    2000-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  3. Achieving pattern uniformity in plasmonic lithography by spatial frequency selection

    NASA Astrophysics Data System (ADS)

    Liang, Gaofeng; Chen, Xi; Zhao, Qing; Guo, L. Jay

    2018-01-01

    The effects of the surface roughness of thin films and defects on photomasks are investigated in two representative plasmonic lithography systems: thin silver film-based superlens and multilayer-based hyperbolic metamaterial (HMM). Superlens can replicate arbitrary patterns because of its broad evanescent wave passband, which also makes it inherently vulnerable to the roughness of the thin film and imperfections of the mask. On the other hand, the HMM system has spatial frequency filtering characteristics and its pattern formation is based on interference, producing uniform and stable periodic patterns. In this work, we show that the HMM system is more immune to such imperfections due to its function of spatial frequency selection. The analyses are further verified by an interference lithography system incorporating the photoresist layer as an optical waveguide to improve the aspect ratio of the pattern. It is concluded that a system capable of spatial frequency selection is a powerful method to produce deep-subwavelength periodic patterns with high degree of uniformity and fidelity.

  4. Deep UV LEDs

    NASA Astrophysics Data System (ADS)

    Han, Jung; Amano, Hiroshi; Schowalter, Leo

    2014-06-01

    Deep ultraviolet (DUV) photons interact strongly with a broad range of chemical and biological molecules; compact DUV light sources could enable a wide range of applications in chemi/bio-sensing, sterilization, agriculture, and industrial curing. The much shorter wavelength also results in useful characteristics related to optical diffraction (for lithography) and scattering (non-line-of-sight communication). The family of III-N (AlGaInN) compound semiconductors offers a tunable energy gap from infrared to DUV. While InGaN-based blue light emitters have been the primary focus for the obvious application of solid state lighting, there is a growing interest in the development of efficient UV and DUV light-emitting devices. In the past few years we have witnessed an increasing investment from both government and industry sectors to further the state of DUV light-emitting devices. The contributions in Semiconductor Science and Technology 's special issue on DUV devices provide an up-to-date snapshot covering many relevant topics in this field. Given the expected importance of bulk AlN substrate in DUV technology, we are pleased to include a review article by Hartmann et al on the growth of AlN bulk crystal by physical vapour transport. The issue of polarization field within the deep ultraviolet LEDs is examined in the article by Braut et al. Several commercial companies provide useful updates in their development of DUV emitters, including Nichia (Fujioka et al ), Nitride Semiconductors (Muramoto et al ) and Sensor Electronic Technology (Shatalov et al ). We believe these articles will provide an excellent overview of the state of technology. The growth of AlGaN heterostructures by molecular beam epitaxy, in contrast to the common organo-metallic vapour phase epitaxy, is discussed by Ivanov et al. Since hexagonal boron nitride (BN) has received much attention as both a UV and a two-dimensional electronic material, we believe it serves readers well to include the

  5. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    NASA Astrophysics Data System (ADS)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  6. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  7. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  8. Finding the right way: DFM versus area efficiency for 65 nm gate layer lithography

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra S.; Scheer, Steven; Herold, Klaus; Fonseca, Carlos; Thomas, Alan; Schroeder, Uwe P.

    2006-03-01

    DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.

  9. Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic11nm node generation

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Takekoshi, Hidekazu; Ogasawara, Munehiro; Yamada, Hirokazu; Hattori, Kiyoshi

    2014-10-01

    The scaling of semiconductor devices is slowing down because of the difficulty in establishing their functionality at the nano-size level and also because of the limitations in fabrications, mainly the delay of EUV lithography. While multigate devices (FinFET) are currently the main driver for scalability, other types of devices, such as 3D devices, are being realized to relax the scaling of the node. In lithography, double or multiple patterning using ArF immersion scanners is still a realistic solution offered for the hp16nm node fabrication. Other lithography candidates are those called NGL (Next Generation Lithography), such as DSA (Directed-Self-Assembling) or nanoimprint. In such situations, shot count for mask making by electron beam writers will not increase. Except for some layers, it is not increasing as previously predicted. On the other hand, there is another aspect that increases writing time. The exposure dose for mask writing is getting higher to meet tighter specifications of CD uniformity, in other words, reduce LER. To satisfy these requirements, a new electron beam mask writer, EBM-9000, has been developed for hp16nm/logic11nm generation. Electron optical system, which has the immersion lens system, was evolved from EBM-8000 to achieve higher current density of 800A/cm2. In this paper, recent shot count and dose trend are discussed. Also, writing time is estimated for the requirements in EBM-9000.

  10. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  11. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  12. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  13. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  14. Gibberellin-enhanced elongation of inverted Pharbitis nil shoot prevents the release of apical dominance

    NASA Technical Reports Server (NTRS)

    Prasad, T. K.; Cline, M. G.

    1987-01-01

    Ethylene evolution resulting from the gravity stress of shoot inversion appears to induce the release of apical dominance in Pharbitis nil (L.) by inhibiting elongation of the inverted shoot. It has been previously demonstrated that this shoot inversion release of apical dominance can be prevented by promoting elongation in the inverted shoot via interference with ethylene synthesis or action. In the present study it was shown that apical dominance release can also be prevented by promoting elongation of the inverted shoot via treatment with gibberellic acid (GA3). A synergistic effect was observed when AgNO3, the ethylene action inhibitor, was applied with GA3. Both GA3 and AgNO3 increased ethylene production in the inverted shoot. These results are consistent with the view that it is ethylene-induced inhibition of elongation and not any direct effect of ethylene per se which is responsible for the outgrowth of the highest lateral bud.

  15. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  16. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  17. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  18. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  19. Economic consequences of high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Hartley, John G.; Govindaraju, Lakshmi

    2005-11-01

    Many people in the semiconductor industry bemoan the high costs of masks and view mask cost as one of the significant barriers to bringing new chip designs to market. All that is needed is a viable maskless technology and the problem will go away. Numerous sites around the world are working on maskless lithography but inevitably, the question asked is "Wouldn't a one wafer per hour maskless tool make a really good mask writer?" Of course, the answer is yes, the hesitation you hear in the answer isn't based on technology concerns, it's financial. The industry needs maskless lithography because mask costs are too high. Mask costs are too high because mask pattern generators (PG's) are slow and expensive. If mask PG's become much faster, mask costs go down, the maskless market goes away and the PG supplier is faced with an even smaller tool demand from the mask shops. Technical success becomes financial suicide - or does it? In this paper we will present the results of a model that examines some of the consequences of introducing high throughput maskless pattern generation. Specific features in the model include tool throughput for masks and wafers, market segmentation by node for masks and wafers and mask cost as an entry barrier to new chip designs. How does the availability of low cost masks and maskless tools affect the industries tool makeup and what is the ultimate potential market for high throughput maskless pattern generators?

  20. Method for maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.« less