Metal-Enhanced Fluorescence from Nanoparticulate Zinc Films
Aslan, Kadir; Previte, Michael J.R.; Zhang, Yongxia; Geddes, Chris D.
2009-01-01
A detailed study of metal-enhanced fluorescence (MEF) from fluorophores in the blue-to- red spectral region placed in close proximity to thermally evaporated zinc nanostructured films is reported. The zinc nanostructured films were deposited onto glass microscope slides as individual particles and were 1–10 nm in height and 20–100 nm in width, as characterized by Atomic Force Microscopy. The surface plasmon resonance peak of the zinc nanostructured films was ≈ 400 nm. Finite-difference time-domain calculations for single and multiple nanostructures organized in a staggered fashion on a solid support predict, as expected, that the electric fields are concentrated both around and between the nanostructures. Additionally, Mie scattering calculations show that the absorption and scattering components of the extinction spectrum are dominant in the UV and visible spectral ranges, respectively. Enhanced fluorescence emission accompanied by no significant changes in excited state lifetimes of fluorophores with emission wavelengths in the visible blue-to-red spectral range near-to zinc nanostructured films were observed, implying that MEF from zinc nanostructured films is mostly due to an electric field enhancement effect. PMID:19946356
NASA Astrophysics Data System (ADS)
Zhang, Qi-Xian; Wei, Wen-Sheng; Ruan, Fang-Ping
2011-04-01
Gallium phosphide (GaP) nanoparticulate thin films were easily fabricated by colloidal suspension deposition via GaP nanoparticles dispersed in N,N-dimethylformamide. The microstructure of the film was performed by x-ray diffraction, high resolution transmission electron microscopy and field emission scanning electron microscopy. The film was further investigated by spectroscopic ellipsometry. After the model GaP+void|SiO2 was built and an effective medium approximation was adopted, the values of the refractive index n and the extinction coefficient k were calculated for the energy range of 0.75 eV-4.0 eV using the dispersion formula in DeltaPsi2 software. The absorption coefficient of the film was calculated from its k and its energy gaps were further estimated according to the Tauc equation, which were further verified by its fluorescence spectrum measurement. The structure and optical absorption properties of the nanoparticulate films are promising for their potential applications in hybrid solar cells.
Kim, Sang Woo; Hui, Bang Jae; Bae, Dong-Sik
2008-02-01
Anomalous absorption of isolated silver nanoparticulate films with different morphological patterns prepared by the wet colloidal route and followed by thermal treatment were investigated. A polymer embedded silver nanoparticulate film thermally treated at 200 degrees C showed maximum absorbance at approximately 412 nm. The peak position of the surface plasmon band was slightly different but still consistent with theoretical prediction derived by the Mie theory. An isolated nanopariculate film thermally treated at 300 degrees C showed anomalous absorption. Its maximum absorption band was shifted to green regime of 506.9 nm and the bandwidth at half-maximum absorbance of the surface plasmon band was greatly broadened. The plasmon band and its bandwidth were much deviated compared to the theoretical prediction calculated for the silver nanoparticles in the surrounding medium of air and poly(vinyl pyrrolidone) or soda-lime-silica glass. Even though there was no significant growth of silver nanoparticles during thermal treatment at 300 degrees C, the anomalous absorption was observed. The anomalous absorption was not attributed to effects of particle shape and size but to effects of pores induced by development of a great number of pores in the nanoparticulate film. The anomalous absorption greatly decreased with increase in heating temperature from 400 degrees C to 500 degrees C. The extraordinary plasmon damping of the isolated film decreased and the plasmon absorption band was re-shifted to violet regime of 416 nm because of large decrease in size of particles with dramatic change of pore morphology from circular pores with rim to small continuous pores induced by spontaneous formation of new silver nanoparticles.
Herzallah, S; Holley, R
2015-08-01
Nanocomposite carboxymethyl cellulose films containing sinigrin (SNG) were prepared by stirring 2% (w/v) carboxymethyl cellulose (CMC) and 2% (w/v) glycerol (as a plasticizer) in distilled water with or without SNG (an antimicrobial precursor) as a 99% pure reagent (pSNG) or as a crude extract (cSNG). These films plus normal CMC film with or without SNG were tested on Escherichia coli O157:H7- inoculated beef for antimicrobial activity. Beef pieces measuring 6 × 5 × 2 cm(3) (L × W × H) were dipped in an E. coli O157:H7 broth suspension containing >8 log10 CFU ml(-1) and were drained for 3 min over a sterile cloth. They were wrapped in CMC or NCMC films, placed in a high oxygen barrier film (Deli *1), vacuum-packaged and stored at 8°C for 5, 8, 12 and 18 days. The CMC and NCMC films without SNG were not antimicrobial against E. coli O157:H7; however, NCMC and CMC films with SNG were highly antimicrobial. After 5 days at 8°C, E. coli O157:H7 was reduced more than 4 log10 by the NCMC•pSNG film and this reduction remained almost the same until 18 days at 8°C when E. coli O157:H7 was reduced >5 log10 CFU g(-1) meat. Transparent nanoparticulate carboxymethyl cellulose (CMC) films containing sinigrin (SNG), an antimicrobial precursor, controlled surface contamination of packaged fresh beef by the pathogen Escherichia coli O157:H7 when stored at 8°C. Films with nanoparticulation that carried pure SNG or the naturally occurring SNG in Oriental mustard were significantly more antimicrobial than similar films without nanoparticulation. As films without sinigrin were not antimicrobial, the combinations studied showed that nanoparticulation of the packaging film enhanced delivery of the antimicrobial incorporated within the film. © 2015 The Society for Applied Microbiology.
Benchmarking nanoparticulate metal oxide electrocatalysts for the alkaline water oxidation reaction
Jung, Suho; McCrory, Charles C. L.; Ferrer, Ivonne M.; ...
2016-11-27
Nanoparticulate metal-oxide catalysts are among the most prevalent systems for alkaline water oxidation. However, comparisons of the electrochemical performance of these materials have been challenging due to the different methods of attachment, catalyst loadings, and electrochemical test conditions reported in the literature. Here in this paper, we have leveraged a conventional drop-casting method that allows for the successful adhesion of a wide range of nanoparticulate catalysts to glassy-carbon electrode surfaces. We have applied this adhesion method to prepare catalyst films from 16 crystalline metal-oxide nanoparticles with a constant loading of 0.8 mg cm -2, and evaluated the resulting nanoparticulate filmsmore » for the oxygen evolution reaction under conditions relevant to an integrated solar fuels device. In general, the activities of the adhered nanoparticulate films are similar to those of thin-film catalysts prepared by electrodeposition or sputtering, achieving 10 mA cm -2 current densities per geometric area at overpotentials of ~0.35–0.5 V.« less
Chickneyan, Zarui Sara; Briseno, Alejandro L; Shi, Xiangyang; Han, Shubo; Huang, Jiaxing; Zhou, Feimeng
2004-07-01
An approach to producing films of nanometer-sized copper oxide particulates, based on polyelectrolyte-mediated assembly of the precursor, copper(II)phthalocyanine tetrasulfonate (CPTS), is described. Multilayered CPTS and polydiallyldimethylammonium chloride (PDADMAC) were alternately assembled on different planar substrates via the layer-by-layer (LbL) procedure. The growth of CPTS multilayers was monitored by UV-visible spectrometry and quartz crystal microbalance (QCM) measurements. Both the UV-visible spectra and the QCM data showed that a fixed amount of CPTS could be attached to the substrate surface for a given adsorption cycle. Cyclic voltammograms at the CPTS/PDADMAC-covered gold electrode exhibited a decrease in peak currents with the layer number, indicating that the permeability of CPTS multilayers on the electrodes had diminished. When these CPTS multilayered films were calcined at elevated temperatures, uniform thin films composed of nanoparticulate copper oxide could be produced. Ellipsometry showed that the thickness of copper oxide nanoparticulate films could be precisely tailored by varying the thickness of CPTS multilayer films. The morphology and roughness of CPTS multilayer and copper oxide thin films were characterized by atomic force microscopy. X-ray diffraction (XRD) measurements indicated that these thin films contained both CuO and Cu2O nanoparticles. The preparation of such copper oxide thin films with the use of metal complex precursors represents a new route for the synthesis of inorganic oxide films with a controlled thickness.
Single-Nanoparticle Photoelectrochemistry at a Nanoparticulate TiO2 -Filmed Ultramicroelectrode.
Peng, Yue-Yi; Ma, Hui; Ma, Wei; Long, Yi-Tao; Tian, He
2018-03-26
An ultrasensitive photoelectrochemical method for achieving real-time detection of single nanoparticle collision events is presented. Using a micrometer-thick nanoparticulate TiO 2 -filmed Au ultra-microelectrode (TiO 2 @Au UME), a sub-millisecond photocurrent transient was observed for an individual N719-tagged TiO 2 (N719@TiO 2 ) nanoparticle and is due to the instantaneous collision process. Owing to a trap-limited electron diffusion process as the rate-limiting step, a random three-dimensional diffusion model was developed to simulate electron transport dynamics in TiO 2 film. The combination of theoretical simulation and high-resolution photocurrent measurement allow electron-transfer information of a single N719@TiO 2 nanoparticle to be quantified at single-molecule accuracy and the electron diffusivity and the electron-collection efficiency of TiO 2 @Au UME to be estimated. This method provides a test for studies of photoinduced electron transfer at the single-nanoparticle level. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Andreoli, Enrico; Rooney, Denise A; Redington, Wynette; Gunning, Robert; Breslin, Carmel B
2012-01-01
Nanothin sheets made of zinc sulfate hydroxide hydrate, ZnSO4[Zn(OH)2]3 x 5H2O, are easily and quickly prepared using an innovative electrochemical route onto polypyrrole-polystyrene sulfonate (PPy-PSS) films. The sheets are characterized using a range of experimental techniques. The deposits are formed on the film surface with instantaneous nucleation to grow into a network of entangled nanosheets. The effect of the experimental conditions on the deposition is reported. Interestingly, the formation of the nanosheets is observed on PPy-PSS films only, and not on films doped with other sulfate/sulfonate dopants. The zinc nanosheets can be easily electrochemically reduced to metallic zinc microdentrites.
Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.
Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn
2018-07-01
Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.
Zinc nitride thin films: basic properties and applications
NASA Astrophysics Data System (ADS)
Redondo-Cubero, A.; Gómez-Castaño, M.; García Núñez, C.; Domínguez, M.; Vázquez, L.; Pau, J. L.
2017-02-01
Zinc nitride films can be deposited by radio frequency magnetron sputtering using a Zn target at substrate temperatures lower than 250°C. This low deposition temperature makes the material compatible with flexible substrates. The asgrown layers present a black color, polycrystalline structures, large conductivities, and large visible light absorption. Different studies have reported about the severe oxidation of the layers in ambient conditions. Different compositional, structural and optical characterization techniques have shown that the films turn into ZnO polycrystalline layers, showing visible transparency and semi-insulating properties after total transformation. The oxidation rate is fairly constant as a function of time and depends on environmental parameters such as relative humidity or temperature. Taking advantage of those properties, potential applications of zinc nitride films in environmental sensing have been studied in the recent years. This work reviews the state-of-the-art of the zinc nitride technology and the development of several devices such as humidity indicators, thin film (photo)transistors and sweat monitoring sensors.
Mechanical properties of bioplastics cassava starch film with Zinc Oxide nanofiller as reinforcement
NASA Astrophysics Data System (ADS)
Harunsyah; Yunus, M.; Fauzan, Reza
2017-06-01
This study focuses on investigating the influence of zinc oxide nanofiller on the mechanical properties of bioplastic cassava starch films. Bioplastic cassava starch film-based zinc oxide reinforced composite biopolymeric films were prepared by casting technique. The content of zinc oxide in the bioplastic films was varied from 0.2%, 0.4%, 0.6%, 0.8% and 1.0% (w/w) by weight of starch. Surface morphologies of the composites bioplastic films were examined by scanning electron microscope (SEM).The result showed that the Tensile strength (TS) was improved significantly with the additional of zinc oxide but the elongation at break (EB %) of the composites was decreased. The maximum tensile strength obtained was 22.30 kgf / mm on the additional of zinc oxide by 0.6% and plastilizer by 25%. Based on data of FTIR, the produced film plastic did not change the group function and it can be concluded that theinteraction in film plastic produced was only a physical interaction. Biodegradable plastic film based on cassava starch-zinc oxide and plasticizer glycerol showed that interesting mechanical properties being transparent, clear, homogeneous, flexible, and easily handled.
Preparation of silver-activated zinc sulfide thin films
NASA Technical Reports Server (NTRS)
Feldman, C.; Swindells, F. E.
1968-01-01
Silver improves luminescence and reduces contamination of zinc sulfide phosphors. The silver is added after the zinc sulfide phosphors are deposited in thin films by vapor evaporation, but before calcining, by immersion in a solution of silver salt.
Pham, Anh Le-Tuan; Johnson, Carol; Manley, Devon; Hsu-Kim, Heileen
2015-11-03
Diffusive gradient in thin-film (DGT) passive samplers are frequently used to monitor the concentrations of metals such as mercury and zinc in sediments and other aquatic environments. The application of these samplers generally presumes that they quantify only the dissolved fraction and not particle-bound metal species that are too large to migrate into the sampler. However, metals associated with very small nanoparticles (smaller than the pore size of DGT samplers) can be abundant in certain environments, yet the implications of these nanoparticles for DGT measurements are unclear. The objective of this study was to determine how the performance of the DGT sampler is affected by the presence of nanoparticulate species of Hg and Zn. DGT samplers were exposed to solutions containing known amounts of dissolved Hg(II) and nanoparticulate HgS (or dissolved Zn(II) and nanoparticulate ZnS). The amounts of Hg and Zn accumulated onto the DGT samplers were quantified over hours to days, and the rates of diffusion of the dissolved metal (i.e., the effective diffusion coefficient D) into the sampler's diffusion layer were calculated and compared for solutions containing varying concentrations of nanoparticles. The results suggested that the nanoparticles deposited on the surface of the samplers might have acted as sorbents, slowing the migration of the dissolved species into the samplers. The consequence was that the DGT sampler data underestimated the dissolved metal concentration in the solution. In addition, X-ray absorption spectroscopy was employed to determine the speciation of the Hg accumulated on the sampler binding layer, and the results indicated that HgS nanoparticles did not appear to directly contribute to the DGT measurement. Overall, our findings suggest that the deployment of DGT samplers in settings where nanoparticles are relevant (e.g., sediments) may result in DGT data that incorrectly estimated the dissolved metal concentrations. Models for metal uptake
The peculiarity of the formation of zinc films on a glass substrate
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tomaev, V. V., E-mail: tvaza@mail.ru; Saint Petersburg Mining University, Russia, 199106, St. Petersburg, V.O., 21-st line, 2; Polishchuk, V. A., E-mail: vpvova2010@yandex.ru
2016-06-17
Thin Nanocrystalline films of the zinc have been fabricated by thermal spraying on the glass substrate. Morphologies and structure of the films had been investigated by the methods X-Ray Diffraction (XRD) and Scanning Electron Microscopy (SEM). It is found that the surface of the films has a different types of the nanocrystals zinc. Were detected intergrowths of two or more the nanocrystals, hexagonal shape. Using the theory of homogeneous and heterogeneous nucleation of a new phase, had been evaluated the geometrical and thermodynamic parameters nanocrystals zinc.
Analysis of Zinc Oxide Thin Films Synthesized by Sol-Gel via Spin Coating
NASA Astrophysics Data System (ADS)
Wolgamott, Jon Carl
Transparent conductive oxides are gaining an increasingly important role in optoelectronic devices such as solar cells. Doped zinc oxide is a candidate as a low cost and nontoxic alternative to tin doped indium oxide. Lab results have shown that both n-type and p-type zinc oxide can be created on a small scale. This can allow zinc oxide to be used as either an electrode as well as a buffer layer to increase efficiency and protect the active layer in solar cells. Sol-gel synthesis is emerging as a low temperature, low cost, and resource efficient alternative to producing transparent conducting oxides such as zinc oxide. For sol-gel derived zinc oxide thin films to reach their potential, research in this topic must continue to optimize the known processing parameters and expand to new parameters to tighten control and create novel processing techniques that improve performance. The processing parameters of drying and annealing temperatures as well as cooling rate were analyzed to see their effect on the structure of the prepared zinc oxide thin films. There were also preliminary tests done to modify the sol-gel process to include silver as a dopant to produce a p-type thin film. The results from this work show that the pre- and post- heating temperatures as well as the cooling rate all play their own unique role in the crystallization of the film. Results from silver doping show that more work needs to be done to create a sol-gel derived p-type zinc oxide thin film.
Biswal, Rajesh; Maldonado, Arturo; Vega-Pérez, Jaime; Acosta, Dwight Roberto; Olvera, María De La Luz
2014-01-01
The physical characteristics of ultrasonically sprayed indium-doped zinc oxide (ZnO:In) thin films, with electrical resistivity as low as 3.42 × 10−3 Ω·cm and high optical transmittance, in the visible range, of 50%–70% is presented. Zinc acetylacetonate and indium chloride were used as the organometallic zinc precursor and the doping source, respectively, achieving ZnO:In thin films with growth rate in the order of 100 nm/min. The effects of both indium concentration and the substrate temperature on the structural, morphological, optical, and electrical characteristics were measured. All the films were polycrystalline, fitting well with hexagonal wurtzite type ZnO. A switching in preferential growth, from (002) to (101) planes for indium doped samples were observed. The surface morphology of the films showed a change from hexagonal slices to triangle shaped grains as the indium concentration increases. Potential applications as transparent conductive electrodes based on the resulting low electrical resistance and high optical transparency of the studied samples are considered. PMID:28788118
Biswal, Rajesh; Maldonado, Arturo; Vega-Pérez, Jaime; Acosta, Dwight Roberto; De La Luz Olvera, María
2014-07-04
The physical characteristics of ultrasonically sprayed indium-doped zinc oxide (ZnO:In) thin films, with electrical resistivity as low as 3.42 × 10 -3 Ω·cm and high optical transmittance, in the visible range, of 50%-70% is presented. Zinc acetylacetonate and indium chloride were used as the organometallic zinc precursor and the doping source, respectively, achieving ZnO:In thin films with growth rate in the order of 100 nm/min. The effects of both indium concentration and the substrate temperature on the structural, morphological, optical, and electrical characteristics were measured. All the films were polycrystalline, fitting well with hexagonal wurtzite type ZnO. A switching in preferential growth, from (002) to (101) planes for indium doped samples were observed. The surface morphology of the films showed a change from hexagonal slices to triangle shaped grains as the indium concentration increases. Potential applications as transparent conductive electrodes based on the resulting low electrical resistance and high optical transparency of the studied samples are considered.
NASA Astrophysics Data System (ADS)
Singh, Chetan C.; Panda, Emila
2018-04-01
In order to know the threshold quantity of the zinc interstitials that contributes to an increase in carrier concentration in the Al-doped ZnO (AZO) films and their effect on the overall microstructure and optoelectronic properties of these films, in this work, Zn-rich-AZO and ZnO thin films are fabricated by adding excess zinc (from a zinc metallic target) during their deposition in RF magnetron sputtering and are then investigated using a wide range of experimental techniques. All these films are found to grow in a ZnO hexagonal wurtzite crystal structure with strong (002) orientation of the crystallites, with no indication of Al2O3, metallic Zn, and Al. The excessively introduced zinc in these AZO and/or ZnO films is found to increase the shallow donor level defects (i.e., zinc interstitials and oxygen-related electronic defect states), which is found to significantly increase the carrier concentration in these films. Additionally, aluminum is seen to enhance the creation of these electronic defect states in these films, thereby contributing more to the overall carrier concentration of these films. However, carrier mobility is found to decrease when the carrier concentration values are higher than 4 × 1020 cm-3, because of the electron-electron scattering. Whereas the optical band gap of the ZnO films is found to increase with increasing carrier concentration because of the Burstein-Moss shift, these decrease for the AZO films due to the band gap narrowing effect caused by excess carrier concentration.
The influence of oxidation time on the properties of oxidized zinc films
NASA Astrophysics Data System (ADS)
Rambu, A. P.
2012-09-01
The effect of oxidation time on the structural characteristics and electronic transport mechanism of zinc oxide thin films prepared by thermal oxidation, have been investigated. Zinc metallic films were deposited by thermal evaporation under vacuum, the subsequent oxidation of Zn films being carried out in open atmosphere. XRD and AFM analysis indicate that obtained films posses a polycrystalline structure, the crystallites having a preferential orientation. Structural analysis reveals that microstructure of the films (crystallite size, surface roughness, internal stress) is depending on the oxidation time of metallic films. The electrical behavior of ZnO films was investigated, during a heat treatment (two heating/cooling cycles). It was observed that after the first heating, the temperature dependences of electrical conductivity become reversible. Mott variable range hopping model was proposed to analyze the temperature dependence of the electrical conductivity, in low temperature ranges. Values of some characteristic parameters were calculated.
Paramagnetic dysprosium-doped zinc oxide thin films grown by pulsed-laser deposition
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lo, Fang-Yuh, E-mail: fangyuhlo@ntnu.edu.tw; Ting, Yi-Chieh; Chou, Kai-Chieh
2015-06-07
Dysprosium(Dy)-doped zinc oxide (Dy:ZnO) thin films were fabricated on c-oriented sapphire substrate by pulsed-laser deposition with doping concentration ranging from 1 to 10 at. %. X-ray diffraction (XRD), Raman-scattering, optical transmission spectroscopy, and spectroscopic ellipsometry revealed incorporation of Dy into ZnO host matrix without secondary phase. Solubility limit of Dy in ZnO under our deposition condition was between 5 and 10 at. % according to XRD and Raman-scattering characteristics. Optical transmission spectroscopy and spectroscopic ellipsometry also showed increase in both transmittance in ultraviolet regime and band gap of Dy:ZnO with increasing Dy density. Zinc vacancies and zinc interstitials were identified by photoluminescencemore » spectroscopy as the defects accompanied with Dy incorporation. Magnetic investigations with a superconducting quantum interference device showed paramagnetism without long-range order for all Dy:ZnO thin films, and a hint of antiferromagnetic alignment of Dy impurities was observed at highest doping concentration—indicating the overall contribution of zinc vacancies and zinc interstitials to magnetic interaction was either neutral or toward antiferromagnetic. From our investigations, Dy:ZnO thin films could be useful for spin alignment and magneto-optical applications.« less
NASA Astrophysics Data System (ADS)
Takechi, Kazushige; Nakata, Mitsuru; Eguchi, Toshimasa; Otsuki, Shigeyoshi; Yamaguchi, Hirotaka; Kaneko, Setsuo
2008-09-01
We report on the effect of zinc oxide (ZnO) film deposition position on the characteristics of ZnO thin-film transistors (TFTs) fabricated by magnetron sputtering with no intentional heating of the substrate. We evaluate the properties of ZnO (channel semiconductor) films deposited at various positions with respect to the target position. We show that the film deposition at a position off-centered from the target results in good TFT characteristics. This might be due to the fact that the off-centered deposition position is effective for suppressing the effect of energetic negative ions in the plasma.
2015-03-26
THIN - FILM - TRANSISTORS THESIS Thomas M. Donigan, First Lieutenant, USAF AFIT-ENG-MS-15-M-027 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR...DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS THESIS Presented to the Faculty Department of Electrical and...15-M-027 SUBTRACTIVE PLASMA-ASSISTED-ETCH PROCESS FOR DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS
Study of microstructure and electroluminescence of zinc sulfide thin film
NASA Astrophysics Data System (ADS)
Zhao-hong, Liu; Yu-jiang, Wang; Mou-zhi, Chen; Zhen-xiang, Chen; Shu-nong, Sun; Mei-chun, Huang
1998-03-01
The electroluminscent zinc sulfide thin film doped with erbium, fabricated by thermal evaporation with two boats, are examined. The surface and internal electronic states of ZnS thin film are measured by means of x-ray diffraction and x-ray photoemission spectroscopy. The information on the relations between electroluminescent characteristics and internal electronic states of the film is obtained. And the effects of the microstructure of thin film doped with rare earth erbium on electroluminescence are discussed as well.
Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor
NASA Astrophysics Data System (ADS)
Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.
2016-04-01
Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.
NASA Astrophysics Data System (ADS)
Muslih, E. Y.; Kim, K. H.
2017-07-01
Zinc oxide (ZnO) thin film as a transparent conductive oxide (TCO) for thin film solar cell application was successfully prepared through two step preparations which consisted of deposition by spin coating at 2000 rpm for 10 second and followed by annealing at 500 °C for 2 hours under O2 and ambient atmosphere. Zinc acetate dehydrate was used as a precursor which dissolved in ethanol and acetone (1:1 mol) mixture in order to make a zinc complex compound. In this work, we reported the O2 effect, reaction mechanism, structure, morphology, optical and electrical properties. ZnO thin film in this work shows a single phase of wurtzite, with n-type semiconductor and has band gap, carrier concentration, mobility, and resistivity as 3.18 eV, 1.21 × 10-19cm3, 11 cm2/Vs, 2.35 × 10-3 Ωcm respectively which is suitable for TCO at thin film solar cell.
Copper-Zinc-Tin-Sulfur Thin Film Using Spin-Coating Technology
Yeh, Min-Yen; Lei, Po-Hsun; Lin, Shao-Hsein; Yang, Chyi-Da
2016-01-01
Cu2ZnSnS4 (CZTS) thin films were deposited on glass substrates by using spin-coating and an annealing process, which can improve the crystallinity and morphology of the thin films. The grain size, optical gap, and atomic contents of copper (Cu), zinc (Zn), tin (Sn), and sulfur (S) in a CZTS thin film absorber relate to the concentrations of aqueous precursor solutions containing copper chloride (CuCl2), zinc chloride (ZnCl2), tin chloride (SnCl2), and thiourea (SC(NH2)2), whereas the electrical properties of CZTS thin films depend on the annealing temperature and the atomic content ratios of Cu/(Zn + Sn) and Zn/Sn. All of the CZTS films were characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive X-ray spectroscopy (EDXS), Raman spectroscopy, and Hall measurements. Furthermore, CZTS thin film was deposited on an n-type silicon substrate by using spin-coating to form an Mo/p-CZTS/n-Si/Al heterostructured solar cell. The p-CZTS/n-Si heterostructured solar cell showed a conversion efficiency of 1.13% with Voc = 520 mV, Jsc = 3.28 mA/cm2, and fill-factor (FF) = 66%. PMID:28773647
Analysis of indium zinc oxide thin films by laser-induced breakdown spectroscopy
NASA Astrophysics Data System (ADS)
Popescu, A. C.; Beldjilali, S.; Socol, G.; Craciun, V.; Mihailescu, I. N.; Hermann, J.
2011-10-01
We have performed spectroscopic analysis of the plasma generated by Nd:YAG (λ = 266 nm) laser irradiation of thin indium zinc oxide films with variable In content deposited by combinatorial pulsed laser deposition on glass substrates. The samples were irradiated in 5 × 104 Pa argon using laser pulses of 5 ns duration and 10 mJ energy. The plasma emission spectra were recorded with an Echelle spectrometer coupled to a gated detector with different delays with respect to the laser pulse. The relative concentrations of indium and zinc were evaluated by comparing the measured spectra to the spectral radiance computed for a plasma in local thermal equilibrium. Plasma temperature and electron density were deduced from the relative intensities and Stark broadening of spectral lines of atomic zinc. Analyses at different locations on the deposited thin films revealed that the In/(In + Zn) concentration ratio significantly varies over the sample surface, from 0.4 at the borders to about 0.5 in the center of the film. The results demonstrate that laser-induced breakdown spectroscopy allows for precise and fast characterization of thin films with variable composition.
Combinatorial study of zinc tin oxide thin-film transistors
NASA Astrophysics Data System (ADS)
McDowell, M. G.; Sanderson, R. J.; Hill, I. G.
2008-01-01
Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.
Kim, Chul Ho; Rim, You Seung; Kim, Hyun Jae
2013-07-10
We investigated the chemical stability and electrical properties of dual-active-layered zinc-tin-oxide (ZTO)/indium-gallium-zinc-oxide (IGZO) structures (DALZI) with the durability of the chemical damage. The IGZO film was easily corroded or removed by an etchant, but the DALZI film was effectively protected by the high chemical stability of ZTO. Furthermore, the electrical performance of the DALZI thin-film transistor (TFT) was improved by densification compared to the IGZO TFT owing to the passivation of the pin holes or pore sites and the increase in the carrier concentration due to the effect of Sn(4+) doping.
The zinc-loss effect and mobility enhancement of DUV-patterned sol-gel IGZO thin-film transistors
NASA Astrophysics Data System (ADS)
Wang, Kuan-Hsun; Zan, Hsiao-Wen; Soppera, Olivier
2018-03-01
We investigate the composition of the DUV-patterned sol-gel indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) and observe a significant zinc loss effect during developing when the DUV exposure is insufficient. The zinc loss, however, is beneficial for increasing the mobility. Reducing zinc to indium composition ratio from 0.5 to 0.02 can effectively increase mobility from 0.27 to 7.30 cm2 V-1 s-1 when the gallium to indium ratio is fixed as 0.25 and the post annealing process is fixed as 300 °C for 2 h. On the other hand, an IGO TFT fails to deliver a uniform film and a reproducible TFT performance, revealing the critical role of zinc in forming homogeneous IGZO TFTs.
Chen, Kuan; Chang, Hao Han R; Shalviri, Alireza; Li, Jason; Lugtu-Pe, Jamie Anne; Kane, Anil; Wu, Xiao Yu
2017-11-01
Water-soluble polymers are often used as pore formers to tailor permeability of film-forming hydrophobic polymers on coated dosage forms. However, their addition to a coating formulation could significantly increase the viscosity thus making the coating process difficult. Moreover, the dissolution of pore formers after oral administration could compromise film integrity resulting in undesirable, inconsistent release profiles. Therefore, a non-leaching, pH-responsive nanoparticulate pore former is proposed herein to preserve film integrity and maintain pH-dependent permeability. Poly(methacrylic acid)-polysorbate 80-grafted-starch terpolymer nanoparticles (TPNs) were incorporated within an ethylcellulose (EC) film (TPN-EC) by casting or spray coating. TPNs at 10%wt (pore former level) only increased viscosity of EC coating suspension slightly while conventional pore formers increased the viscosity by 490-11,700%. Negligible leaching of TPNs led to superior mechanical properties of TPN-EC films compared to Eudragit® L-EC films. As pH increased from 1.2 to 6.8, TPN-EC films with 10% pore former level exhibited an 8-fold higher diltiazem permeability compared to Eudragit® L-EC films. The pH-dependent drug release kinetics of diltiazem HCl beads coated with TPN-EC films was tunable by adjusting the pore former level. These results suggest that the TPNs are promising pH-sensitive nanoparticulate pore formers in EC-coated dosage forms. Copyright © 2017 Elsevier B.V. All rights reserved.
Chromium and Ruthenium-Doped Zinc Oxide Thin Films for Propane Sensing Applications
Gómez-Pozos, Heberto; González-Vidal, José Luis; Torres, Gonzalo Alberto; Rodríguez-Baez, Jorge; Maldonado, Arturo; de la Luz Olvera, María; Acosta, Dwight Roberto; Avendaño-Alejo, Maximino; Castañeda, Luis
2013-01-01
Chromium and ruthenium-doped zinc oxide (ZnO:Cr) and (ZnO:Ru) thin solid films were deposited on soda-lime glass substrates by the sol-gel dip-coating method. A 0.6 M solution of zinc acetate dihydrate dissolved in 2-methoxyethanol and monoethanolamine was used as basic solution. Chromium (III) acetylacetonate and Ruthenium (III) trichloride were used as doping sources. The Ru incorporation and its distribution profile into the films were proved by the SIMS technique. The morphology and structure of the films were studied by SEM microscopy and X-ray diffraction measurements, respectively. The SEM images show porous surfaces covered by small grains with different grain size, depending on the doping element, and the immersions number into the doping solutions. The sensing properties of ZnO:Cr and ZnO:Ru films in a propane (C3H8) atmosphere, as a function of the immersions number in the doping solution, have been studied in the present work. The highest sensitivity values were obtained for films doped from five immersions, 5.8 and 900, for ZnO:Cr and ZnO:Ru films, respectively. In order to evidence the catalytic effect of the chromium (Cr) and ruthenium (Ru), the sensing characteristics of undoped ZnO films are reported as well. PMID:23482091
High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide
Noviyana, Imas; Lestari, Annisa Dwi; Putri, Maryane; Won, Mi-Sook; Bae, Jong-Seong; Heo, Young-Woo; Lee, Hee Young
2017-01-01
Top-contact bottom-gate thin film transistors (TFTs) with zinc-rich indium zinc tin oxide (IZTO) active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C. PMID:28773058
Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas
Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1 μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. Utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less
Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas
Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. In conclusion, utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less
Environmentally induced chemical and morphological heterogeneity of zinc oxide thin films
Jiang, Hua; Chou, Kang Wei; Petrash, Stanislas; ...
2016-09-02
Zinc oxide (ZnO) thin films have been reported to suffer from degradation in electrical properties, when exposed to elevated heat and humidity, often leading to failures of electronic devices containing ZnO films. This degradation appears to be linked to water and oxygen penetration into the ZnO film. However, a direct observation in the ZnO film morphological evolution detailing structural and chemical changes has been lacking. Here, we systematically investigated the chemical and morphological heterogeneities of ZnO thin films caused by elevated heat and humidity, simulating an environmental aging. X-ray fluorescence microscopy, X-ray absorption spectroscopy, grazing incidence small angle and widemore » angle X-ray scattering, scanning electron microscopy (SEM), ultra-high-resolution SEM, and optical microscopy were carried out to examine ZnO and Al-doped ZnO thin films on two different substrates—silicon wafers and flexible polyethylene terephthalate (PET) films. In the un-doped ZnO thin film, the simulated environmental aging is resulting in pin-holes. In the Al-doped ZnO thin films, significant morphological changes occurred after the treatment, with an appearance of platelet-shaped structures that are 100–200 nm wide by 1μm long. Synchrotron x-ray characterization further confirmed the heterogeneity in the aged Al-doped ZnO, showing the formation of anisotropic structures and disordering. X-ray diffraction and X-ray absorption spectroscopy indicated the formation of a zinc hydroxide in the aged Al-doped films. In conclusion, utilizing advanced characterization methods, our studies provided information with an unprecedented level of details and revealed the chemical and morphologically heterogeneous nature of the degradation in ZnO thin films.« less
NASA Astrophysics Data System (ADS)
Shimpi, Tushar M.; Drayton, Jennifer; Swanson, Drew E.; Sampath, Walajabad S.
2017-08-01
Zinc telluride (ZnTe) films have been deposited onto uncoated glass superstrates by reactive radiofrequency (RF) sputtering with different amounts of nitrogen introduced into the process gas, and the structural and electronic transport properties of the resulting nitrogen-doped ZnTe (ZnTe:N) films characterized. Based on transmission and x-ray diffraction measurements, it was observed that the crystalline quality of the ZnTe:N films decreased with increasing nitrogen in the deposition process. The bulk carrier concentration of the ZnTe:N films determined from Hall-effect measurements showed a slight decrease at 4% nitrogen flow rate. The effect of ZnTe:N films as back contact to cadmium telluride (CdTe) solar cells was also investigated. ZnTe:N films were deposited before or after CdCl2 passivation on CdTe/CdS samples. Small-area devices were characterized for their electronic properties. Glancing-angle x-ray diffraction measurements and energy-dispersive spectroscopy analysis confirmed substantial loss of zinc from the samples where CdCl2 passivation was carried out after ZnTe:N film deposition.
Morphological impact of zinc oxide layers on the device performance in thin-film transistors.
Faber, Hendrik; Klaumünzer, Martin; Voigt, Michael; Galli, Diana; Vieweg, Benito F; Peukert, Wolfgang; Spiecker, Erdmann; Halik, Marcus
2011-03-01
Zinc oxide thin-films are prepared either by spin coating of an ethanolic dispersion of nanoparticles (NP, diameter 5 nm) or by spray pyrolysis of a zinc acetate dihydrate precursor. High-resolution electron microscopy studies reveal a monolayer of particles for the low temperature spin coating approach and larger crystalline domains of more than 30 nm for the spray pyrolysis technique. Thin-film transistor devices (TFTs) based on spray pyrolysis films exhibit higher electron mobilities of up to 24 cm2 V(-1) s(-1) compared to 0.6 cm2 V(-1) s(-1) for NP based TFTs. These observations were dedicated to a reduced number of grain boundaries within the transistor channel.
Ion beam sputter deposited zinc telluride films
NASA Technical Reports Server (NTRS)
Gulino, D. A.
1986-01-01
Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter deposition are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq cm resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x 10 to the -6th/ohm cm for 300 angstrom film to 2.56 x 10 to the -1/ohm cm for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.
Ion beam sputter deposited zinc telluride films
NASA Technical Reports Server (NTRS)
Gulino, D. A.
1985-01-01
Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter depoairion are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq. cm. resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x to to the -6/ohm. cm. for 300 angstrom film to 2.56 x 10 to the -1/ohm. cm. for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.
NASA Astrophysics Data System (ADS)
Çetinörgü, E.; Goldsmith, S.
2007-09-01
ZnO, SnO2 and zinc stannate thin films were deposited on commercial microscope glass and UV fused silica substrates using filtered vacuum arc deposition system. During the deposition, the substrate temperature was at room temperature (RT) or at 400 °C. The film structure and composition were determined using x-ray diffraction and x-ray photoelectron spectroscopy, respectively. The transmission of the films in the VIS was 85% to 90%. The thermal stability of the film electrical resistance was determined in air as a function of the temperature in the range 28 °C (RT) to 200 °C. The resistance of ZnO increased from ~ 5000 to 105 Ω when heated to 200 °C, that of SnO2 films increased from 500 to 3900 Ω, whereas that of zinc stannate thin films increased only from 370 to 470 Ω. During sample cooling to RT, the resistance of ZnO and SnO2 thin films continued to rise considerably; however, the increase in the zinc stannate thin film resistance was significantly lower. After cooling to RT, ZnO and SnO2 thin films became practically insulators, while the resistance of zinc stannate was 680 Ω. The chemical stability of the films was determined by immersing in acidic and basic solutions up to 27 h. The SnO2 thin films were more stable in the HCl solution than the ZnO and the zinc stannate thin films; however, SnO2 and zinc stannate thin films that were immersed in the NaOH solution did not dissolve after 27 h.
Concepts and practices used to develop functional PLGA-based nanoparticulate systems.
Sah, Hongkee; Thoma, Laura A; Desu, Hari R; Sah, Edel; Wood, George C
2013-01-01
The functionality of bare polylactide-co-glycolide (PLGA) nanoparticles is limited to drug depot or drug solubilization in their hard cores. They have inherent weaknesses as a drug-delivery system. For instance, when administered intravenously, the nanoparticles undergo rapid clearance from systemic circulation before reaching the site of action. Furthermore, plain PLGA nanoparticles cannot distinguish between different cell types. Recent research shows that surface functionalization of nanoparticles and development of new nanoparticulate dosage forms help overcome these delivery challenges and improve in vivo performance. Immense research efforts have propelled the development of diverse functional PLGA-based nanoparticulate delivery systems. Representative examples include PEGylated micelles/nanoparticles (PEG, polyethylene glycol), polyplexes, polymersomes, core-shell-type lipid-PLGA hybrids, cell-PLGA hybrids, receptor-specific ligand-PLGA conjugates, and theranostics. Each PLGA-based nanoparticulate dosage form has specific features that distinguish it from other nanoparticulate systems. This review focuses on fundamental concepts and practices that are used in the development of various functional nanoparticulate dosage forms. We describe how the attributes of these functional nanoparticulate forms might contribute to achievement of desired therapeutic effects that are not attainable using conventional therapies. Functional PLGA-based nanoparticulate systems are expected to deliver chemotherapeutic, diagnostic, and imaging agents in a highly selective and effective manner.
Concepts and practices used to develop functional PLGA-based nanoparticulate systems
Sah, Hongkee; Thoma, Laura A; Desu, Hari R; Sah, Edel; Wood, George C
2013-01-01
The functionality of bare polylactide-co-glycolide (PLGA) nanoparticles is limited to drug depot or drug solubilization in their hard cores. They have inherent weaknesses as a drug-delivery system. For instance, when administered intravenously, the nanoparticles undergo rapid clearance from systemic circulation before reaching the site of action. Furthermore, plain PLGA nanoparticles cannot distinguish between different cell types. Recent research shows that surface functionalization of nanoparticles and development of new nanoparticulate dosage forms help overcome these delivery challenges and improve in vivo performance. Immense research efforts have propelled the development of diverse functional PLGA-based nanoparticulate delivery systems. Representative examples include PEGylated micelles/nanoparticles (PEG, polyethylene glycol), polyplexes, polymersomes, core-shell–type lipid-PLGA hybrids, cell-PLGA hybrids, receptor-specific ligand-PLGA conjugates, and theranostics. Each PLGA-based nanoparticulate dosage form has specific features that distinguish it from other nanoparticulate systems. This review focuses on fundamental concepts and practices that are used in the development of various functional nanoparticulate dosage forms. We describe how the attributes of these functional nanoparticulate forms might contribute to achievement of desired therapeutic effects that are not attainable using conventional therapies. Functional PLGA-based nanoparticulate systems are expected to deliver chemotherapeutic, diagnostic, and imaging agents in a highly selective and effective manner. PMID:23459088
Improvement of Flame-made ZnO Nanoparticulate Thick Film Morphology for Ethanol Sensing
Liewhiran, Chaikarn; Phanichphantandast, Sukon
2007-01-01
ZnO nanoparticles were produced by flame spray pyrolysis using zinc naphthenate as a precursor dissolved in toluene/acetonitrile (80/20 vol%). The particles properties were analyzed by XRD, BET. The ZnO particle size and morphology was observed by SEM and HR-TEM revealing spheroidal, hexagonal, and rod-like morphologies. The crystallite sizes of ZnO spheroidal and hexagonal particles ranged from 10-20 nm. ZnO nanorods were ranged from 10-20 nm in width and 20-50 nm in length. Sensing films were produced by mixing the nanoparticles into an organic paste composed of terpineol and ethyl cellulose as a vehicle binder. The paste was doctor-bladed onto Al2O3 substrates interdigitated with Au electrodes. The morphology of the sensing films was analyzed by optical microscopy and SEM analysis. Cracking of the sensing films during annealing process was improved by varying the heating conditions. The gas sensing of ethanol (25-250 ppm) was studied at 400 °C in dry air containing SiC as the fluidized particles. The oxidation of ethanol on the surface of the semiconductor was confirmed by mass spectroscopy (MS). The effect of micro-cracks was quantitatively accounted for as a provider of extra exposed edges. The sensitivity decreased notably with increasing crack of sensing films. It can be observed that crack widths were reduced with decreasing heating rates. Crack-free of thick (5 μm) ZnO films evidently showed higher sensor signal and faster response times (within seconds) than cracked sensor. The sensor signal increased and the response time decreased with increasing ethanol concentration.
Zinc oxyfluoride transparent conductor
Gordon, Roy G.
1991-02-05
Transparent, electrically conductive and infrared-reflective films of zinc oxyfluoride are produced by chemical vapor deposition from vapor mixtures of zinc, oxygen and fluorine-containing compounds. The substitution of fluorine for some of the oxygen in zinc oxide results in dramatic increases in the electrical conductivity. For example, diethyl zinc, ethyl alcohol and hexafluoropropene vapors are reacted over a glass surface at 400.degree. C. to form a visibly transparent, electrically conductive, infrared reflective and ultraviolet absorptive film of zinc oxyfluoride. Such films are useful in liquid crystal display devices, solar cells, electrochromic absorbers and reflectors, energy-conserving heat mirrors, and antistatic coatings.
NASA Astrophysics Data System (ADS)
Baisakh, K.; Behera, S.; Pati, S.
2018-03-01
In this work we have systematically studied the optical characteristics of synthesized wurzite zinc oxide thin films exhibiting (002) orientation. Using sol gel spin coating technique zinc oxide thin films are grown on pre cleaned fused quartz substrates. Structural properties of the films are studied using X-ray diffraction analysis. Micro structural analysis and thickness of the grown samples are analyzed using field emission scanning electron microscopy. With an aim to investigate the optical characteristics of the grown zinc oxide thin films the transmission and reflection spectra are evaluated in the ultraviolet-visible (UV-VIS) range. Using envelope method, the refractive index, extinction coefficient, absorption coefficient, band gap energy and the thickness of the synthesized films are estimated from the recorded UV-VIS spectra. An attempt has also been made to study the influence of crystallographic orientation on the optical characteristics of the grown films.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Reddy, R. Subba; Sreedhar, A.; Uthanna, S., E-mail: uthanna@rediffmail.com
Molybdenum doped zinc oxide (MZO) films were deposited on to glass substrates held at temperatures in the range from 303 to 673 K by reactive RF magnetron sputtering method. The chemical composition, crystallographic structure and surface morphology, electrical and optical properties of the films were determined. The films contained the molybdenum of 2.7 at. % in ZnO. The films deposited at 303 K were of X-ray amorphous. The films formed at 473 K were of nanocrystalline in nature with wurtzite structure. The crystallite size of the films was increased with the increase of substrate temperature. The optical transmittance of the films was inmore » the visible range was 80–85%. The molybdenum (2.7 at %) doped zinc oxide films deposited at substrate temperature of 573 K were of nanocrystalline with electrical resistivity of 7.2×10{sup −3} Ωcm, optical transmittance of 85 %, optical band gap of 3.35 eV and figure of merit 30.6 Ω{sup −1}cm{sup −1}.« less
Acoustoelectric current saturation in c-axis fiber-textured polycrystalline zinc oxide films
NASA Astrophysics Data System (ADS)
Pompe, T.; Srikant, V.; Clarke, D. R.
1996-12-01
Acoustoelectric current saturation, which until now has only been observed in piezoelectric single crystals, is observed in thin polycrystalline zinc oxide films. Epitaxial ZnO films on c-plane sapphire and textured ZnO polycrystalline films on fused silica both exhibit current saturation phenomenon. The values of the saturation current densities are in the range 105-106 A/cm2, depending on the carrier concentration in the film, with corresponding saturation electric fields of 3-5×103 V/cm. In addition to the current saturation, the electrical properties of the films degraded with the onset of the acoustoelectric effect but could be restored by annealing at 250 °C in a vacuum for 30 min.
NASA Astrophysics Data System (ADS)
Imanaka, Yoshihiko; Anazawa, Toshihisa; Manabe, Toshio; Amada, Hideyuki; Ido, Sachio; Kumasaka, Fumiaki; Awaji, Naoki; Sánchez-Santolino, Gabriel; Ishikawa, Ryo; Ikuhara, Yuichi
2016-10-01
The artificial photosynthesis technology known as the Honda-Fujishima effect, which produces oxygen and hydrogen or organic energy from sunlight, water, and carbon dioxide, is an effective energy and environmental technology. The key component for the higher efficiency of this reaction system is the anode electrode, generally composed of a photocatalyst formed on a glass substrate from electrically conductive fluorine-doped tin oxide (FTO). To obtain a highly efficient electrode, a dense film composed of a nanoparticulate visible light responsive photocatalyst that usually has a complicated multi-element composition needs to be deposited and adhered onto the FTO. In this study, we discovered a method for controlling the electronic structure of a film by controlling the aerosol-type nanoparticle deposition (NPD) condition and thereby forming films of materials with a band gap smaller than that of the prepared raw material powder, and we succeeded in extracting a higher current from the anode electrode. As a result, we confirmed that a current approximately 100 times larger than those produced by conventional processes could be obtained using the same material. This effect can be expected not only from the materials discussed (GaN-ZnO) in this paper but also from any photocatalyst, particularly materials of solid solution compositions.
Imanaka, Yoshihiko; Anazawa, Toshihisa; Manabe, Toshio; Amada, Hideyuki; Ido, Sachio; Kumasaka, Fumiaki; Awaji, Naoki; Sánchez-Santolino, Gabriel; Ishikawa, Ryo; Ikuhara, Yuichi
2016-01-01
The artificial photosynthesis technology known as the Honda-Fujishima effect, which produces oxygen and hydrogen or organic energy from sunlight, water, and carbon dioxide, is an effective energy and environmental technology. The key component for the higher efficiency of this reaction system is the anode electrode, generally composed of a photocatalyst formed on a glass substrate from electrically conductive fluorine-doped tin oxide (FTO). To obtain a highly efficient electrode, a dense film composed of a nanoparticulate visible light responsive photocatalyst that usually has a complicated multi-element composition needs to be deposited and adhered onto the FTO. In this study, we discovered a method for controlling the electronic structure of a film by controlling the aerosol-type nanoparticle deposition (NPD) condition and thereby forming films of materials with a band gap smaller than that of the prepared raw material powder, and we succeeded in extracting a higher current from the anode electrode. As a result, we confirmed that a current approximately 100 times larger than those produced by conventional processes could be obtained using the same material. This effect can be expected not only from the materials discussed (GaN-ZnO) in this paper but also from any photocatalyst, particularly materials of solid solution compositions. PMID:27759108
Bioinspired Nanoparticulate Medical Glues for Minimally Invasive Tissue Repair.
Lee, Yuhan; Xu, Chenjie; Sebastin, Monisha; Lee, Albert; Holwell, Nathan; Xu, Calvin; Miranda Nieves, David; Mu, Luye; Langer, Robert S; Lin, Charles; Karp, Jeffrey M
2015-11-18
Delivery of tissue glues through small-bore needles or trocars is critical for sealing holes, affixing medical devices, or attaching tissues together during minimally invasive surgeries. Inspired by the granule-packaged glue delivery system of sandcastle worms, a nanoparticulate formulation of a viscous hydrophobic light-activated adhesive based on poly(glycerol sebacate)-acrylate is developed. Negatively charged alginate is used to stabilize the nanoparticulate surface to significantly reduce its viscosity and to maximize injectability through small-bore needles. The nanoparticulate glues can be concentrated to ≈30 w/v% dispersions in water that remain localized following injection. With the trigger of a positively charged polymer (e.g., protamine), the nanoparticulate glues can quickly assemble into a viscous glue that exhibits rheological, mechanical, and adhesive properties resembling the native poly(glycerol sebacate)-acrylate based glues. This platform should be useful to enable the delivery of viscous glues to augment or replace sutures and staples during minimally invasive procedures. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Synthesis of zinc oxide thin films prepared by sol-gel for specific bioactivity
NASA Astrophysics Data System (ADS)
Adam, Tijjani; Basri, B.; Dhahi, Th. S.; Mohammed, Mohammed; Hashim, U.; Noriman, N. Z.; Dahham, Omar S.
2017-09-01
Zinc oxide (ZnO) thin films this device to used for many application like chemical sensor, biosensor, solar energy, etc but my project to use for bioactivity(biosensor). Zinc oxide (ZnO) thin films have been grown using sol-gel technique. Characterization was done using Scanning Electron Microscope (SEM), Energy Dispersive X-ray(EDX) and Electrical Measurement(I-V). ZnO thin film was successfully synthesized using low cost sol-gel spin coating method. The coupling of DNA probe to ZnO thin film supports modified with carboxylic acid (COOH) is certainly the best practical method to make DNA immobilization and it does not require any coupling agent which could be a source of variability during the spotting with an automatic device. So, selected this coupling procedure for further experiments. The sensor was tested with initial trial with low concentrated DNA and able to detect detection of the disease effectively. Silicon-on-insulator (SOI) wafer device with ZnO can detect at different concentration in order to valid the device capabilities for detecting development. The lowest concentration 1 µM HPV DNA probe can detect is 0.1 nM HPV target DNA.
NASA Astrophysics Data System (ADS)
Lin, Yung-Hao; Lee, Ching-Ting
2017-08-01
High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.
NASA Astrophysics Data System (ADS)
Suko, Ayaka; Jia, JunJun; Nakamura, Shin-ichi; Kawashima, Emi; Utsuno, Futoshi; Yano, Koki; Shigesato, Yuzo
2016-03-01
Amorphous indium-gallium-zinc oxide (a-IGZO) films were deposited by DC magnetron sputtering and post-annealed in air at 300-1000 °C for 1 h to investigate the crystallization behavior in detail. X-ray diffraction, electron beam diffraction, and high-resolution electron microscopy revealed that the IGZO films showed an amorphous structure after post-annealing at 300 °C. At 600 °C, the films started to crystallize from the surface with c-axis preferred orientation. At 700-1000 °C, the films totally crystallized into polycrystalline structures, wherein the grains showed c-axis preferred orientation close to the surface and random orientation inside the films. The current-gate voltage (Id-Vg) characteristics of the IGZO thin-film transistor (TFT) showed that the threshold voltage (Vth) and subthreshold swing decreased markedly after the post-annealing at 300 °C. The TFT using the totally crystallized films also showed the decrease in Vth, whereas the field-effect mobility decreased considerably.
Temperature Dependence of the Seebeck Coefficient in Zinc Oxide Thin Films
NASA Astrophysics Data System (ADS)
Noori, Amirreza; Masoumi, Saeed; Hashemi, Najmeh
2017-12-01
Thermoelectric devices are reliable tools for converting waste heat into electricity as they last long, produce no noise or vibration, have no moving elements, and their light weight makes them suitable for the outer space usage. Materials with high thermoelectric figure of merit (zT) have the most important role in the fabrication of efficient thermoelectric devices. Metal oxide semiconductors, specially zinc oxide has recently received attention as a material suitable for sensor, optoelectronic and thermoelectric device applications because of their wide direct bandgap, chemical stability, high-energy radiation endurance, transparency and acceptable zT. Understanding the thermoelectric properties of the undoped ZnO thin films can help design better ZnO-based devices. Here, we report the results of our experimental work on the thermoelectric properties of the undoped polycrystalline ZnO thin films. These films are deposited on alumina substrates by thermal evaporation of zinc in vacuum followed by a controlled oxidation process in air carried out at the 350-500 °C temperature range. The experimental setup including gradient heaters, thermometry system and Seebeck voltage measurement equipment for high resistance samples is described. Seebeck voltage and electrical resistivity of the samples are measured at different conditions. The observed temperature dependence of the Seebeck coefficient is discussed.
Zinc oxide films chemically grown onto rigid and flexible substrates for TFT applications
NASA Astrophysics Data System (ADS)
Suchea, M.; Kornilios, N.; Koudoumas, E.
2010-10-01
This contribution presents some preliminary results regarding the use of a chemical route for the growth of good quality ZnO thin films that can be used for the fabrication of thin film transistors (TFTs). The films were grown at rather low temperature (60 °C) on glass and PET substrates using non-aqueous (zinc acetate dihydrate in methanol) precursor solution and their surface morphology, crystalline structure, optical transmittance and electrical characteristics were studied. The study indicated that good quality films with desirable ZnO structure onto rigid and flexible substrates can be obtained, using a simple, cheap, low temperature chemical growth method.
Nanostructuring on zinc phthalocyanine thin films for single-junction organic solar cells
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chaudhary, Dhirendra K.; Kumar, Lokendra, E-mail: lokendrakr@allduniv.ac.in
2016-05-23
Vertically aligned and random oriented crystalline molecular nanorods of organic semiconducting Zinc Phthalocyanine (ZnPc) have been grown on ITO coated glass substrate using solvent volatilization method. Interesting changes in surface morphology were observed under different solvent treatment. Vertically aligned nanorods of ZnPc thin film were observed in the films treated with acetone, where as the random oriented nanorods were observed in the films treated with chloroform. The X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) have been used for characterization of nanostructures. The optical properties of the nanorods have been investigated by UV-Vis. absorption spectroscopy.
Read, Daniel S; Matzke, Marianne; Gweon, Hyun S; Newbold, Lindsay K; Heggelund, Laura; Ortiz, Maria Diez; Lahive, Elma; Spurgeon, David; Svendsen, Claus
2016-03-01
Zinc oxide nanoparticles (ZnO NPs) are used in an array of products and processes, ranging from personal care products to antifouling paints, textiles, food additives, antibacterial agents and environmental remediation processes. Soils are an environment likely to be exposed to manmade nanoparticles due to the practice of applying sewage sludge as a fertiliser or as an organic soil improver. However, understanding on the interactions between soil properties, nanoparticles and the organisms that live within soil is lacking, especially with regards to soil bacterial communities. We studied the effects of nanoparticulate, non-nanoparticulate and ionic zinc (in the form of zinc chloride) on the composition of bacterial communities in soil with a modified pH range (from pH 4.5 to pH 7.2). We observed strong pH-dependent effects on the interaction between bacterial communities and all forms of zinc, with the largest changes in bacterial community composition occurring in soils with low and medium pH levels (pH 4.8 and 5.9). The high pH soil (pH 7.2) was less susceptible to the effects of zinc exposure. At the highest doses of zinc (2500 mg/kg dw soil), both nano and non-nano particulate zinc applications elicited a similar response in the soil bacterial community, and this differed significantly to the ionic zinc salt treatment. The results highlight the importance of considering soil pH in nanotoxicology studies, although further work is needed to determine the exact mechanisms controlling the toxicity and fate and interactions of nanoparticles with soil microbial communities.
Bio-inspired Nanoparticulate Medical Glues for Minimally Invasive Tissue Repair
Lee, Yuhan; Xu, Chenjie; Sebastin, Monisha; Lee, Albert; Holwell, Nathan; Xu, Calvin; Miranda-Nieves, David; Mu, Luye; Lin, Charles
2015-01-01
Delivery of tissue glues through small-bore needles or trocars is critical for sealing holes, affixing medical devices, or attaching tissues together during minimally invasive surgeries. Inspired by the granule-packaged glue delivery system of sandcastle worms, we have developed a nanoparticulate formulation of a viscous hydrophobic light-activated adhesive based on poly(glycerol sebacate)-acrylate. Negatively charged alginate was used to stabilize the nanoparticulate surface to significantly reduce its viscosity and to maximize injectability through small-bore needles. The nanoparticulate glues can be concentrated to ~30w/v% dispersions in water that remain localized following injection. With the trigger of a positively charged polymer (e.g., protamine), the nanoparticulate glues can quickly assemble into a viscous glue that exhibits rheological, mechanical and adhesive properties resembling the native poly(glycerol sebacate)-acrylate based glues. This platform should be useful to enable the delivery of viscous glues to augment or replace sutures and staples during minimally invasive procedures. PMID:26227833
Lee, Hyeonju; Zhang, Xue; Hwang, Jaeeun; Park, Jaehoon
2016-10-19
We report on the morphological influence of solution-processed zinc oxide (ZnO) semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs). Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites.
Deposition of zinc sulfide thin films by chemical bath process
NASA Astrophysics Data System (ADS)
Oladeji, Isaiah O.; Chow, Lee
1996-11-01
Deposition of high quality zinc sulfide (ZnS) thin film over a large area is required if it is to be effectively used in electroluminescent devices, solar cells, and other optoelectronic devices. Of all deposition techniques, chemical bath deposition (CBD) is the least costly technique that meets the above requirements. Recently it is found that the growth of ZnS film, of thickness less than 100 nm in a single dip, by CBD is facilitated by the use of ammonia and hydrazine as complexing agents. Here we report that the thickness of the deposited ZnS film can be increased if ammonium salt is used as a buffer. We also present an analytical study to explain our results and to further understand the ZnS growth process in CBD.
NASA Astrophysics Data System (ADS)
Perumal, R.; Hassan, Z.
2016-06-01
Zinc oxide receives remarkable attention due to its several attractive physical properties. Zinc oxide thin films doped with nitrogen were grown by employing RF magnetron sputtering method at room temperature. Doping was accomplished in gaseous medium by mixing high purity nitrogen gas along with argon sputtering gas. Structural studies confirmed the high crystalline nature with c-axis oriented growth of the nitrogen doped zinc oxide thin films. The tensile strain was developed due to the incorporation of the nitrogen into the ZnO crystal lattice. Surface roughness of the grown films was found to be decreased with increasing doping level was identified through atomic force microscope analysis. The presenting phonon modes of each film were confirmed through FTIR spectral analysis. The increasing doping level leads towards red-shifting of the cut-off wavelength due to decrement of the band gap was identified through UV-vis spectroscopy. All the doped films exhibited p-type conductivity was ascertained using Hall measurements and the obtained results were presented.
Nanostructure of aluminium (Al) - Doped zinc oxide (AZO) thin films
NASA Astrophysics Data System (ADS)
Hussin, Rosniza; Husin, M. Asri
2017-12-01
Aluminium (Al)-doped Zinc Oxide (ZnO) was deposited on glass substrates by using the sol-gel dip coating technique. Next, AZO sol-gel solution was produced via sol-gel method. Al was used as doped element with molar ratios of 1%, 2%, and 3%, while the calcination temperatures were set at 400°C, 500°C, and 600°C for 2 hours. In fact, characterization was carried out in order to determine the effect of calcination temperature and molar ratio of doping by using several techniques, such as X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Field Emission Scanning Electron Microscopy (FESEM), and Ultraviolet-Visible spectroscopy (UV-Vis). XRD was performed to investigate the crystal structure in which the ZnO was in wurtzite hexagonal form. Next, Energy Dispersive Spectroscopy (EDS) was used to determine the composition of thin films where the result revealed the existence of zinc, oxygen, and aluminium. The roughness of the deposited film was later measured by using the AFM approach where the findings indicated increment in RMS from 8.496 nm to 35.883 nm as the temperature was increased. Additionally, FESEM was carried out to look into the microstructure surfaces of the deposited AZO thin film for increased temperature caused the particle to grow bigger for all molar ratio of dopant. Lastly, UV-Vis was conducted to study the optical properties of AZO, in which the result demonstrated that AZO thin film possessed the highest transmittance percentage among all samples above 90% with band gap value that ranged from 3.25 eV to 3.32 eV.
Properties of solid solutions, doped film, and nanocomposite structures based on zinc oxide
NASA Astrophysics Data System (ADS)
Lashkarev, G. V.; Shtepliuk, I. I.; Ievtushenko, A. I.; Khyzhun, O. Y.; Kartuzov, V. V.; Ovsiannikova, L. I.; Karpyna, V. A.; Myroniuk, D. V.; Khomyak, V. V.; Tkach, V. N.; Timofeeva, I. I.; Popovich, V. I.; Dranchuk, N. V.; Khranovskyy, V. D.; Demydiuk, P. V.
2015-02-01
A study of the properties of materials based on the wide bandgap zinc oxide semiconductor, which are promising for application in optoelectronics, photovoltaics and nanoplasmonics. The structural and optical properties of solid solution Zn1-xCdxO films with different cadmium content, are studied. The samples are grown using magnetron sputtering on sapphire backing. Low-temperature photoluminescence spectra revealed emission peaks associated with radiative recombination processes in those areas of the film that have varying amounts of cadmium. X-ray phase analysis showed the presence of a cadmium oxide cubic phase in these films. Theoretical studies of the solid solution thermodynamic properties allowed for a qualitative interpretation of the observed experimental phenomena. It is established that the growth of the homogeneous solid solution film is possible only at high temperatures, whereas regions of inhomogeneous composition can be narrowed through elastic deformation, caused by the mismatch of the film-backing lattice constants. The driving forces of the spinodal decomposition of the Zn1-xCdxO system are identified. Fullerene-like clusters of Znn-xCdxOn are used to calculate the bandgap and the cohesive energy of ZnCdO solid solutions. The properties of transparent conductive ZnO films, doped with Group III donor impurities (Al, Ga, In), are examined. It is shown that oxygen vacancies are responsible for the hole trap centers in the zinc oxide photoconductivity process. We also examine the photoluminescence properties of metal-ZnO nanocomposite structures, caused by surface plasmons.
Characterization of zinc oxide thin film for pH detector
NASA Astrophysics Data System (ADS)
Hashim, Uda; Fathil, M. F. M.; Arshad, M. K. Md; Gopinath, Subash C. B.; Uda, M. N. A.
2017-03-01
This paper presents the fabrication process of the zinc oxide thin films for using to act as pH detection by using different PH solution. Sol-gel solution technique is used for preparing zinc oxide seed solution, followed by metal oxide deposition process by using spin coater on the silicon dioxide. Silicon dioxide layer is grown on the silicon wafer, then, ZnO seed solution is deposited on the silicon layer, baked, and annealing process carried on to undergo the characterization of its surface morphology, structural and crystalline phase. Electrical characterization is showed by using PH 4, 7, and 10 is dropped on the surface of the die, in addition, APTES solution is used as linker and also as a references of the electrical characterization.
Teeter, Glenn; Du, Hui; Young, Matthew
2013-08-06
A method for synthesizing a thin film of copper, zinc, tin, and a chalcogen species ("CZTCh" or "CZTSS") with well-controlled properties. The method includes depositing a thin film of precursor materials, e.g., approximately stoichiometric amounts of copper (Cu), zinc (Zn), tin (Sn), and a chalcogen species (Ch). The method then involves re-crystallizing and grain growth at higher temperatures, e.g., between about 725 and 925 degrees K, and annealing the precursor film at relatively lower temperatures, e.g., between 600 and 650 degrees K. The processing of the precursor film takes place in the presence of a quasi-equilibrium vapor, e.g., Sn and chalcogen species. The quasi-equilibrium vapor is used to maintain the precursor film in a quasi-equilibrium condition to reduce and even prevent decomposition of the CZTCh and is provided at a rate to balance desorption fluxes of Sn and chalcogens.
NASA Astrophysics Data System (ADS)
Winarski, David
Zinc oxide has been given much attention recently as it is promising for various semiconductor device applications. ZnO has a direct band gap of 3.3 eV, high exciton binding energy of 60 meV and can exist in various bulk powder and thin film forms for different applications. ZnO is naturally n-type with various structural defects, which sparks further investigation into the material properties. Although there are many potential applications for this ZnO, an overall lack of understand and control of intrinsic defects has proven difficult to obtain consistent, repeatable results. This work studies both synthesis and characterization of zinc oxide in an effort to produce high quality transparent conductive oxides. The sol-gel spin coating method was used to obtain highly transparent ZnO thin films with high UV absorbance. This research develops a new more consistent method for synthesis of these thin films, providing insight for maintaining quality control for each step in the procedure. A sol-gel spin coating technique is optimized, yielding highly transparent polycrystalline ZnO thin films with tunable electrical properties. Annealing treatment in hydrogen and zinc atmospheres is researched in an effort to increase electrical conductivity and better understand intrinsic properties of the material. These treatment have shown significant effects on the properties of ZnO. Characterization of doped and undoped ZnO synthesized by the sol-gel spin coating method was carried out using scanning electron microscopy, UV-Visible range absorbance, X-ray diffraction, and the Hall Effect. Treatment in hydrogen shows an overall decrease in the number of crystal phases and visible absorbance while zinc seems to have the opposite effect. The Hall Effect has shown that both annealing environments increase the n-type conductivity, yielding a ZnO thin film with a carrier concentration as high as 3.001 x 1021 cm-3.
NASA Astrophysics Data System (ADS)
Soto, Karla Fabiola
Due to increasing diversity of newly engineered nanoparticles, it is important to consider the hazards of these materials. Very little is known regarding the potential toxicity of relatively new nanomaterials. However, beginning with several historical accounts of nanomaterials applications---chrysotile asbestos and silver---it was assumed that these examples would provide some awareness and guidelines for future nanomaterial and nanotechnology applications, especially health effects. In this study in vitro assays were performed on a murine alveolar macrophage cell line (RAW 264.7), human alveolar macrophage cell line (THB-1), and human epithelial lung cell line (A549) to assess the comparative cytotoxicity of a wide range of manufactured (Ag, TiO2, Fe2O3, Al2O3, ZrO2, black carbon, two different types of multiwall structures and chrysotile asbestos as the toxicity standard) and anthropogenic nanoparticulates. There are several parameters of nanoparticulates that are considered to trigger an inflammatory response (particularly respiratory) or cause toxicity. These parameters include: particle size, shape, specific surface area, transition metals in particulates, and organic compounds. Therefore, a wide variety of manufactured and anthropogenic nanoparticulates having different morphologies, sizes, specific surface area and chemistries as noted were tested. To determine the nanoparticulates' size and morphology, they were characterized by transmission electron microscopy, where it was observed that the commercial multiwall carbon nanotube aggregate had an identical morphology to chrysotile asbestos and combustion-formed carbon nanotubes, i.e.; those that form from natural gas combustion. Light optical microscopy was used to determine cell morphology upon exposure to nanoparticulates as an indication of cell death. Also, the polycyclic aromatic hydrocarbon (PAH) content of the collected nanoparticulates was analyzed and correlated with cytotoxic responses. For
Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors.
Zhang, Xue; Lee, Hyeonju; Kwon, Jung-Hyok; Kim, Eui-Jik; Park, Jaehoon
2017-07-31
We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.
Multifunctional nanoparticulate polyelectrolyte complexes.
Hartig, Sean M; Greene, Rachel R; DasGupta, Jayasri; Carlesso, Gianluca; Dikov, Mikhail M; Prokop, Ales; Davidson, Jeffrey M
2007-12-01
Water-soluble, biodegradable, polymeric, polyelectrolyte complex dispersions (PECs) have evolved because of the limitations, in terms of toxicity, of the currently available systems. These aqueous nanoparticulate architectures offer a significant advantage for products that may be used as drug delivery systems in humans. PECs are created by mixing oppositely charged polyions. Their hydrodynamic diameter, surface charge, and polydispersity are highly dependent on concentration, ionic strength, pH, and molecular parameters of the polymers that are used. In particular, the complexation between polyelectrolytes with significantly different molecular weights leads to the formation of water-insoluble aggregates. Several PEC characteristics are favorable for cellular uptake and colloidal stability, including hydrodynamic diameter less than 200 nm, surface charge of >30 mV or <-30 mV, spherical morphology, and polydispersity index (PDI) indicative of a homogeneous distribution. Maintenance of these properties is critical for a successful delivery vehicle. This review focuses on the development and potential applications of PECs as multi-functional, site-specific nanoparticulate drug/gene delivery and imaging devices.
NASA Astrophysics Data System (ADS)
Shtapenko, E. Ph.; Zabludovsky, V. A.; Dudkina, V. V.
2015-03-01
In this paper, we present the results of experimental investigations of the diffusion layer formed at the film-substrate interface upon the electrodeposition of zinc films on a copper substrate. The investigations have shown that, in the transient layer, the deposited metal is diffused into the material of the substrate. The depth of the diffusion layer and, consequently, the concentrations of the incorporated zinc atoms depend strongly on the conditions of electrocrystallization, which vary from 1.5 μm when using direct current to 4 μm when using direct current in combination with laser-stimulated deposition (LSD). The X-ray diffraction investigations of the transient layer at the film-substrate interface have shown that, upon electrocrystallization using pulsed current in rigid regimes with the application of the LSD, a CuZn2 phase is formed in the diffusion layer. This indicates that the diffusion of zinc into copper occurs via two mechanisms, i.e., grainboundary and bulk. The obtained values of the coefficient of diffusion of zinc adatoms in polycrystalline copper are equal to 1.75 × 10-15 m2/s when using direct current and 1.74 × 10-13 m2/s when using LSD.
Lee, Hyeonju; Zhang, Xue; Hwang, Jaeeun; Park, Jaehoon
2016-01-01
We report on the morphological influence of solution-processed zinc oxide (ZnO) semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs). Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites. PMID:28773973
Photovoltaic cells employing zinc phosphide
Barnett, Allen M.; Catalano, Anthony W.; Dalal, Vikram L.; Masi, James V.; Meakin, John D.; Hall, Robert B.
1984-01-01
A photovoltaic cell having a zinc phosphide absorber. The zinc phosphide can be a single or multiple crystal slice or a thin polycrystalline film. The cell can be a Schottky barrier, heterojunction or homojunction device. Methods for synthesizing and crystallizing zinc phosphide are disclosed as well as a method for forming thin films.
NASA Astrophysics Data System (ADS)
Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Chun; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.
2014-04-01
In this study, indium-gallium-zinc-oxide thin film transistors can be operated either as transistors or resistance random access memory devices. Before the forming process, current-voltage curve transfer characteristics are observed, and resistance switching characteristics are measured after a forming process. These resistance switching characteristics exhibit two behaviors, and are dominated by different mechanisms. The mode 1 resistance switching behavior is due to oxygen vacancies, while mode 2 is dominated by the formation of an oxygen-rich layer. Furthermore, an easy approach is proposed to reduce power consumption when using these resistance random access memory devices with the amorphous indium-gallium-zinc-oxide thin film transistor.
NASA Astrophysics Data System (ADS)
Saritaş, Sevda; Ceviz Sakar, Betul; Kundakci, Mutlu; Yildirim, Muhammet
2018-06-01
Iron oxide thin films have been obtained significant interest as a material that put forwards applications in photovoltaics, gas sensors, biosensors, optoelectronic and especially in spintronics. Iron oxide is one of the considerable interest due to its chemical and thermal stability. Metallic ion dopant influenced superexchange interactions and thus changed the structural, electrical and magnetic properties of the thin film. Mg dopped zinc ferrite (Mg:ZnxFe3-xO4) crystal was used to avoid the damage of Fe3O4 (magnetite) crystal instead of Zn2+ in this study. Because the radius of the Mg2+ ion in the A-site (tetrahedral) is almost equal to that of the replaced Fe3+ ion. Inverse-spinel structure in which oxygen ions (O2-) are arranged to form a face-centered cubic (FCC) lattice where there are two kinds of sublattices, namely, A-site and B-site (octahedral) interstitial sites and in which the super exchange interactions occur. In this study, to increase the saturation of magnetization (Ms) value for iron oxide, inverse-spinal ferrite materials have been prepared, in which the iron oxide was doped by multifarious divalent metallic elements including Zn and Mg. Triple and quaternary; iron oxide and zinc ferrite thin films with Mg metal dopants were grown by using Spray Pyrolysis (SP) technique. The structural, electrical and magnetic properties of Mg dopped iron oxide (Fe2O3) and zinc ferrite (ZnxFe3-xO4) thin films have been investigated. Vibrating Sample Magnetometer (VSM) technique was used to study for the magnetic properties. As a result, we can say that Mg dopped iron oxide thin film has huge diamagnetic and of Mg dopped zinc ferrite thin film has paramagnetic property at bigger magnetic field.
Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors
Zhang, Xue; Lee, Hyeonju; Kim, Eui-Jik; Park, Jaehoon
2017-01-01
We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance. PMID:28773242
Intrinsic defect oriented visible region absorption in zinc oxide films
NASA Astrophysics Data System (ADS)
Rakhesh, V.; Shankar, Balakrishnan
2018-05-01
Zinc Oxide films were deposited on the glass substrate using vacuum arc sputtering technology. Films were prepared in oxygen ambience for 10mA and 15 mA deposition current separately. The UV-Visible spectroscopy of the samples showed that both samples possess sharp absorption near 3.5eV which is the characteristic band gap absorption energy of ZnO films. The absorption coefficient were calculated for the samples and the (αℎϑ)2 vs energy plot is drawn. The plot suggested that in addition to the sharp band edge absorption, the sample prepared at 10mA deposition current showed sharp absorption edge near 1.51eV and that at 15 mA showed absorption edge near 1.47eV. This refers to the presence of an intrinsic defect level which is likely to be deep in the band gap.
NASA Astrophysics Data System (ADS)
Wu, Shao-Hang; Zhang, Nan; Hu, Yong-Sheng; Chen, Hong; Jiang, Da-Peng; Liu, Xing-Yuan
2015-10-01
Strontium-zinc-oxide (SrZnO) films forming the semiconductor layers of thin-film transistors (TFTs) are deposited by using ion-assisted electron beam evaporation. Using strontium-oxide-doped semiconductors, the off-state current can be dramatically reduced by three orders of magnitude. This dramatic improvement is attributed to the incorporation of strontium, which suppresses carrier generation, thereby improving the TFT. Additionally, the presence of strontium inhibits the formation of zinc oxide (ZnO) with the hexagonal wurtzite phase and permits the formation of an unusual phase of ZnO, thus significantly changing the surface morphology of ZnO and effectively reducing the trap density of the channel. Project supported by the National Natural Science Foundation of China (Grant No. 6140031454) and the Innovation Program of Chinese Academy of Sciences and State Key Laboratory of Luminescence and Applications.
NASA Astrophysics Data System (ADS)
Choudapur, V. H.; Bennal, A. S.; Raju, A. B.
2018-04-01
The ZnS nanomaterial is synthesized by hydrothermal method under optimized conditions using Zinc acetate and sodium sulphide as precursors. The Zinc Sulphide thin films are obtained by simple spin coating method with high optical transmittance. The prepared thin films are adhesive and uniform. The x-ray diffraction analysis showed that the films are polycrystalline in cubic phase with the preferred orientation along (111) direction. Current-voltage curves were recorded at room temperature using Keithley 617 programmable electrometer and conductivity is calculated for the film coated on ITO by two probe method. The pH of the solution is varied by using ammonia and hydrochloric acid. The comparative studies of effect of pH on the morphology, crystallanity and optoelectronic properties of the films are studied. It is observed that the pH of the solution has large influence on optoelectronic properties. The thin film prepared with neutral pH has higher crystallanity, bandgap and conductivity as compared to the samples prepared in acidic or basic solutions.
Sheng, Jiazhen; Lee, Hwan-Jae; Oh, Saeroonter; Park, Jin-Seong
2016-12-14
Amorphous indium zinc oxide (IZO) thin films were deposited at different temperatures, by atomic layer deposition (ALD) using [1,1,1-trimethyl-N-(trimethylsilyl)silanaminato]indium (INCA-1) as the indium precursor, diethlzinc (DEZ) as the zinc precursor, and hydrogen peroxide (H 2 O 2 ) as the reactant. The ALD process of IZO deposition was carried by repeated supercycles, including one cycle of indium oxide (In 2 O 3 ) and one cycle of zinc oxide (ZnO). The IZO growth rate deviates from the sum of the respective In 2 O 3 and ZnO growth rates at ALD growth temperatures of 150, 175, and 200 °C. We propose growth temperature-dependent surface reactions during the In 2 O 3 cycle that correspond with the growth-rate results. Thin-film transistors (TFTs) were fabricated with the ALD-grown IZO thin films as the active layer. The amorphous IZO TFTs exhibited high mobility of 42.1 cm 2 V -1 s -1 and good positive bias temperature stress stability. Finally, flexible IZO TFT was successfully fabricated on a polyimide substrate without performance degradation, showing the great potential of ALD-grown TFTs for flexible display applications.
NASA Astrophysics Data System (ADS)
Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu
2018-05-01
We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.
The calculation of band gap energy in zinc oxide films
NASA Astrophysics Data System (ADS)
Arif, Ali; Belahssen, Okba; Gareh, Salim; Benramache, Said
2015-01-01
We investigated the optical properties of undoped zinc oxide thin films as the n-type semiconductor; the thin films were deposited at different precursor molarities by ultrasonic spray and spray pyrolysis techniques. The thin films were deposited at different substrate temperatures ranging between 200 and 500 °C. In this paper, we present a new approach to control the optical gap energy of ZnO thin films by concentration of the ZnO solution and substrate temperatures from experimental data, which were published in international journals. The model proposed to calculate the band gap energy with the Urbach energy was investigated. The relation between the experimental data and theoretical calculation suggests that the band gap energies are predominantly estimated by the Urbach energies, film transparency, and concentration of the ZnO solution and substrate temperatures. The measurements by these proposal models are in qualitative agreements with the experimental data; the correlation coefficient values were varied in the range 0.96-0.99999, indicating high quality representation of data based on Equation (2), so that the relative errors of all calculation are smaller than 4%. Thus, one can suppose that the undoped ZnO thin films are chemically purer and have many fewer defects and less disorder owing to an almost complete chemical decomposition and contained higher optical band gap energy.
Combustion-derived nanoparticulate induces the adverse vascular effects of diesel exhaust inhalation
Mills, Nicholas L.; Miller, Mark R.; Lucking, Andrew J.; Beveridge, Jon; Flint, Laura; Boere, A. John F.; Fokkens, Paul H.; Boon, Nicholas A.; Sandstrom, Thomas; Blomberg, Anders; Duffin, Rodger; Donaldson, Ken; Hadoke, Patrick W.F.; Cassee, Flemming R.; Newby, David E.
2011-01-01
Aim Exposure to road traffic and air pollution may be a trigger of acute myocardial infarction, but the individual pollutants responsible for this effect have not been established. We assess the role of combustion-derived-nanoparticles in mediating the adverse cardiovascular effects of air pollution. Methods and results To determine the in vivo effects of inhalation of diesel exhaust components, 16 healthy volunteers were exposed to (i) dilute diesel exhaust, (ii) pure carbon nanoparticulate, (iii) filtered diesel exhaust, or (iv) filtered air, in a randomized double blind cross-over study. Following each exposure, forearm blood flow was measured during intra-brachial bradykinin, acetylcholine, sodium nitroprusside, and verapamil infusions. Compared with filtered air, inhalation of diesel exhaust increased systolic blood pressure (145 ± 4 vs. 133 ± 3 mmHg, P< 0.05) and attenuated vasodilatation to bradykinin (P= 0.005), acetylcholine (P= 0.008), and sodium nitroprusside (P< 0.001). Exposure to pure carbon nanoparticulate or filtered exhaust had no effect on endothelium-dependent or -independent vasodilatation. To determine the direct vascular effects of nanoparticulate, isolated rat aortic rings (n= 6–9 per group) were assessed in vitro by wire myography and exposed to diesel exhaust particulate, pure carbon nanoparticulate and vehicle. Compared with vehicle, diesel exhaust particulate (but not pure carbon nanoparticulate) attenuated both acetylcholine (P< 0.001) and sodium-nitroprusside (P= 0.019)-induced vasorelaxation. These effects were partially attributable to both soluble and insoluble components of the particulate. Conclusion Combustion-derived nanoparticulate appears to predominately mediate the adverse vascular effects of diesel exhaust inhalation. This provides a rationale for testing environmental health interventions targeted at reducing traffic-derived particulate emissions. PMID:21753226
The effect of zinc thickness on corrosion film breakdown of Colombian galvanized steel
NASA Astrophysics Data System (ADS)
Sandoval-Amador, A.; E Torres Ramirez, J.; Cabrales-Villamizar, P. A.; Laverde Cataño, D.; Y Peña-Ballesteros, D.
2017-12-01
This work studies the corrosion behaviour of Colombian galvanized steel in solutions of chloride and sulphate ions. The effect of the thickness and exposure time on the film’s breakdown susceptibility and protectiveness of the corrosion products were studied using potentiodynamic polarization curves and electrochemical impedance spectroscopy. The corrosion products were analysed using SEM-EDS and XRD. The samples with a higher thickness level in the zinc film (Z180) have the lowest corrosion rate. In this case, one of the products that was formed by the chemical reactions that occurred was Zinc hydroxide, which exhibits a passive behaviour as observed in the Pourbaix curves of the obtained potentials and in how the different Ph levels of the solutions worked. The sheets with the highest thickness (Z180) had the best performance, since at the end of the study they showed the least amount of damage on the surface of the zinc layer. This is because the thickness of the zinc layer favours the formation of simonkolleite, which is the corrosion product that protects the material under the conditions of the study.
The use of nanoparticulates to treat breast cancer.
Tang, Xiaomeng; Loc, Welley S; Dong, Cheng; Matters, Gail L; Butler, Peter J; Kester, Mark; Meyers, Craig; Jiang, Yixing; Adair, James H
2017-10-01
Breast cancer is a major ongoing public health issue among women in both developing and developed countries. Significant progress has been made to improve the breast cancer treatment in the past decades. However, the current clinical approaches are invasive, of low specificity and can generate severe side effects. As a rapidly developing field, nanotechnology brings promising opportunities to human cancer diagnosis and treatment. The use of nanoparticulate-based platforms overcomes biological barriers and allows prolonged blood circulation time, simultaneous tumor targeting and enhanced accumulation of drugs in tumors. Currently available and clinically applicable innovative nanoparticulate-based systems for breast cancer nanotherapies are discussed in this review.
High sensitive formaldehyde graphene gas sensor modified by atomic layer deposition zinc oxide films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mu, Haichuan; Zhang, Zhiqiang; Wang, Keke
2014-07-21
Zinc oxide (ZnO) thin films with various thicknesses were fabricated by Atomic Layer Deposition on Chemical Vapor Deposition grown graphene films and their response to formaldehyde has been investigated. It was found that 0.5 nm ZnO films modified graphene sensors showed high response to formaldehyde with the resistance change up to 52% at the concentration of 9 parts-per-million (ppm) at room temperature. Meanwhile, the detection limit could reach 180 parts-per-billion (ppb) and fast response of 36 s was also obtained. The high sensitivity could be attributed to the combining effect from the highly reactive, top mounted ZnO thin films, and high conductivemore » graphene base network. The dependence of ZnO films surface morphology and its sensitivity on the ZnO films thickness was also investigated.« less
Nanoparticulate delivery systems for antiviral drugs.
Lembo, David; Cavalli, Roberta
2010-01-01
Nanomedicine opens new therapeutic avenues for attacking viral diseases and for improving treatment success rates. Nanoparticulate-based systems might change the release kinetics of antivirals, increase their bioavailability, improve their efficacy, restrict adverse drug side effects and reduce treatment costs. Moreover, they could permit the delivery of antiviral drugs to specific target sites and viral reservoirs in the body. These features are particularly relevant in viral diseases where high drug doses are needed, drugs are expensive and the success of a therapy is associated with a patient's adherence to the administration protocol. This review presents the current status in the emerging area of nanoparticulate delivery systems in antiviral therapy, providing their definition and description, and highlighting some peculiar features. The paper closes with a discussion on the future challenges that must be addressed before the potential of nanotechnology can be translated into safe and effective antiviral formulations for clinical use.
Su, Ting; Zhang, Haifeng
2017-01-01
Charge trapping properties of electrons and holes in copper-doped zinc oxide (ZnO:Cu) films have been studied by scanning probe microscopy. We investigated the surface potential dependence on the voltage and duration applied to the copper-doped ZnO films by Kelvin probe force microscopy. It is found that the Fermi Level of the 8 at.% Cu-doped ZnO films shifted by 0.53 eV comparing to undoped ZnO films. This shift indicates significant change in the electronic structure and energy balance in Cu-doped ZnO films. The Fermi Level (work function) of zinc oxide films can be tuned by Cu doping, which are important for developing this functional material. In addition, Kelvin probe force microscopy measurements demonstrate that the nature of contact at Pt-coated tip/ZnO:Cu interface is changed from Schottky contact to Ohmic contact by increasing sufficient amount of Cu ions. The charge trapping property of the ZnO films enhance greatly by Cu doping (~10 at.%). The improved stable bipolar charge trapping properties indicate that copper-doped ZnO films are promising for nonvolatile memory applications. PMID:28135335
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jia, Junjun; Torigoshi, Yoshifumi; Shigesato, Yuzo, E-mail: yuzo@chem.aoyama.ac.jp
This work presents the spatial distribution of electrical characteristics of amorphous indium-tin-zinc oxide film (a-ITZO), and how they depend on the magnetron sputtering conditions using O{sub 2}, H{sub 2}O, and N{sub 2}O as the reactive gases. Experimental results show that the electrical properties of the N{sub 2}O incorporated a-ITZO film has a weak dependence on the deposition location, which cannot be explained by the bombardment effect of high energy particles, and may be attributed to the difference in the spatial distribution of both the amount and the activity of the reactive gas reaching the substrate surface. The measurement for themore » performance of a-ITZO thin film transistor (TFT) also suggests that the electrical performance and device uniformity of a-ITZO TFTs can be improved significantly by the N{sub 2}O introduction into the deposition process, where the field mobility reach to 30.8 cm{sup 2} V{sup –1} s{sup –1}, which is approximately two times higher than that of the amorphous indium-gallium-zinc oxide TFT.« less
NASA Astrophysics Data System (ADS)
Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu
2017-05-01
A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.
Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering
DOE Office of Scientific and Technical Information (OSTI.GOV)
Aryanto, Didik, E-mail: didi027@lipi.go.id; Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah; Marwoto, Putut
Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtainedmore » at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.« less
Effect of cadmium incorporation on the properties of zinc oxide thin films
NASA Astrophysics Data System (ADS)
Bharath, S. P.; Bangera, Kasturi V.; Shivakumar, G. K.
2018-02-01
Cd x Zn1- x O (0 ≤ x ≤ 0.20) thin films are deposited on soda lime glass substrates using spray pyrolysis technique. To check the thermal stability, Cd x Zn1- x O thin films are subjected to annealing. Both the as-deposited and annealed Cd x Zn1- x O thin films are characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and energy-dispersive X-ray analysis (EDAX) to check the structural, surface morphological and compositional properties, respectively. XRD analysis reveals that the both as-deposited and annealed Cd x Zn1- x O thin films are (002) oriented with wurtzite structure. SEM studies confirm that as-deposited, as well as annealed Cd x Zn1- x O thin films are free from pinholes and cracks. Compositional analysis shows the deficiency in Cd content after annealing. Optical properties evaluated from UV-Vis spectroscopy shows red shift in the band gap for Cd x Zn1- x O thin films. Electrical property measured using two probe method shows a decrease in the resistance after Cd incorporation. The results indicate that cadmium can be successfully incorporated in zinc oxide thin films to achieve structural changes in the properties of films.
Nanoparticules d'or: De l'imagerie par resonance magnetique a la radiosensibilisation
NASA Astrophysics Data System (ADS)
Hebert, Etienne M.
Cette thèse approfondit l'étude de nanoparticules d'or de 5 nm de diamètre recouvertes de diamideéthanethioldiethylènetriaminepentacétate de gadolinium (DTDTPA:Gd), un agent de contraste pour l'imagerie par résonance magnétique (IRM). En guise de ciblage passif, la taille des nanoparticules a été contrôlée afin d'utiliser le réseau de néovaisseaux poreux et perméable des tumeurs. De plus les tumeurs ont un drainage lymphatique déficient qui permet aux nanoparticules de demeurer plus longtemps dans le milieu interstitiel de la tumeur. Les expériences ont été effectuées sur des souris Balb/c femelles portant des tumeurs MC7-L1. La concentration de nanoparticules a pu être mesurée à l'IRM in vivo. La concentration maximale se retrouvait à la fin de l'infusion de 10 min. La concentration s'élevait à 0.3 mM dans la tumeur et de 0.12 mM dans le muscle environnant. Les nanoparticules étaient éliminées avec une demi-vie de 22 min pour les tumeurs et de 20 min pour le muscle environnant. Les nanoparticules ont été fonctionnalisées avec le peptide Tat afin de leur conférer des propriétés de ciblage actif La rétention de ces nanoparticules a ainsi été augmentée de 1600 %, passant d'une demi-vie d'élimination de 22 min à 350 min. La survie des souris a été mesurée à l'aide de courbes Kaplan-Meier et d'un modèle mathématique évalue l'efficacité de traitements. Le modèle nous permet, à l'aide de la vitesse de croissance des tumeurs et de l'efficacité des traitements, de calculer la courbe de survie des spécimens. Un effet antagoniste a été observé au lieu de l'effet synergétique attendu entre une infusion de Au@DTDTPA:Gd et l'irradiation aux rayons X. L'absence d'effet synergétique a été attribuée à l'épaisseur du recouvrement de DTDTPA:Gd qui fait écran aux électrons produits par l'or. De plus, le moyen d'ancrage du recouvrement utilise des thiols qui peuvent s'avérer être des capteurs de radicaux. De plus
Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo
2013-01-01
Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm(2)/V·s and stable characteristics under the various gate bias and temperature stresses.
Electrical instability of high-mobility zinc oxynitride thin-film transistors upon water exposure
NASA Astrophysics Data System (ADS)
Kim, Dae-Hwan; Jeong, Hwan-Seok; Kwon, Hyuck-In
2017-03-01
We investigate the effects of water absorption on the electrical performance and stability in high-mobility zinc oxynitride (ZnON) thin-film transistors (TFTs). The ZnON TFT exhibits a smaller field-effect mobility, lower turn-on voltage, and higher subthreshold slope with a deteriorated electrical stability under positive gate bias stresses after being exposed to water. From the Hall measurements, an increase of the electron concentration and a decrease of the Hall mobility are observed in the ZnON thin film after water absorption. The observed phenomena are mainly attributed to the water molecule-induced increase of the defective ZnXNY bond and the oxygen vacancy inside the ZnON thin film based on the x-ray photoelectron spectroscopy analysis.
NASA Astrophysics Data System (ADS)
Kandanapitiye, Murthi S.
The combination of nanotechnology with medicinal chemistry has developed into a burgeoning research area. Nanomaterials (NMs) could be seamlessly interfaced with various facets in biology, biochemistry, medicinal chemistry and environmental chemistry that may not be available to the same material in the bulk scale. This dissertation research has focused on the development of nanoparticulate coordination polymers for diagnostic and therapeutic applications. Modern imaging techniques include X-ray computed tomography (CT), magnetic resonance imaging (MRI), single photon emission computed tomography (SPECT) and positron emission tomography (PET). We have successfully developed several types of nanoparticulate diagnostics and therapeutics that have some potential usefulness in biomedicine. Synthesis and characterization of nanoparticulate based PET (Positron emission tomography)/SPECT (Single photon emission computed tomography) are discussed in chapter 3. In chapter 4, preparation and potential utility of non-gadolinium based MRI contrast agent are reported for T1-weighted application. As far as the solely effectiveness of relaxation is concerned, Gd-based T 1-weighted MRI contrast agents have excellent enhancement of image contrast but they have risks of biological toxicity. Consequently, the search for T 1-weighted CAs with high efficacy and low toxicity has gained attention toward the Mn(II) and Fe(III). Fe(III) is considered to be more toxic to cells because free ferric or ferrous ions can catalyze the production of reactive oxygen species via the Fenton reactions. Paramagnetic chelates of Mn(II) could be employed as T1-weighted CAs. However, it is challenging to design and synthesize highly stable Mn(II) complexes that could maintain the integrity when administered to living system. Chapter 4 describes the synthesis and utility of nanoparticulate Mn analogue of Prussian blue (K2Mn 3[FeII(CN)6]2) as an effective T1 MRI contrast agent for cellular imaging X
Ag-Cu nanoalloyed film as a high-performance cathode electrocatalytic material for zinc-air battery
NASA Astrophysics Data System (ADS)
Lei, Yimin; Chen, Fuyi; Jin, Yachao; Liu, Zongwen
2015-04-01
A novel Ag50Cu50 film electrocatalyst for oxygen reduction reaction (ORR) was prepared by pulsed laser deposition (PLD) method. The electrocatalyst actually is Ag-Cu alloyed nanoparticles embedded in amorphous Cu film, based on transmission electron microscopy (TEM) characterization. The rotating disk electrode (RDE) measurements provide evidence that the ORR proceed via a four-electron pathway on the electrocatalysts in alkaline solution. And it is much more efficient than pure Ag catalyst. The catalytic layer has maximum power density of 67 mW cm-2 and an acceptable cell voltage at 0.863 V when current densities increased up to 100 mA cm-2 in the Ag50Cu50-based primary zinc-air battery. The resulting rechargeable zinc-air battery exhibits low charge-discharge voltage polarization of 1.1 V at 20 mAcm-2 and high durability over 100 cycles in natural air.
Beak, Songee; Kim, Hyeri; Song, Kyung Bin
2017-11-01
Olive flounder bone gelatin (OBG) was used for a film base material in this study. In addition, zinc oxide nanoparticles (ZnO) were incorporated into the OBG film to prepare a nanocomposite film and to impart antimicrobial activity to it. The tensile strength of the OBG film increased by 6.62 MPa, and water vapor permeability and water solubility decreased by 0.93 × 10 -9 g/m s Pa and 13.79%, respectively, by the addition of ZnO to the OBG film. In particular, the OBG-ZnO film exhibited antimicrobial activity against Listeria monocytogenes. To investigate the applicability of the OBG-ZnO packaging film, fresh spinach was wrapped in this film and stored for a week. The results indicated that the OBG-ZnO film showed antimicrobial activity against L. monocytogenes inoculated on spinach without affecting the quality of spinach, such as vitamin C content and color. Thus, the OBG-ZnO nanocomposite film can be applied as an efficient antimicrobial food packaging material. As a base material of edible films, gelatin was extracted from olive flounder bone, which is fish processing by-product. Olive flounder bone gelatin (OBG) nanocomposite films were prepared with zinc oxide nanoparticles (ZnO). For an application to antimicrobial packaging, spinach was wrapped with the OBG-ZnO nanocomposite film. © 2017 Institute of Food Technologists®.
NASA Astrophysics Data System (ADS)
Otieno, Francis; Airo, Mildred; Erasmus, Rudolph M.; Billing, David G.; Quandt, Alexander; Wamwangi, Daniel
2017-08-01
Aluminium doped zinc oxide thin films are prepared by Radio Frequency magnetron sputtering in pure argon atmosphere at 100 W. The structural results reveal good film adhesion on a silicon substrate (001). The thin films were then subjected to heat treatment in a furnace under ambient air. The structural, morphological, and optical properties of the thin films as a function of deposition time and annealing temperatures have been investigated using Grazing incidence X-Ray Diffraction (GIXRD), Atomic Force Microscopy, and Scanning Electronic Microscopy. The photoluminescence properties of the annealed films showed significant changes in the optical properties attributed to mid gap defects. Annealing increases the crystallite size and the roughness of the film. The crystallinity of the films also improved as evident from the Raman and XRD studies.
Electrical properties of thin film transistors with zinc tin oxide channel layer
NASA Astrophysics Data System (ADS)
Hong, Seunghwan; Oh, Gyujin; Kim, Eun Kyu
2017-10-01
We have investigated thin film transistors (TFTs) with zinc tin oxide (ZTO) channel layer fabricated by using an ultra-high vacuum radio frequency sputter. ZTO thin films were grown at room temperature by co-sputtering of ZnO and SnO2, which applied power for SnO2 target was varied from 15 W to 90 W under a fixed sputtering power of 70 W for ZnO target. A post-annealing treatment to improve the film quality was done at temperature ranges from 300 to 600 °C by using the electrical furnace. The ZTO thin films showed good electrical and optical properties such as Hall mobility of more than 9 cm2/V·s, specific resistivity of about 2 × 102 Ω·cm, and optical transmittance of 85% in visible light region by optical bandgap of 3.3 eV. The ZTO-TFT with an excellent performance of channel mobility of 19.1 cm2/V·s and on-off ratio ( I on / I off ) of 104 was obtained from the films grown with SnO2 target power of 25 W and post-annealed at 450 °C. This result showed that ZTO film is promising on application to a high performance transparent TFTs.
Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo
2013-01-01
Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm2/V·s and stable characteristics under the various gate bias and temperature stresses. PMID:23803977
Multi-layered zinc oxide-graphene composite thin films for selective nitrogen dioxide sensing
NASA Astrophysics Data System (ADS)
Ghosh, A.; Bhowmick, T.; Majumder, S. B.
2018-02-01
In the present work, selective nitrogen dioxide (NO2) sensing characteristics of multi-layered graphene-zinc oxide (G-ZnO) thin films have been demonstrated at 150 °C. The response% of 5 ppm NO2 was measured to be 894% with response and recovery times estimated to be 150 s and 315 s, respectively. In these composite films, the interaction between graphene and zinc oxide is established through X-ray photoelectron spectroscopy in conjunction with the analyses of photoluminescence spectra. Superior NO2 sensing of these films is due to simultaneous chemiadsorption of molecular oxygen and NO2 gases onto graphene and ZnO surfaces, resulting in an appreciable increase in the depletion layer width and thereby the sensor resistance. The sensor responses for other reducing gases (viz., CO, H2, and i-C4H10) are postulated to be due to their catalytic oxidation on the sensor surface, resulting in a decrease in the sensor resistance upon gas exposure. At lower operating temperature, due to the molecular nature of the chemiadsorbed oxygen, poor catalytic oxidation leads to a far lower sensor response for reducing gases as compared to NO2. For mixed NO2 and reducing gas sensing, we have reported that fast Fourier transformation of the resistance transients of all these gases in conjunction with principal component analyses forms a reasonably distinct cluster and, therefore, could easily be differentiated.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kizu, Takio, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Tsukagoshi, Kazuhito, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp
2015-09-28
Using practical high-density sputtering targets, we investigated the effect of Zn and W codoping on the thermal stability of the amorphous film and the electrical characteristics in thin film transistors. zinc oxide is a potentially conductive component while W oxide is an oxygen vacancy suppressor in oxide films. The oxygen vacancy from In-O and Zn-O was suppressed by the W additive because of the high oxygen bond dissociation energy. With controlled codoping of W and Zn, we demonstrated a high mobility with a maximum mobility of 40 cm{sup 2}/V s with good stability under a negative bias stress in InWZnO thinmore » film transistors.« less
pH effect on structural and optical properties of nanostructured zinc oxide thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Munef, R. A.
2015-03-30
ZnO nanostructures were Deposited on Objekttrager glasses for various pH values by chemical bath deposition method using Zn (NO3)2·6H2O (zinc nitrate hexahydrate) solution at 75°C reaction temperature without any posterior treatments. The ZnO nanostructures obtained were characterized by X-ray Diffraction (XRD, UV). The structure was hexagonal and it was found that some peaks disappear with various pH values. The grain sizes of ZnO films increases from 22-to-29nm with increasing pH. The transmission of the films was (85-95%)
NASA Astrophysics Data System (ADS)
Kiani, Ahmed; Hasko, David G.; Milne, William I.; Flewitt, Andrew J.
2013-04-01
It is widely reported that threshold voltage and on-state current of amorphous indium-gallium-zinc-oxide bottom-gate thin-film transistors are strongly influenced by the choice of source/drain contact metal. Electrical characterisation of thin-film transistors indicates that the electrical properties depend on the type and thickness of the metal(s) used. Electron transport mechanisms and possibilities for control of the defect state density are discussed. Pilling-Bedworth theory for metal oxidation explains the interaction between contact metal and amorphous indium-gallium-zinc-oxide, which leads to significant trap formation. Charge trapping within these states leads to variable capacitance diode-like behavior and is shown to explain the thin-film transistor operation.
NASA Astrophysics Data System (ADS)
Jeeju, Pullarkat P.; Jayalekshmi, S.; Chandrasekharan, K.; Sudheesh, P.
2012-11-01
Using simple wet chemical method at room temperature, zinc oxide (ZnO) nanoparticles embedded in polystyrene (PS) matrix were synthesized. The size of the ZnO nanoparticles could be varied by varying the precursor concentration, reaction time and stirring speed. Transparent films of ZnO/PS nanocomposites of thickness around 1 μm were coated on ultrasonically cleaned glass substrates by spin coating. The optical absorptive nonlinearity in ZnO/PS nanocomposite films was investigated using open aperture Z-scan technique with nanosecond laser pulses at 532 nm. The results indicate optical limiting type nonlinearity in the films due to two-photon absorption in ZnO. These films also show a self-defocusing type negative nonlinear refraction in closed aperture Z-scan experiment. The observed nonlinear absorption is strongly dependent on particle size and the normalized transmittance could be reduced to as low as 0.43 by the suitable choice of the ZnO nanoparticle size. These composite films can hence be used as efficient optical limiters for sensor protection. The much-pronounced nonlinear response of these composite films, compared to pure ZnO, combined with the improved stability of ZnO nanoparticles in the PS matrix offer prospects of application of these composite films in the fabrication of stable non-linear optical devices.
Tsin, Fabien; Thomere, Angélica; Bris, Arthur Le; Collin, Stéphane; Lincot, Daniel; Rousset, Jean
2016-05-18
Highly transparent and conductive materials are required for many industrial applications. One of the interesting features of ZnO is the possibility to dope it using different elements, hence improving its conductivity. Results concerning the zinc oxide thin films electrodeposited in a zinc perchlorate medium containing a boron precursor are presented in this study. The addition of boron to the electrolyte leads to significant effects on the morphology and crystalline structure as well as an evolution of the optical properties of the material. Varying the concentration of boric acid from 0 to 15 mM strongly improves the compactness of the deposit and increases the band gap from 3.33 to 3.45 eV. Investigations were also conducted to estimate and determine the influence of boric acid on the electrical properties of the ZnO layers. As a result, no doping effect effect by boron was demonstrated. However, the role of boric acid on the material quality has also been proven and discussed. Boric acid strongly contributes to the growth of high quality electrodeposited zinc oxide. The high doping level of the film can be attributed to the perchlorate ions introduced in the bath. Finally, a ZnO layer electrodeposited in a boron rich electrolyte was tested as front contact of a Cu(In, Ga)(S, Se)2 based solar cell. An efficiency of 12.5% was measured with a quite high fill factor (>70%) which confirms the high conductivity of the ZnO thin film.
Chu, Zhuangzhuang; Zhao, Tianrui; Li, Lin; Fan, Jian; Qin, Yuyue
2017-01-01
Antimicrobial active films based on poly (lactic acid) (PLA) were prepared with nano-silver (nano-Ag) and nano-zinc oxide (nano-ZnO) using a solvent volatilizing method. The films were characterized for mechanical, structural, thermal, physical and antimicrobial properties. Scanning electron microscopy (SEM) images characterized the fracture morphology of the films with different contents of nano-Ag and nano-ZnO. The addition of nanoparticles into the pure PLA film decreased the tensile strength and elasticity modulus and increased the elongation of breaks—in other words, the flexibility and extensibility of these composites improved. According to the results of differential scanning calorimetry (DSC), the glass transition temperature of the PLA nano-composite films decreased, and the crystallinity of these films increased; a similar result was apparent from X-ray diffraction (XRD) analysis. The water vapor permeability (WVP) and opacity of the PLA nano-composite films augmented compared with pure PLA film. Incorporation of nanoparticles to the PLA films significantly improved the antimicrobial activity to inhibit the growth of Escherichia coli. The results indicated that PLA films with nanoparticles could be considered a potential environmental-friendly packaging material. PMID:28773018
Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)
NASA Astrophysics Data System (ADS)
Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib
2018-05-01
This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.
Synthesis of ALD zinc oxide and thin film materials optimization for UV photodetector applications
NASA Astrophysics Data System (ADS)
Tapily, Kandabara Nouhoum
Zinc oxide (ZnO) is a direct, wide bandgap semiconductor material. It is thermodynamically stable in the wurtzite structure at ambient temperature conditions. ZnO has very interesting optical and electrical properties and is a suitable candidate for numerous optoelectronic applications such as solar cells, LEDs and UV-photodetectors. ZnO is a naturally n-type semiconductor. Due to the lack of reproducible p-type ZnO, achieving good homojunction ZnO-based photodiodes such as UV-photodetectors remains a challenge. Meanwhile, heterojunction structures of ZnO with p-type substrates such as SiC, GaN, NiO, AlGaN, Si etc. are used; however, those heterojunction diodes suffer from low efficiencies. ZnO is an n-type material with numerous intrinsic defect levels responsible for the electrical and optical behaviors. Presently, there is no clear consensus about the origin of those defects. In this work, ZnO was synthesized by atomic layer deposition (ALD). ALD is a novel deposition technique suitable for nanotechnology engineering that provides unique features such as precise control of ZnO thin film with atomic resolution, high uniformity, good conformity and high aspect ratio. Using this novel deposition technique, the ALD ZnO deposition process was developed and optimized using diethyl zinc as the precursor for zinc and water vapor as the oxygen source. In order to optimize the film quality for use in electronic applications, the physical, mechanical and electrical properties were investigated. The structural and mechanical properties of the ALD ZnO thin films were investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM), atomic force microscopy (AFM), scanning electron microscopy (SEM), spectroscopic Ellipsometry, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, UV-VIS absorption and nanoindentation. The electrical characterizations were performed using C-V, I-V, DLTS, Hall Effect, and four-point probe. The intrinsic defects responsible
The role of crystallographic texture in achieving low friction zinc oxide nanolaminate films
NASA Astrophysics Data System (ADS)
Mojekwu, Nneoma
Metal oxide nanolaminate films are potential high temperature solid lubricants due to their ability to exhibit significant plasticity when grain size is reduced to the nanometer scale, and defective growth structure is achieved by condensation of oxygen vacancies to form intrinsic stacking faults. This is in contrast to conventional microcrystalline and single crystal oxides that exhibit brittle fracture during loading in a sliding contact. This study emphasizes the additional effect of growth orientation, in particular crystallographic texture, on determining the sliding friction behavior in nanocolumnar grain zinc oxide films grown by atomic layer deposition. It was determined that zinc oxide low (0002) versus higher (101¯3) surface energy crystallographic planes influenced the sliding friction coefficient. Texturing of the (0002) grains resulted in a decreased adhesive component of friction thereby lowering the sliding friction coefficient to ˜0.25, while the friction coefficient doubled to ˜0.5 with increasing contribution of surface (101¯3) grains. In addition, the variation of the x-ray grazing incident angle from 0.5° to 5° was studied to better understand the surface grain orientation as a function of ZnO layer thickness in one versus four bilayer nanolaminates where the under layer (seed layer) was load-bearing Zn(Ti,Zr)O3.
NASA Astrophysics Data System (ADS)
Lee, Ming-Kwei; Hong, Min-Hsuan; Li, Bo-Wei
2016-07-01
The characteristics of a ZnO nanorod array/TiO2 film heterojunction were investigated. A TiO2 film was prepared on glass by aqueous solution deposition with precursors of ammonium hexafluorotitanate and boric acid at 40 °C. Then, a ZnO seed layer was prepared on a TiO2 film/glass substrate by RF sputtering. A vertically oriented ZnO nanorod array was grown on a ZnO seed layer/TiO2 film/glass substrate by aqueous solution deposition with precursors of zinc nitrate and hexamethylenetetramine (HMT) at 70 °C. After thermal annealing in N2O ambient at 300 °C, this heterojunction used as an oxygen gas sensor shows much better rise time, decay time, and on/off current ratio than as-grown and annealed ZnO nanorods.
Jeong, Yong Jin; An, Tae Kyu; Yun, Dong-Jin; Kim, Lae Ho; Park, Seonuk; Kim, Yebyeol; Nam, Sooji; Lee, Keun Hyung; Kim, Se Hyun; Jang, Jaeyoung; Park, Chan Eon
2016-03-02
Complementary inverters consisting of p-type organic and n-type metal oxide semiconductors have received considerable attention as key elements for realizing low-cost and large-area future electronics. Solution-processed ZnO thin-film transistors (TFTs) have great potential for use in hybrid complementary inverters as n-type load transistors because of the low cost of their fabrication process and natural abundance of active materials. The integration of a single ZnO TFT into an inverter requires the development of a simple patterning method as an alternative to conventional time-consuming and complicated photolithography techniques. In this study, we used a photocurable polymer precursor, zinc acrylate (or zinc diacrylate, ZDA), to conveniently fabricate photopatternable ZnO thin films for use as the active layers of n-type ZnO TFTs. UV-irradiated ZDA thin films became insoluble in developing solvent as the acrylate moiety photo-cross-linked; therefore, we were able to successfully photopattern solution-processed ZDA thin films using UV light. We studied the effects of addition of a tiny amount of indium dopant on the transistor characteristics of the photopatterned ZnO thin films and demonstrated low-voltage operation of the ZnO TFTs within ±3 V by utilizing Al2O3/TiO2 laminate thin films or ion-gels as gate dielectrics. By combining the ZnO TFTs with p-type pentacene TFTs, we successfully fabricated organic/inorganic hybrid complementary inverters using solution-processed and photopatterned ZnO TFTs.
Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications
NASA Astrophysics Data System (ADS)
Faÿ, S.; Shah, A.
Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.
Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells
Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke
2012-01-01
Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035
Modeles numeriques de la stimulation optique de neurones assistee par nanoparticules plasmoniques
NASA Astrophysics Data System (ADS)
Le Hir, Nicolas
La stimulation de neurones par laser emerge depuis plusieurs annees comme une alternative aux techniques plus traditionnelles de stimulation artificielle. Contrairement a celles-ci, la stimulation lumineuse ne necessite pas d'interagir directement avec le tissu organique, comme c'est le cas pour une stimulation par electrodes, et ne necessite pas de manipulation genetique comme c'est le cas pour les methodes optogenetiques. Plus recemment, la stimulation lumineuse de neurones assistee par nanoparticules a emerge comme un complement a la stimulation simplement lumineuse. L'utilisation de nanoparticules complementaires permet d'augmenter la precision spatiale du procede et de diminuer la fluence necessaire pour observer le phenomene. Ceci vient des proprietes d'interaction entre les nanoparticules et le faisceau laser, comme par exemple les proprietes d'absorption des nanoparticules. Deux phenomenes princpaux sont observes. Dans certains cas, il s'agit d'une depolarisation de la membrane, ou d'un potentiel d'action. Dans d'autres experiences, un influx de calcium vers l'interieur du neurone est detecte par une augmentation de la fluorescence d'une proteine sensible a la concentration calcique. Certaines stimulations sont globales, c'est a dire qu'une perturbation se propage a l'ensemble du neurone : c'est le cas d'un potentiel d'action. D'autres sont, au contraire, locales et ne se propagent pas a l'ensemble de la cellule. Si une stimulation lumineuse globale est rendue possible par des techniques relativement bien maitrisees a l'heure actuelle, comme l'optogenetique, une stimulation uniquement locale est plus difficile a realiser. Or, il semblerait que les methodes de stimulation lumineuse assistees par nanoparticules puissent, dans certaines conditions, offrir cette possibilite. Cela serait d'une grande aide pour conduire de nouvelles etudes sur le fonctionnement des neurones, en offrant de nouvelles possibilites experimentales en complement des possibilites
Arsenic sorption to nanoparticulate mackinawite (FeS): An examination of phosphate competition.
Niazi, Nabeel Khan; Burton, Edward D
2016-11-01
Nanoparticulate mackinawite (FeS) can be an important host-phase for arsenic (As) in sulfidic, subsurface environments. Although not previously investigated, phosphate (PO 4 3- ) may compete with As for available sorption sites on FeS, thereby enhancing As mobility in FeS-bearing soils, sediments and groundwater systems. In this study, we examine the effect of PO 4 3- on sorption of arsenate (As(V)) and arsenite (As(III)) to nanoparticulate FeS at pH 6, 7 and 9. Results show that PO 4 3- (at 0.01-1.0 mM P) did not significantly affect sorption of either As(V) or As(III) to nanoparticulate FeS at initial aqueous As concentrations ranging from 0.01 to 1.0 mM. At pH 9 and 7, sorption of both As(III) and As(V) to nanoparticulate FeS was similar, with distribution coefficient (K d ) values spanning 0.76-15 L g -1 (which corresponds to removal of 87-98% of initial aqueous As(III) and As(V) concentrations). Conversely, at pH 6, the sorption of As(III) was characterized by substantially higher K d values (6.3-93.4 L g -1 ) than those for As(V) (K d = 0.21-0.96 L g -1 ). Arsenic K-edge X-ray absorption near edge structure (XANES) spectroscopy indicated that up to 52% of the added As(V) was reduced to As(III) in As(V) sorption experiments, as well as the formation of minor amounts of an As 2 S 3 -like species. In As(III) sorption experiments, XANES spectroscopy also demonstrated the formation of an As 2 S 3 -like species and the partial oxidation of As(III) to As(V) (despite the strictly O 2 -free experimental conditions). Overall, the XANES data indicate that As sorption to nanoparticulate FeS involves several redox transformations and various sorbed species, which display a complex dependency on pH and As loading but that are not influenced by the co-occurrence of PO 4 3- . This study shows that nanoparticulate FeS can help to immobilize As(III) and As(V) in sulfidic subsurface environments where As co-exists with PO 4 3- . Copyright © 2016 Elsevier Ltd. All
Humidity sensing properties of Al-doped zinc oxide coating films
NASA Astrophysics Data System (ADS)
Saidi, S. A.; Mamat, M. H.; Ismail, A. S.; Malek, M. F.; Yusoff, M. M.; Sin, N. D. Md.; Zoolfakar, A. S.; Khusaimi, Z.; Rusop, M.
2018-05-01
Humidity sensor was fabricated using Al-doped zinc oxide (ZnO) coating films through spin-coating at room temperature. The sensing mechanism was discussed based on their nanostructures, such as surface area and porous nanostructures. Surface area and water adsorption are an important component in the low humidity, while at high humidity, porous nanostructures and capillary condensation become important. The results showed that the sensitivity of the Al-doped ZnO coating improved compared to that of the Al-doped ZnO nanorod arrays, with values of 7.38 at 40% to 90%RH (Relative humidity). All these results indicated that Al-doped ZnO coating had high potential for humidity-sensor applications.
Anomalous photoelectric emission from Ag on zinc-phthalocyanine film
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tanaka, Senku, E-mail: senku@ele.kindai.ac.jp; Otani, Tomohiro; Fukuzawa, Ken
2014-05-12
Photoelectric emission from organic and metal thin films is generally observed with irradiation of photon energy larger than 4 eV. In this paper, however, we report photoelectric emission from Ag on a zinc-phthalocyanine (ZnPc) layer at a photon energy of 3.4 eV. The threshold energy for this photoelectric emission is much smaller than the work function of Ag estimated by conventional photoelectron spectroscopy. The photoelectric emission by low-energy photons is significant for Ag thicknesses of less than 1 nm. Photoelectron spectroscopy and morphological study of the Ag/ZnPc suggest that the anomalous photoelectric emission from the Ag surface is caused by a vacuum levelmore » shift at the Ag/ZnPc interface and by surface plasmons of the Ag nanoparticles.« less
Plasma-enhanced atomic layer deposition of highly transparent zinc oxy-sulfide thin films
NASA Astrophysics Data System (ADS)
Bugot, C.; Schneider, N.; Lincot, D.; Donsanti, F.
2018-05-01
The potential of Plasma Enhanced Atomic Layer Deposition (PEALD) for the synthesis of zinc oxy-sulfide Zn(O,S) thin films was explored for the first time, using a supercycle strategy and DEZ, Ar/O2 plasma and H2S as precursors. The growth and the properties of the material were studied by varying the pulse ratio on the full range of composition and the process temperature from Tdep = 120 °C to 220 °C. PEALD-Zn(O,S) films could be grown from pure ZnO to pure ZnS compositions by varying the H2S/(O2 plasma + H2S) pulse ratio. Three distinct growth modes were identified depending on the nature of exchange mechanisms at the film surface during the growth. Films globally have an amorphous structure, except for the extremely sulfur-rich or sulfur-poor ones. High transmission values (up to 85% for Zn(O,S) for 500 < λ < 2500 nm) and optical band gaps (3.3-3.8 eV) have been obtained. The PEALD-Zn(O,S) process and the thin film properties were compared with ALD-Zn(O,S) to highlight the specificities, disadvantages and benefits of plasma enhancement for the synthesis of multi-element materials.
You, Hsin-Chiang; Wang, Cheng-Jyun
2017-02-26
A low temperature solution-processed thin-film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low-cost, rapid and highly compatible water-soluble detection and could replace conventional silicon field effect transistors (FETs) as bio-sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol), non-polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non-polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin-film transistor.
You, Hsin-Chiang; Wang, Cheng-Jyun
2017-01-01
A low temperature solution-processed thin-film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low-cost, rapid and highly compatible water-soluble detection and could replace conventional silicon field effect transistors (FETs) as bio-sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol), non-polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non-polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin-film transistor. PMID:28772592
Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil
2017-02-17
Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.
Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications
NASA Astrophysics Data System (ADS)
Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil
2017-02-01
Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.
Wang, Yang; Peng, Zhijian; Wang, Qi; Wang, Chengbiao; Fu, Xiuli
2017-01-01
High-performance ZnO-Pr6O11 thin-film varistors were fabricated simply by hot-dipping oxygen-deficient zinc oxide thin films in Pr6O11 powder. The films had a composition of ZnO0.81 and a thickness of about 200 nm, which were deposited by radio frequency magnetron sputtering a sintered zinc oxide ceramic target. Special attention was paid on the temperature dependence of the varistors. In 50 min with hot-dipping temperature increased from 300–700 °C, the nonlinear coefficient (α) of the varistors increased, but with higher temperature it decreased again. Correspondingly, the leakage current (IL) decreased first and then increased, owing mainly to the formation and destroying of complete zinc oxide/Pr6O11 grain boundaries. The breakdown field (E1mA) decreased monotonously from 0.02217 to 0.01623 V/nm with increasing temperature (300–800 °C), due to the decreased number of effective grain boundaries in the varistors. The varistors prepared at 700 °C exhibited the optimum nonlinear properties with the highest α = 39.29, lowest IL = 0.02736 mA/cm2, and E1mA = 0.01757 V/nm. And after charge-discharge at room temperature for 1000 times, heating at 100 or 250 °C for up to 100 h, or applying at up to 250 °C, the varistors still performed well. Such nanoscaled thin-film varistors will be very promising in electrical/electronic devices working at low voltage. PMID:28155890
NASA Astrophysics Data System (ADS)
Seo, Hokuto; Aihara, Satoshi; Namba, Masakazu; Watabe, Toshihisa; Ohtake, Hiroshi; Kubota, Misao; Egami, Norifumi; Hiramatsu, Takahiro; Matsuda, Tokiyoshi; Furuta, Mamoru; Nitta, Hiroshi; Hirao, Takashi
2010-01-01
Our group has been developing a new type of image sensor overlaid with three organic photoconductive films, which are individually sensitive to only one of the primary color components (blue (B), green (G), or red (R) light), with the aim of developing a compact, high resolution color camera without any color separation optical systems. In this paper, we firstly revealed the unique characteristics of organic photoconductive films. Only choosing organic materials can tune the photoconductive properties of the film, especially excellent wavelength selectivities which are good enough to divide the incident light into three primary colors. Color separation with vertically stacked organic films was also shown. In addition, the high-resolution of organic photoconductive films sufficient for high-definition television (HDTV) was confirmed in a shooting experiment using a camera tube. Secondly, as a step toward our goal, we fabricated a stacked organic image sensor with G- and R-sensitive organic photoconductive films, each of which had a zinc oxide (ZnO) thin film transistor (TFT) readout circuit, and demonstrated image pickup at a TV frame rate. A color image with a resolution corresponding to the pixel number of the ZnO TFT readout circuit was obtained from the stacked image sensor. These results show the potential for the development of high-resolution prism-less color cameras with stacked organic photoconductive films.
Skuza, J. R.; Scott, D. W.; Mundle, R. M.; Pradhan, A. K.
2016-01-01
We demonstrate the electro-thermal control of aluminum-doped zinc oxide (Al:ZnO) /vanadium dioxide (VO2) multilayered thin films, where the application of a small electric field enables precise control of the applied heat to the VO2 thin film to induce its semiconductor-metal transition (SMT). The transparent conducting oxide nature of the top Al:ZnO film can be tuned to facilitate the fine control of the SMT of the VO2 thin film and its associated properties. In addition, the Al:ZnO film provides a capping layer to the VO2 thin film, which inhibits oxidation to a more energetically favorable and stable V2O5 phase. It also decreases the SMT of the VO2 thin film by approximately 5–10 °C because of an additional stress induced on the VO2 thin film and/or an alteration of the oxygen vacancy concentration in the VO2 thin film. These results have significant impacts on technological applications for both passive and active devices by exploiting this near-room-temperature SMT. PMID:26884225
NASA Astrophysics Data System (ADS)
MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime
2016-03-01
In this work we report the fabrication of thin film transistors (TFT) with zinc oxide channel and molybdenum doped indium oxide (IMO) electrodes, achieved by room temperature sputtering. A set of devices was fabricated, with varying channel width and length from 5μm to 300μm. Output and transfer characteristics were then extracted to study the performance of thin film transistors, namely threshold voltage and saturation current, enabling to determine optimal fabrication process parameters. Optical transmission in the UV-VIS-IR are also reported.
NASA Astrophysics Data System (ADS)
Kim, Hwa-Min; Lee, Chang Hyun; Shon, Sun Young; Kim, Bong Hwan
2017-11-01
Aluminum-doped zinc oxide (AZO) films were fabricated on various substrates, such as glass, polyethylene naphthalate (PEN), and polyethylene terephthalate (PET), at room temperature using a facing target sputtering (FTS) system with hetero ZnO and Al2O3 targets, and their electrical and optical properties were investigated. The AZO film on glass exhibited compressive stress while the films on the plastic substrates showed tensile stress. These stresses negatively affected the crystalline quality of the AZO films, and it is suggested that the poor crystalline quality of the films may be related to the neutral Al-based defect complexes formed in the films; these complexes act as neutral impurity scattering centers. AZO films with good optoelectronic properties could be formed on the glass and plastic substrates by the FTS technique using the hetero targets. The AZO films deposited on the glass, PEN, and PET substrates showed very low resistivities, of 5.0 × 10-4 Ω cm, 7.0 × 10-4 Ω cm, and 7.4 × 10-4 Ω cm, respectively. Further, the figure merit of the AZO film formed on the PEN substrate in the visible range (400-700 nm) was significantly higher than that of the AZO film on PET and similar to that of the AZO film on glass. Finally, the average transmittances of the films in the visible range (400-700 nm) were 83.16% (on glass), 76.3% (on PEN), and 78.16% (on PET).
NASA Astrophysics Data System (ADS)
Shin, Hyeonwoo; Kang, Chan-mo; Baek, Kyu-Ha; Kim, Jun Young; Do, Lee-Mi; Lee, Changhee
2018-05-01
We present a novel methods of fabricating low-temperature (180 °C), solution-processed zinc oxide (ZnO) transistors using a ZnO precursor that is blended with zinc hydroxide [Zn(OH)2] and zinc oxide hydrate (ZnO • H2O) in an ammonium solution. By using the proposed method, we successfully improved the electrical performance of the transistor in terms of the mobility (μ), on/off current ratio (I on/I off), sub-threshold swing (SS), and operational stability. Our new approach to forming a ZnO film was systematically compared with previously proposed methods. An atomic forced microscopic (AFM) image and an X-ray photoelectron spectroscopy (XPS) analysis showed that our method increases the ZnO crystallite size with less OH‑ impurities. Thus, we attribute the improved electrical performance to the better ZnO film formation using the blending methods.
Low-Resistivity Zinc Selenide for Heterojunctions
NASA Technical Reports Server (NTRS)
Stirn, R. J.
1986-01-01
Magnetron reactive sputtering enables doping of this semiconductor. Proposed method of reactive sputtering combined with doping shows potential for yielding low-resistivity zinc selenide films. Zinc selenide attractive material for forming heterojunctions with other semiconductor compounds as zinc phosphide, cadmium telluride, and gallium arsenide. Semiconductor junctions promising for future optoelectronic devices, including solar cells and electroluminescent displays. Resistivities of zinc selenide layers deposited by evaporation or chemical vapor deposition too high to form practical heterojunctions.
Fabrication and RF characterization of zinc oxide based Film Bulk Acoustic Resonator
NASA Astrophysics Data System (ADS)
Patel, Raju; Bansal, Deepak; Agrawal, Vimal Kumar; Rangra, Kamaljit; Boolchandani, Dharmendar
2018-06-01
This work reports fabrication and characterization of Film Bulk Acoustic Resonator (FBAR) to improve the performance characteristics for RF filter and sensing application. Zinc oxide as a piezoelectric (PZE) material was deposited on an aluminum bottom electrode using an RF magnetron sputtering, at room temperature, and gold as top electrode for the resonator. Tetramethyl ammonium hydroxide (TMAH) setup was used for bulk silicon etching to make back side cavity to confine the acoustic signals. The transmission characteristics show that the FBARs have a central frequency at 1.77 GHz with a return loss of -10.7 dB.
NASA Astrophysics Data System (ADS)
Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William
2018-05-01
The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.
NASA Astrophysics Data System (ADS)
Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk
2018-05-01
We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.
NASA Astrophysics Data System (ADS)
Gao, Xiao-Yong; Chen, Chao; Zhang, Sa
2014-03-01
A series of <103>-oriented aluminum-doped zinc oxide (AZO) films were deposited on glass substrates via direct-current pulse magnetron reactive sputtering at different O2-to-Ar gas flow ratios (GFRs). The optical properties of the films were characterized using the fitted optical constants in the general oscillator model (which contains two Psemi-Tri oscillators) through the use of measured ellipsometric parameters. The refractive index dispersion data below the interband absorption edge were analyzed using a single-oscillator model. The fitted optical energy gap obtained using the single-oscillator model clearly shows a blue shift, followed by a red shift, as the GFR increases from 0.9/18 to 2.1/18. This shift can be attributed to the change in the free electron concentration of the film, which is closely related to the film stress. In addition, the fitted β value indicates that the AZO film falls under the ionic class. The photoluminescence spectrum indicates a photoluminescence mechanism of the direct and wide energy gap semiconductor.
Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi
2016-12-12
In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O 2 /Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O 2 /Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (I off ) of 3 pA, a high on/off current ratio of 2 × 10 7 , a high saturation mobility (μ sat ) of 66.7 cm 2 /V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (V th ) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.
NASA Astrophysics Data System (ADS)
Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi
2016-12-01
In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O2/Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O2/Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (Ioff) of 3 pA, a high on/off current ratio of 2 × 107, a high saturation mobility (μsat) of 66.7 cm2/V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (Vth) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.
NASA Astrophysics Data System (ADS)
Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.
2012-06-01
High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.
Aqueous synthesis of zinc oxide films for GaN optoelectronic devices
NASA Astrophysics Data System (ADS)
Reading, Arthur H.
GaN-based LEDs have generally made use of ITO transparent contacts as current-spreading layers for uniform current injection. However, the high raw material and processing costs of ITO layers have generated interest in potentially cheaper alternatives. In this work, zinc oxide transparent layers were fabricated by a low-cost, low-temperature aqueous epitaxial growth method at 90°C for use as transparent contacts to GaN LEDs on c-plane sapphire, and on semipolar bulk GaN substrates. Low-voltage operation was achieved for c-plane devices, with voltages below 3.8V for 1mm2 broad-area LEDs at a current density of 30A/cm 2. Blue-green LEDs on 202¯1¯-plane GaN also showed low voltage operation below 3.5V at 30A/cm2. Ohmic contact resistivity of 1:8 x 10-2Ocm2 was measured for films on (202¯1) p-GaN templates. Ga-doped films had electrical conductivities as high as 660S/cm after annealing at 300°C. Optical characterization revealed optical absorption coefficients in the 50--200cm -1 range for visible light, allowing thick films with sheet resistances below 10O/□ to be grown while minimizing absorption of the emitted light. Accurate and reproducible etch-free patterning of the ZnO films was achieved using templated growths with SiOx hard masks. A roughening method is described which was found to increase peak LED efficiencies by 13% on c-plane patterned sapphire (PSS) substrates. In addition, ZnO films were successfully employed as laser-cladding layers for blue (202¯1) lasers, with a threshold current density of 8.8kA/cm 2.
NASA Astrophysics Data System (ADS)
Hong, R. J.; Jiang, X.
2006-07-01
Aluminium-doped zinc oxide (ZnO:Al or AZO) thin films were deposited on glass substrates by reactive mid-frequency (MF) magnetron sputtering from Zn/Al metallic targets. Strong (002) preferred orientation was detected by X-ray diffraction (XRD). It was observed by plan-view transmission electron microscopy (TEM) that an AZO film deposited at low substrate temperature was composed of irregular large grains; but the film prepared at high temperature was composed of moderate sized grains with a regular shape. A secondary phase of ZnO2 was also observed for the film deposited at low substrate temperature. The cross-sectional TEM study of the AZO film showed that prior to the well-aligned columnar growth an initial interfacial zone with nano crystallites were formed. The nano crystallites formed initially with a large tilt angle normal to the substrate surface and during the growth of the transition zone, the tilt angle decreased until it vanished. The evolution of the film structure is discussed in terms of evolutionary selection model and the dynamic deposition process.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hendrickson, Joshua R., E-mail: joshua.hendrickson.4@us.af.mil; Leedy, Kevin; Cleary, Justin W.
Near-perfect light absorption in subwavelength trench arrays etched in highly conductive gallium-doped zinc oxide films was experimentally observed in the mid infrared regime. At wavelengths corresponding to the resonant excitation of surface plasmons, up to 99% of impinging light is efficiently trapped and absorbed in the periodic trenches. Scattering cross sectional calculations reveal that each individual trench acts like a vertical split ring resonator with a broad plasmon resonance spectrum. The coupling of these individual plasmon resonators in the grating structure leads to enhanced photon absorption and significant resonant spectral linewidth narrowing. Ellipsometry measurements taken before and after device fabricationmore » result in different permittivity values for the doped zinc oxide material, indicating that localized annealing occurred during the plasma etching process due to surface heating. Simulations, which incorporate a 50 nm annealed region at the zinc oxide surface, are in a good agreement with the experimental results.« less
Reactively-sputtered zinc semiconductor films of high conductivity for heterojunction devices
NASA Technical Reports Server (NTRS)
Stirn, Richard J. (Inventor)
1986-01-01
A high conductivity, n-doped semiconductor film is produced from zinc, or Zn and Cd, and group VI elements selected from Se, S and Te in a reactive magnetron sputtering system having a chamber with one or two targets, a substrate holder, means for heating the substrate holder, and an electric field for ionizing gases in the chamber. Zinc or a compound of Zn and Cd is placed in the position of one of the two targets and doping material in the position of the other of the two targets. Zn and Cd may be placed in separate targets while a dopant is placed in the third target. Another possibility is to place an alloy of Zn and dopant, or Zn, Cd and dopant in one target, thus using only one target. A flow of the inert gas is ionized and directed toward said targets, while a flow of a reactant gas consisting of hydrides of the group VI elements is directed toward a substrate on the holder. The targets are biased to attract negatively ionized inert gas. The desired stochiometry for high conductivity is achieved by controlling the temperature of the substrate, and partial pressures of the gases, and the target power and total pressure of the gases in the chamber.
NASA Astrophysics Data System (ADS)
Song, Aeran; Park, Hyun-Woo; Chung, Kwun-Bum; Rim, You Seung; Son, Kyoung Seok; Lim, Jun Hyung; Chu, Hye Yong
2017-12-01
The electrical properties of amorphous-indium-gallium-zinc-oxide (a-IGZO) thin films were investigated after thermal annealing and plasma treatment under different gas conditions. The electrical resistivity of a-IGZO thin films post-treated in a hydrogen ambient were lower than those without treatment and those annealed in air, regardless of the methods used for both thermal annealing and plasma treatment. The electrical properties can be explained by the quantity of hydrogen incorporated into the samples and the changes in the electronic structure in terms of the chemical bonding states, the distribution of the near-conduction-band unoccupied states, and the band alignment. As a result, the carrier concentrations of the hydrogen treated a-IGZO thin films increased, while the mobility decreased, due to the increase in the oxygen vacancies from the occurrence of unoccupied states in both shallow and deep levels.
Zinc oxide integrated area efficient high output low power wavy channel thin film transistor
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hanna, A. N.; Ghoneim, M. T.; Bahabry, R. R.
2013-11-25
We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.
NASA Astrophysics Data System (ADS)
Lachaine, Remi
Les chirurgiens generent des bulles dans le corps humain a l'aide d'irradiation laser depuis plusieurs decennies. Ils utilisent ces bulles comme de petits scalpels, leur permettant de faire des incisions precises et localisees. Une des applications de cet outil chirurgical est la perforation cellulaire. Au lieu d'utiliser une aiguille pour perforer la membrane des cellules, il est possible de focaliser des impulsions laser en surface d'une cellule, formant un plasma au point focal du laser et generant une bulle qui perfore la membrane cellulaire. Toutefois, ce procede est assez lent et la perforation massive de cellules in-vivo n'est pas envisageable. Pour accelerer le processus, il est possible d'utiliser des nanoparticules plasmoniques. Ces dernieres agissent comme des nano-antennes qui permettent de concentrer la lumiere sur une echelle nanometrique. La possibilite d'irradier un grand nombre de nanoparticules simultanement a donne un nouvel elan a la generation de bulle comme outil de perforation cellulaire. L'utilisation de nanoparticules dans un contexte biomedical comporte toutefois certains risques. En particulier, la fragmentation de nanoparticules peut augmenter la toxicite du traitement. Dans un cas ideal, il est preferable d'utiliser des nanoparticules qui ne sont pas endommagees par l'irradiation laser. Cette these a pour but de developper une methode d'ingenierie de nanoparticules robustes permettant la generation efficace de bulles a des fins biomedicales. Il est tout d'abord demontre experimentalement que la formation de plasma est bel et bien le mecanisme physique principal menant a la generation de bulles lors de l'irradiation infrarouge (longueur d'onde de 800 nm) et ultrarapide (temps d'impulsion entre 45 fs et 1 ps) de nanoparticules d'or de 100 nm. Pour realiser cette demonstration, une methode pompe-sonde de detection de bulles d'environ 1 mum a ete elaboree. Cette methode a permis de mettre en evidence une difference de taille de 18% entre
DOE Office of Scientific and Technical Information (OSTI.GOV)
Das, P.; Sengupta, D.; CSIR-Central Mechanical Engineering Research Institute, Academy of Scientific and Innovative Research
Highlights: • Thin TiO{sub 2} layer is deposited on conducting substrate using sol–gel based dip coating. • TiO{sub 2} nano-particles are synthesized using hydrothermal route. • Thick TiO{sub 2} particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO{sub 2} passivation layer is introduced between the mesoporous TiO{sub 2} nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effectmore » of passivation layer, other two DSSCs are also developed separately using TiO{sub 2} nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO{sub 2} compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO{sub 2} layer in between the mesoporous TiO{sub 2} nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons.« less
Mizielińska, Małgorzata; Kowalska, Urszula; Jarosz, Michał; Sumińska, Patrycja; Landercy, Nicolas; Duquesne, Emmanuel
2018-01-01
The aim of this study was to examine the influence of accelerated UV-aging on the activity against chosen microorganisms and the mechanical properties of poly-lactic acid (PLA) films enhanced with ZnO nanoparticles. The pure PLA films and tri-layered PLAZnO1%/PLA/PLAZnO1% films of 150 µm thickness were extruded. The samples were treated with UV-A and Q-SUN irradiation. After irradiation the antimicrobial activity and mechanical properties of the films were analyzed. The results of the study demonstrated that PLA films did not inhibit the growth of Staphylococcus aureus, Bacillus cereus, Escherichia coli, Bacillus atrophaeus, and Candida albicans cells. PLA films with incorporated zinc oxide nanoparticles decreased the number of analyzed microorganisms. Accelerated UV aging had no negative effect on the activity of the film containing nano-ZnO against Gram-positive bacteria, but it influenced the activity against Gram-negative cells and C. albicans. Q-SUN irradiation decreased the antimicrobial effect of films with incorporated nanoparticles against B. cereus. UV-A and Q-UV irradiation did not influence the mechanical properties of PLA films containing incorporated ZnO nanoparticles. PMID:29670066
Mizielińska, Małgorzata; Kowalska, Urszula; Jarosz, Michał; Sumińska, Patrycja; Landercy, Nicolas; Duquesne, Emmanuel
2018-04-18
The aim of this study was to examine the influence of accelerated UV-aging on the activity against chosen microorganisms and the mechanical properties of poly-lactic acid (PLA) films enhanced with ZnO nanoparticles. The pure PLA films and tri-layered PLAZnO1%/PLA/PLAZnO1% films of 150 µm thickness were extruded. The samples were treated with UV-A and Q-SUN irradiation. After irradiation the antimicrobial activity and mechanical properties of the films were analyzed. The results of the study demonstrated that PLA films did not inhibit the growth of Staphylococcus aureus , Bacillus cereus , Escherichia coli , Bacillus atrophaeus , and Candida albicans cells. PLA films with incorporated zinc oxide nanoparticles decreased the number of analyzed microorganisms. Accelerated UV aging had no negative effect on the activity of the film containing nano-ZnO against Gram-positive bacteria, but it influenced the activity against Gram-negative cells and C. albicans . Q-SUN irradiation decreased the antimicrobial effect of films with incorporated nanoparticles against B. cereus . UV-A and Q-UV irradiation did not influence the mechanical properties of PLA films containing incorporated ZnO nanoparticles.
Structure of a zinc oxide ultra-thin film on Rh(100)
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yuhara, J.; Kato, D.; Matsui, T.
The structural parameters of ultra-thin zinc oxide films on Rh(100) are investigated using low-energy electron diffraction intensity (LEED I–V) curves, scanning tunneling microscopy (STM), and first-principles density functional theory (DFT) calculations. From the analysis of LEED I–V curves and DFT calculations, two optimized models A and B are determined. Their structures are basically similar to the planer h-BN ZnO(0001) structure, although some oxygen atoms protrude from the surface, associated with an in-plane shift of Zn atoms. From a comparison of experimental STM images and simulated STM images, majority and minority structures observed in the STM images represent the two optimizedmore » models A and B, respectively.« less
NASA Astrophysics Data System (ADS)
Ohta, Takayuki; Inoue, Mari; Takota, Naoki; Ito, Masafumi; Higashijima, Yasuhiro; Kano, Hiroyuki; den, Shoji; Yamakawa, Koji; Hori, Masaru
2009-10-01
Transparent conductive Oxide film has been used as transparent conducting electrodes of optoelectronic devices such as flat panel display, solar cells, and so on. Indium-Zinc-Oxide (IZO) has been investigated as one of promising alternatives Indium Tin Oxide film, due to amorphous, no nodule and so on. In order to control a sputtering process with highly precise, RF magnetron sputtering plasma using IZO composite target was diagnosed by absorption and emission spectroscopy. We have developed a multi-micro hollow cathode lamp which can emit simultaneous multi-atomic lines for monitoring Zn and In densities simultaneously. Zn and In densities were measured to be 10^9 from 10^10 cm-3 at RF power from 40 to 100 W, pressure of 5Pa, and Ar flow rate of 300 sccm. The emission intensities of Zn, In, InO, and Ar were also observed.
Stability study of solution-processed zinc tin oxide thin-film transistors
NASA Astrophysics Data System (ADS)
Zhang, Xue; Ndabakuranye, Jean Pierre; Kim, Dong Wook; Choi, Jong Sun; Park, Jaehoon
2015-11-01
In this study, the environmental dependence of the electrical stability of solution-processed n-channel zinc tin oxide (ZTO) thin-film transistors (TFTs) is reported. Under a prolonged negative gate bias stress, a negative shift in threshold voltage occurs in atmospheric air, whereas a negligible positive shift in threshold voltage occurs under vacuum. In the positive bias-stress experiments, a positive shift in threshold voltage was invariably observed both in atmospheric air and under vacuum. In this study, the negative gate-bias-stress-induced instability in atmospheric air is explained through an internal potential in the ZTO semiconductor, which can be generated owing to the interplay between H2O molecules and majority carrier electrons at the surface of the ZTO film. The positive bias-stress-induced instability is ascribed to electron-trapping phenomenon in and around the TFT channel region, which can be further augmented in the presence of air O2 molecules. These results suggest that the interaction between majority carriers and air molecules will have crucial implications for a reliable operation of solution-processed ZTO TFTs. [Figure not available: see fulltext.
NASA Astrophysics Data System (ADS)
Nishimura, Eriko; Sasabayashi, Tomoko; Ito, Norihiro; Sato, Yasushi; Utsumi, Kentaro; Yano, Koki; Kaijo, Akira; Inoue, Kazuyoshi; Shigesato, Yuzo
2007-12-01
Representative transparent conductive oxide films, such as tin-doped indium oxide (ITO) and indium-zinc oxide (IZO) films, were deposited by dc magnetron sputtering using corresponding oxide targets under various total gas pressures (Ptot) ranging from 0.3 to 3.0 Pa. The ITO films deposited at a Ptot lower than 0.7 Pa were polycrystalline and were found to have a large compressive stress of about 1.5 × 109 Pa, whereas the ITO films deposited at 1.5-3.0 Pa were amorphous and had a low tensile stress. In contrast, all the IZO films deposited at a Ptot range of 0.3-3.0 Pa showed an entirely amorphous structure, where the compressive stress in the IZO films deposited at a Ptot lower than 1.5 Pa was lower than that in the ITO films. Such compressive stress was considered to be generated by the atomic peening effect of high-energy neutrals (Ar0) recoiled from the target or high-energy negative ions (O-) accelerated in the cathode sheath toward the film surface.
Bipolar charge storage characteristics in copper and cobalt co-doped zinc oxide (ZnO) thin film.
Kumar, Amit; Herng, Tun Seng; Zeng, Kaiyang; Ding, Jun
2012-10-24
The bipolar charge phenomenon in Cu and Co co-doped zinc oxide (ZnO) film samples has been studied using scanning probe microscopy (SPM) techniques. Those ZnO samples are made using a pulsed laser deposition (PLD) technique. It is found that the addition of Cu and Co dopants suppresses the electron density in ZnO and causes a significant change in the work function (Fermi level) value of the ZnO film; this results in the ohmic nature of the contact between the electrode (probe tip) and codoped sample, whereas this contact exhibits a Schottky nature in the undoped and single-element-doped samples. These results are verified by Kelvin probe force microscopy (KPFM) and ultraviolet photoelectron spectroscopy (UPS) measurements. It is also found that the co-doping (Cu and Co) can stabilize the bipolar charge, whereas Cu doping only stabilizes the positive charge in ZnO thin films.
NASA Astrophysics Data System (ADS)
Ullah, Sana; De Matteis, Fabio; Davoli, Ivan
2017-11-01
Transparent conducting oxide films with optimized dopant molar ratio have been prepared with limited pre- and postdeposition annealing duration of 10 min. Multiple aluminum zinc oxide (AZO) layers were spin-coated on ordinary glass substrates. The predeposition consolidation temperature and dopant molar ratio were optimized for electrical conductivity and optical transparency. Next, a group of films were deposited on Corning glass substrates from precursor solutions with the optimized dopant ratio, followed by postdeposition rapid thermal annealing (RTA) at different temperatures and in controlled environments. The lowest resistivity of 10.1 × 10-3 Ω cm was obtained for films receiving RTA at 600°C for 10 min each in vacuum then in N2-5%H2 environment, while resistivity of 20.3 × 10-3 Ω cm was obtained for films subjected to RTA directly in N2-5%H2. Optical measurements revealed average total transmittance of about 85% in the visible region. A direct allowed transition bandgap was determined based on the absorption edge with a value slightly above 3.0 eV, within the typical range for semiconductors. RTA resulted in desorption of oxygen with enhanced carrier concentration and crystallinity, which increased the carrier mobility with decreased bulk resistivity while maintaining the required optical transparency.
Evaluation of the optical axis tilt of zinc oxide films via noncollinear second harmonic generation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bovino, F. A.; Larciprete, M. C.; Belardini, A.
2009-06-22
We investigated noncollinear second harmonic generation form zinc oxide films, grown on glass substrates by dual ion beam sputtering technique. At a fixed incidence angle, the generated signal is investigated by scanning the polarization state of both fundamental beams. We show that the map of the generated signal as a function of polarization states of both pump beams, together with the analytical curves, allows to retrieve the orientation of the optical axis and eventually, its angular tilt, with respect to the surface normal.
NASA Astrophysics Data System (ADS)
Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu
2012-02-01
We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.
NASA Astrophysics Data System (ADS)
Singh, Anup K.; Adhikari, Sonachand; Gupta, Rajeev; Deepak
2017-01-01
We have investigated the electrical resistivity behavior in amorphous indium gallium zinc oxide (a-IGZO) thin films. It is well known that resistivity increases as the film is deposited at a higher and higher oxygen partial pressure; we also record the same. However, in process we have discovered a remarkable region, in the oxygen deficient condition, that the resistivity shows an inverse behavior. This leads to the possibility that resistive films, suitable for thin film transistors, can also be obtained in oxygen deficient deposition conditions. Optical spectroscopic investigation could discern between a-IGZO films grown in oxygen deficient and oxygen rich conditions. The related resistivity behavior could be correlated to the presence of sub-bandgap states in films deposited in oxygen deficiency. These subgap states appear to be due to defects arising from local variations around the cations or oxygen atoms. The likely cause is an increase in Ga relative to In around O atom and the nature of cation-cation interaction when an oxygen atom is missing.
Properties of Al- and Ga-doped thin zinc oxide films treated with UV laser radiation
NASA Astrophysics Data System (ADS)
Al-Asedy, Hayder J.; Al-Khafaji, Shuruq A.; Bakhtiar, Hazri; Bidin, Noriah
2018-03-01
This paper reports the Nd:YAG laser irradiation treated modified properties of aluminum (Al) and gallium (Ga) co-doped zinc oxide (ZnO) (AGZO) films prepared on Si-substrate via combined sol-gel and spin-coating method. The impact of varying laser energy (150-200 mJ) on the structure, morphology, electrical and optical properties of such AGZO films were determined. Laser-treated samples were characterized using various analytical tools. Present techniques could achieve a high-quality polycrystalline films compared with those produced via conventional high temperature processing. AGZO films irradiated with third harmonics UV radiation (355 nm) from Nd:YAG laser source revealed very low resistivity of 4.02 × 10- 3 Ω cm. The structural properties grain size was calculated firm the X-ray diffraction spectra using the Scherrer equation that increased from 12.7 to 22.5 nm as the annealing laser energy increased from (150-200) mJ. The differences in crystallinity and orientation are explained in terms of the thermal effect caused by laser irradiation. (FESEM) images have been demonstrated that Nd:YAG laser annealing can significantly improve the crystallinity level, densification, and surface flatness of sol-gel derived AGZO thin films that occurred as a result of laser processing. Synthesized AGZO films displayed favorable growth orientation along (101) lattice direction. AGZO films with energy band gap of 3.37-3.41 eV were obtained. Results on the crystallinity, surface morphology, roughness, bonding vibration, absorption, photoluminescence, and resistivity of the laser-irradiated films were analyzed and discussed.
Water-soluble thin film transistors and circuits based on amorphous indium-gallium-zinc oxide.
Jin, Sung Hun; Kang, Seung-Kyun; Cho, In-Tak; Han, Sang Youn; Chung, Ha Uk; Lee, Dong Joon; Shin, Jongmin; Baek, Geun Woo; Kim, Tae-il; Lee, Jong-Ho; Rogers, John A
2015-04-22
This paper presents device designs, circuit demonstrations, and dissolution kinetics for amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFTs) comprised completely of water-soluble materials, including SiNx, SiOx, molybdenum, and poly(vinyl alcohol) (PVA). Collections of these types of physically transient a-IGZO TFTs and 5-stage ring oscillators (ROs), constructed with them, show field effect mobilities (∼10 cm2/Vs), on/off ratios (∼2×10(6)), subthreshold slopes (∼220 mV/dec), Ohmic contact properties, and oscillation frequency of 5.67 kHz at supply voltages of 19 V, all comparable to otherwise similar devices constructed in conventional ways with standard, nontransient materials. Studies of dissolution kinetics for a-IGZO films in deionized water, bovine serum, and phosphate buffer saline solution provide data of relevance for the potential use of these materials and this technology in temporary biomedical implants.
The influence of visible light on transparent zinc tin oxide thin film transistors
NASA Astrophysics Data System (ADS)
Görrn, P.; Lehnhardt, M.; Riedl, T.; Kowalsky, W.
2007-11-01
The characteristics of transparent zinc tin oxide thin film transistors (TTFTs) upon illumination with visible light are reported. Generally, a reversible decrease of threshold voltage Vth, saturation field effect mobility μsat, and an increase of the off current are found. The time scale of the recovery in the dark is governed by the persistent photoconductivity in the semiconductor. Devices with tuned [Zn]:[Sn] ratio show a shift of Vth of less 2V upon illumination at 5mW/cm2 (brightness >30000cd/m2) throughout the visible spectrum. These results demonstrate TTFTs which are candidates as pixel drivers in transparent active-matrix organic light emitting diode displays.
Zinc-The key to preventing corrosion
Kropschot, S.J.; Doebrich, Jeff L.
2011-01-01
Centuries before it was identified as an element, zinc was used to make brass (an alloy of zinc and copper) and for medicinal purposes. Metallic zinc and zinc oxide were produced in India sometime between the 11th and 14th centuries and in China in the 17th century, although the discovery of pure metallic zinc is credited to the German chemist Andreas Marggraf, who isolated the element in 1746. Refined zinc metal is bluish-white when freshly cast; it is hard and brittle at most temperatures and has relatively low melting and boiling points. Zinc alloys readily with other metals and is chemically active. On exposure to air, it develops a thin gray oxide film (patina), which inhibits deeper oxidation (corrosion) of the metal. The metal's resistance to corrosion is an important characteristic in its use.
Zinc doping of large-area MoS2 films via chemical vapor deposition
NASA Astrophysics Data System (ADS)
Xu, Enzhi; Liu, Haoming; Park, Kyungwha; Li, Zhen; Losovyj, Yaroslav; Starr, Matthew; Werbianskyj, Madilynn; Fertig, Herbert; Zhang, Shixiong
Atomically thin molybdenum disulfide (MoS2) has attracted significant attention because of its great potential for electronic and optoelectronic applications. Undoped MoS2 is n-type presumably due to the formation of native defects, and realizing p-type conduction has often turned out to be challenging. In this work, we report on the synthesis and characterizations of large-area Zn-doped MoS2 thin films in which the zinc dopant is demonstrated to be p-type. The films were grown by chemical vapor deposition and are monolayers or bilayers with a lateral dimension on the order of millimeters. The p-type nature of Zn dopants was evidenced by the suppression of n-type conduction and a downward shift of the Fermi level with doping. Density-functional-theory calculations were carried out to demonstrate the stability of the Zn dopants and to determine the impurity states. A p-type gate transfer characteristic was observed after the Zn-MoS2 film was thermally annealed in a sulfur atmosphere. This work is supported by the NSF through Grant Nos. DMR-1506460, DMR-1506263, and DMR-1206354, the San Diego Supercomputer Center (SDSC) Gordon under DMR060009N, and by the US-Israel Binational Science Foundation.
Exchange bias in zinc ferrite-FeNiMoB based metallic glass composite thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
R, Lisha; P, Geetha; B, Aravind P.
2015-06-24
The Exchange bias phenomenon and methods to manipulate the bias field in a controlled manner are thrust areas in magnetism due to its sophisticated theoretical concepts as well as advanced technological utility in the field of spintronics. The Exchange bias effect is observed as a result of ferromagnetic-antiferromagnetic (FM-AFM) exchange interaction, usually observed as a loop shift on field cooling below the Neel temperature of AFM. In the present study, we have chosen zinc ferrite which is a well known antiferromagnet, and FeNiMoB based metallic glass as the ferromagnet. The films were prepared by RF sputtering technique. The thickness andmore » composition was obtained by RBS. The magnetic studies using SQUID VSM indicate exchange bias effect in the system. The effect of thermal annealing on exchange bias effect was studied. The observed exchange bias in the zinc ferrite-FeNiMoB system is not due to FM-AFM coupling but due to spin glass-ferromagnetic interaction.« less
Exchange bias in zinc ferrite-FeNiMoB based metallic glass composite thin films
NASA Astrophysics Data System (ADS)
R, Lisha; T, Hysen; P, Geetha; B, Aravind P.; Ojha, S.; Avasthi, D. K.; Ramanujan, R. V.; Anantharaman, M. R.
2015-06-01
The Exchange bias phenomenon and methods to manipulate the bias field in a controlled manner are thrust areas in magnetism due to its sophisticated theoretical concepts as well as advanced technological utility in the field of spintronics. The Exchange bias effect is observed as a result of ferromagnetic-antiferromagnetic (FM-AFM) exchange interaction, usually observed as a loop shift on field cooling below the Neel temperature of AFM. In the present study, we have chosen zinc ferrite which is a well known antiferromagnet, and FeNiMoB based metallic glass as the ferromagnet. The films were prepared by RF sputtering technique. The thickness and composition was obtained by RBS. The magnetic studies using SQUID VSM indicate exchange bias effect in the system. The effect of thermal annealing on exchange bias effect was studied. The observed exchange bias in the zinc ferrite-FeNiMoB system is not due to FM-AFM coupling but due to spin glass-ferromagnetic interaction.
Annealing in tellurium-nitrogen co-doped ZnO films: The roles of intrinsic zinc defects
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tang, Kun, E-mail: ktang@nju.edu.cn; Gu, Ran; Gu, Shulin, E-mail: slgu@nju.edu.cn
2015-04-07
In this article, the authors have conducted an extensive investigation on the roles of intrinsic zinc defects by annealing of a batch of Te-N co-doped ZnO films. The formation and annihilation of Zn interstitial (Zn{sub i}) clusters have been found in samples with different annealing temperatures. Electrical and Raman measurements have shown that the Zn{sub i} clusters are a significant compensation source to holes, and the Te co-doping has a notable effect on suppressing the Zn{sub i} clusters. Meanwhile, shallow acceptors have been identified in photoluminescence spectra. The N{sub O}-Zn-Te complex, zinc vacancy (V{sub Zn})-N{sub O} complex, and V{sub Zn}more » clusters are thought to be the candidates as the shallow acceptors. The evolution of shallow acceptors upon annealing temperature have been also studied. The clustering of V{sub Zn} at high annealing temperature is proposed to be a possible candidate as a stable acceptor in ZnO.« less
NASA Astrophysics Data System (ADS)
Menon, Rashmi; Sreenivas, K.; Gupta, Vinay
2008-05-01
Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.
Dielectrophoretic Isolation and Detection of cfc-DNA Nanoparticulate Biomarkers and Virus from Blood
Sonnenberg, Avery; Marciniak, Jennifer Y.; McCanna, James; Krishnan, Rajaram; Rassenti, Laura; Kipps, Thomas J.; Heller, Michael J.
2015-01-01
Dielectrophoretic (DEP) microarray devices allow important cellular nanoparticulate biomarkers and virus to be rapidly isolated, concentrated and detected directly from clinical and biological samples. A variety of sub-micron nanoparticulate entities including cell free circulating (cfc) DNA, mitochondria and virus can be isolated into DEP high-field areas on microelectrodes, while blood cells and other micron-size entities become isolated into DEP low-field areas between the microelectrodes. The nanoparticulate entities are held in the DEP high-field areas while cells are washed away along with proteins and other small molecules which are not affected by the DEP electric fields. DEP carried out on 20 µL of whole blood obtained from Chronic Lymphocytic Leukemia (CLL) patients showed a considerable amount of SYBR Green stained DNA fluorescent material concentrated in the DEP high-field regions. Whole blood obtained from healthy individuals showed little or no fluorescent DNA materials in the DEP high-field regions. Fluorescent T7 bacteriophage virus could be isolated directly from blood samples, and fluorescently stained mitochondria could be isolated from biological buffer samples. Using newer DEP microarray devices, high molecular weight (hmw) DNA could be isolated from serum and detected at levels as low as 8–16 ng/mL. PMID:23436471
Ehiasarian, A; Pulgarin, Cesar; Kiwi, John
2012-11-01
The Cu polyester thin-sputtered layers on textile fabrics show an acceptable bacterial inactivation kinetics using sputtering methods. Direct current magnetron sputtering (DCMS) for 40 s of Cu on cotton inactivated Escherichia coli within 30 min under visible light and within 120 min in the dark. For a longer DCMS time of 180 s, the Cu content was 0.294% w/w, but the bacterial inactivation kinetics under light was observed within 30 min, as was the case for the 40-s sputtered sample. This observation suggests that Cu ionic species play a key role in the E. coli inactivation and these species were further identified by X-ray photoelectron spectroscopy (XPS). The 40-s sputtered samples present the highest amount of Cu sites held in exposed positions interacting on the cotton with E. coli. Cu DC magnetron sputtering leads to thin metallic semi-transparent gray-brown Cu coating composed by Cu nanoparticulate in the nanometer range as found by electron microscopy (EM). Cu cotton fabrics were also functionalized by bipolar asymmetric DCMSP. Sputtering by DCMS and DCMSP for longer times lead to darker and more compact Cu films as detected by diffuse reflectance spectroscopy and EM. Cu is deposited on the polyester in the form of Cu(2)O and CuO as quantified by XPS. The redox interfacial reactions during bacterial inactivation involve changes in the Cu oxidation states and in the oxidation intermediates and were followed by XPS. High-power impulse magnetron sputtering (HIPIMS)-sputtered films show a low rugosity indicating that the texture of the Cu nanoparticulate films were smooth. The values of R (q) and R (a) were similar before and after the E. coli inactivation providing evidence for the stability of the HIPIMS-deposited Cu films. The Cu loading percentage required in the Cu films sputtered by HIPIMS to inactivate E. coli was about three times lower compared to DCMS films. This indicates a substantial Cu metal savings within the preparation of antibacterial
Silicon induced stability and mobility of indium zinc oxide based bilayer thin film transistors
NASA Astrophysics Data System (ADS)
Chauhan, Ram Narayan; Tiwari, Nidhi; Liu, Po-Tsun; Shieh, Han-Ping D.; Kumar, Jitendra
2016-11-01
Indium zinc oxide (IZO), silicon containing IZO, and IZO/IZO:Si bilayer thin films have been prepared by dual radio frequency magnetron sputtering on glass and SiO2/Si substrates for studying their chemical compositions and electrical characteristics in order to ascertain reliability for thin film transistor (TFT) applications. An attempt is therefore made here to fabricate single IZO and IZO/IZO:Si bilayer TFTs to study the effect of film thickness, silicon incorporation, and bilayer active channel on device performance and negative bias illumination stress (NBIS) stability. TFTs with increasing single active IZO layer thickness exhibit decrease in carrier mobility but steady improvement in NBIS; the best values being μFE ˜ 27.0, 22.0 cm2/Vs and ΔVth ˜ -13.00, -6.75 V for a channel thickness of 7 and 27 nm, respectively. While silicon incorporation is shown to reduce the mobility somewhat, it raises the stability markedly (ΔVth ˜ -1.20 V). Further, IZO (7 nm)/IZO:Si (27 nm) bilayer based TFTs display useful characteristics (field effect mobility, μFE = 15.3 cm2/Vs and NBIS value, ΔVth =-0.75 V) for their application in transparent electronics.
NASA Astrophysics Data System (ADS)
Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting
2018-02-01
To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.
NASA Astrophysics Data System (ADS)
Kim, Dong Wook; Park, Jaehoon; Hwang, Jaeeun; Kim, Hong Doo; Ryu, Jin Hwa; Lee, Kang Bok; Baek, Kyu Ha; Do, Lee-Mi; Choi, Jong Sun
2015-01-01
In this study, a pulse-light annealing method is proposed for the rapid fabrication of solution-processed zinc oxide (ZnO) thinfilm transistors (TFTs). Transistors that were fabricated by the pulse-light annealing method, with the annealing being carried out at 90℃ for 15 s, exhibited a mobility of 0.05 cm2/Vs and an on/off current ratio of 106. Such electrical properties are quite close to those of devices that are thermally annealed at 165℃ for 40 min. X-ray photoelectron spectroscopy analysis of ZnO films showed that the activation energy required to form a Zn-O bond is entirely supplied within 15 s of pulse-light exposure. We conclude that the pulse-light annealing method is viable for rapidly curing solution-processable oxide semiconductors for TFT applications.
NASA Astrophysics Data System (ADS)
Ali, H. M.; Abd El-Raheem, M. M.; Megahed, N. M.; Mohamed, H. A.
2006-08-01
Aluminum-doped zinc oxide (AZO) thin films have been deposited by electron beam evaporation technique on glass substrates. The structural, electrical and optical properties of AZO films have been investigated as a function of annealing temperature. It was observed that the optical properties such as transmittance, reflectance, optical band gap and refractive index of AZO films were strongly affected by annealing temperature. The transmittance values of 84% in the visible region and 97% in the NIR region were obtained for AZO film annealed at 475 °C. The room temperature electrical resistivity of 4.6×10-3 Ω cm has been obtained at the same temperature of annealing. It was found that the calculated refractive index has been affected by the packing density of the thin films, whereas, the high annealing temperature gave rise to improve the homogeneity of the films. The single-oscillator model was used to analyze the optical parameters such as the oscillator and dispersion energies.
Cavegn, Martin; Douglas, Ryan; Akkermans, Guy; Kuentz, Martin
2011-08-01
There are currently no adequate process analyzers for nanoparticulate viscosity enhancers. This article aims to evaluate ultrasonic resonator technology as a monitoring tool for homogenization of nanoparticulate gels. Aqueous dispersions of colloidal microcrystalline cellulose (MCC) and a mixture of clay particles with xanthan gum were compared with colloidal silicon dioxide in oil. The processing was conducted using a laboratory-scale homogenizing vessel. The study investigated first the homogenization kinetics of the different systems to focus then on process factors in the case of colloidal MCC. Moreover, rheological properties were analyzed offline to assess the structure of the resulting gels. Results showed the suitability of ultrasound velocimetry to monitor the homogenization process. The obtained data were fitted using a novel heuristic model. It was possible to identify characteristic homogenization times for each formulation. The subsequent study of the process factors demonstrated that ultrasonic process analysis was equally sensitive as offline rheological measurements in detecting subtle manufacturing changes. It can be concluded that the ultrasonic method was able to successfully assess homogenization of nanoparticulate viscosity enhancers. This novel technique can become a vital tool for development and production of pharmaceutical suspensions in the future. Copyright © 2011 Wiley-Liss, Inc.
NASA Astrophysics Data System (ADS)
Oh, Dohyun; Yun, Dong Yeol; Cho, Woon-Jo; Kim, Tae Whan
2014-08-01
Transparent indium-zinc-tin oxide (IZTO)-based thin-film transistors (TFTs) with IZTO/Ag/IZTO multilayer electrodes were fabricated on glass substrates using a tilted dual-target radio-frequency magnetron sputtering system. The IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes exhibited a high optical transmittance in a visible region. The threshold voltage, the mobility, and the on/off-current ratio of the TFTs with IZTO/Ag/IZTO multilayer electrodes were enhanced in comparison with those of the TFTs with ITO electrodes. The source/drain contact resistance of the IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes was smaller than that of the IZTO TFTs with ITO electrodes, resulting in enhancement of their electrical characteristics.
Nanoparticulate strategies for effective delivery of poorly soluble therapeutics.
Gokce, Evren H; Ozyazici, Mine; Souto, Eliana B
2010-07-01
The pharmacological activity of a drug molecule depends on its ability to dissolve and interact with its biological target, either through dissolution and absorption, or through dissolution and receptor interaction. The low bioavailability that characterizes poorly water-soluble drugs is usually attributed to the dissolution kinetic profile. Novel strategies to effectively deliver these drugs include nanoparticulate approaches that either increase the surface area of the drug or improve the solubility characteristics of the drug. Nanosizing approaches are based on the production of drug nanocrytals dispersed in an aqueous surfactant solution, whereas other possibilities include drug loading in nanoparticles. Promising nanoparticulate approaches include the development of lipid-based nanocarriers to increase drug solubility followed by enhanced bioavailability. To select the best approach there are, however, some critical considerations to take into account, for example the physicochemical properties of the drug, the possibility to scale-up the production process, the toxicological considerations of the use of solvents and cosolvents, the selection of an environmentally sustainable methodology and the development of a more patient-friendly dosage form. This article addresses these relevant questions and provides feasible examples of novel strategies with respect to relevant administration routes.
Haarindraprasad, R.; Hashim, U.; Gopinath, Subash C. B.; Kashif, Mohd; Veeradasan, P.; Balakrishnan, S. R.; Foo, K. L.; Poopalan, P.
2015-01-01
The performance of sensing surfaces highly relies on nanostructures to enhance their sensitivity and specificity. Herein, nanostructured zinc oxide (ZnO) thin films of various thicknesses were coated on glass and p-type silicon substrates using a sol-gel spin-coating technique. The deposited films were characterized for morphological, structural, and optoelectronic properties by high-resolution measurements. X-ray diffraction analyses revealed that the deposited films have a c-axis orientation and display peaks that refer to ZnO, which exhibits a hexagonal structure with a preferable plane orientation (002). The thicknesses of ZnO thin films prepared using 1, 3, 5, and 7 cycles were measured to be 40, 60, 100, and 200 nm, respectively. The increment in grain size of the thin film from 21 to 52 nm was noticed, when its thickness was increased from 40 to 200 nm, whereas the band gap value decreased from 3.282 to 3.268 eV. Band gap value of ZnO thin film with thickness of 200 nm at pH ranging from 2 to 10 reduces from 3.263eV to 3.200 eV. Furthermore, to evaluate the transducing capacity of the ZnO nanostructure, the refractive index, optoelectric constant, and bulk modulus were analyzed and correlated. The highest thickness (200 nm) of ZnO film, embedded with an interdigitated electrode that behaves as a pH-sensing electrode, could sense pH variations in the range of 2-10. It showed a highly sensitive response of 444 μAmM-1cm-2 with a linear regression of R2 =0.9304. The measured sensitivity of the developed device for pH per unit is 3.72μA/pH. PMID:26167853
Thin film oxygen partial pressure sensor
NASA Technical Reports Server (NTRS)
Wortman, J. J.; Harrison, J. W.; Honbarrier, H. L.; Yen, J.
1972-01-01
The development is described of a laboratory model oxygen partial pressure sensor using a sputtered zinc oxide thin film. The film is operated at about 400 C through the use of a miniature silicon bar. Because of the unique resistance versus temperature relation of the silicon bar, control of the operational temperature is achieved by controlling the resistance. A circuit for accomplishing this is described. The response of sputtered zinc oxide films of various thicknesses to oxygen, nitrogen, argon, carbon dioxide, and water vapor caused a change in the film resistance. Over a large range, film conductance varied approximately as the square root of the oxygen partial pressure. The presence of water vapor in the gas stream caused a shift in the film conductance at a given oxygen partial pressure. A theoretical model is presented to explain the characteristic features of the zinc oxide response to oxygen.
Thin-Film Transistors Fabricated Using Sputter Deposition of Zinc Oxide
NASA Astrophysics Data System (ADS)
Xiao, Nan
2013-01-01
Development of thin film transistors (TFTs) with conventional channel layer materials, such as amorphous silicon (a-Si) and polysilicon (poly-Si), has been extensively investigated. A-Si TFT currently serves the large flat panel industry; however advanced display products are demanding better TFT performance because of the associated low electron mobility of a-Si. This has motivated interest in semiconducting metal oxides, such as Zinc Oxide (ZnO), for TFT backplanes. This work involves the fabrication and characterization of TFTs using ZnO deposited by sputtering. An overview of the process details and results from recently fabricated TFTs following a full-factorial designed experiment will be presented. Material characterization and analysis of electrical results will be described. The investigated process variables were the gate dielectric and ZnO sputtering process parameters including power density and oxygen partial pressure. Electrical results showed clear differences in treatment combinations, with certain I-V characteristics demonstrating superior performance to preliminary work. A study of device stability will also be discussed.
NASA Astrophysics Data System (ADS)
Lee, Hyun-Woo; Cho, Won-Ju
2018-01-01
We investigated the effects of vacuum rapid thermal annealing (RTA) on the electrical characteristics of amorphous indium gallium zinc oxide (a-IGZO) thin films. The a-IGZO films deposited by radiofrequency sputtering were subjected to vacuum annealing under various temperature and pressure conditions with the RTA system. The carrier concentration was evaluated by Hall measurement; the electron concentration of the a-IGZO film increased and the resistivity decreased as the RTA temperature increased under vacuum conditions. In a-IGZO thin-film transistors (TFTs) with a bottom-gate top-contact structure, the threshold voltage decreased and the leakage current increased as the vacuum RTA temperature increased. As the annealing pressure decreased, the threshold voltage decreased, and the leakage current increased. X-ray photoelectron spectroscopy indicated changes in the lattice oxygen and oxygen vacancies of the a-IGZO films after vacuum RTA. At higher annealing temperatures, the lattice oxygen decreased and oxygen vacancies increased, which suggests that oxygen was diffused out in a reduced pressure atmosphere. The formation of oxygen vacancies increased the electron concentration, which consequently increased the conductivity of the a-IGZO films and reduced the threshold voltage of the TFTs. The results showed that the oxygen vacancies and electron concentrations of the a-IGZO thin films changed with the vacuum RTA conditions and that high-temperature RTA treatment at low pressure converted the IGZO thin film to a conductor.
Yousaf, Abid Mehmood; Kim, Dong Wuk; Oh, Yu-Kyoung; Yong, Chul Soon; Kim, Jong Oh; Choi, Han-Gon
2015-01-01
Background The intention of this research was to prepare and compare various solubility-enhancing nanoparticulated systems in order to select a nanoparticulated formulation with the most improved oral bioavailability of poorly water-soluble fenofibrate. Methods The most appropriate excipients for different nanoparticulated preparations were selected by determining the drug solubility in 1% (w/v) aqueous solutions of each carrier. The polyvinylpyrrolidone (PVP) nanospheres, hydroxypropyl-β-cyclodextrin (HP-β-CD) nanocorpuscles, and gelatin nanocapsules were formulated as fenofibrate/PVP/sodium lauryl sulfate (SLS), fenofibrate/HP-β-CD, and fenofibrate/gelatin at the optimized weight ratios of 2.5:4.5:1, 1:4, and 1:8, respectively. The three solid-state products were achieved using the solvent-evaporation method through the spray-drying technique. The physicochemical characterization of these nanoparticles was accomplished by powder X-ray diffraction, differential scanning calorimetry, scanning electron microscopy, and Fourier-transform infrared spectroscopy. Their physicochemical properties, aqueous solubility, dissolution rate, and pharmacokinetics in rats were investigated in comparison with the drug powder. Results Among the tested carriers, PVP, HP-β-CD, gelatin, and SLS showed better solubility and were selected as the most appropriate constituents for various nanoparticulated systems. All of the formulations significantly improved the aqueous solubility, dissolution rate, and oral bioavailability of fenofibrate compared to the drug powder. The drug was present in the amorphous form in HP-β-CD nanocorpuscles; however, in other formulations, it existed in the crystalline state with a reduced intensity. The aqueous solubility and dissolution rates of the nanoparticles (after 30 minutes) were not significantly different from one another. Among the nanoparticulated systems tested in this study, the initial dissolution rates (up to 10 minutes) were higher with
NASA Astrophysics Data System (ADS)
You, Hsin-Chiang; Wang, Yu-Chih
2016-06-01
In this paper, we describe the use of a simple and efficient sol-gel solution method for synthesizing indium zinc oxide (IZO) films for use as semiconductor channel layers in thin-film transistors (TFTs) on p-type silicon substrates. The performance of IZO-based TFTs was investigated, and the effect of oxygen plasma treatment on the surface of dielectric SiN x was observed. Oxygen plasma treatment effectively enhanced the electron mobility in IZO-based TFT devices from 0.005 to 1.56 cm2 V-1 s-1, an increase of more than 312 times, and effectively enhanced device performance. X-ray photoelectron spectroscopy analysis of the IZO film was performed to clarify element bonding.
NASA Astrophysics Data System (ADS)
Jang, Hun; Lee, Su Jeong; Porte, Yoann; Myoung, Jae-Min
2018-03-01
In this study, the effects of helium (He) plasma treatment on amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) have been investigated. The He plasma treatment induced a dramatic decrease of the resistivity in a-IGZO thin films from 1.25 × 106 to 5.93 mΩ cm. After 5 min He plasma treatment, the a-IGZO films showed an increase in carrier concentration to 6.70 × 1019 cm-3 combined with a high hall mobility of 15.7 cm2 V-1 s-1. The conductivity improvement was linked to the formation of oxygen vacancies during the He plasma treatment, which was observed by x-ray photoelectron spectroscopy analysis. The a-IGZO films did not appear to be damaged on the surface following the plasma treatment and showed a high transmittance of about 88.3% at a wavelength of 550 nm. The He plasma-treated a-IGZO films were used as source/drain (S/D) electrodes in a-IGZO TFTs. The devices demonstrated promising characteristics, on pair with TFTs using Al electrodes, with a threshold voltage (V T) of -1.97 V, sub-threshold slope (SS) of 0.52 V/decade, saturation mobility (μ sat) of 8.75 cm2 V-1 s-1, and on/off current ratio (I on/I off) of 2.66 × 108.
Tabassum, Rana; Gupta, Banshi D
2015-03-21
A highly sensitive chlorine sensor for an aqueous medium is fabricated using an optical fiber surface plasmon resonance (OFSPR) system. An OFSPR-based chlorine sensor is designed with a multilayer-type platform by zinc oxide (ZnO) and polyvinylpyrollidone (PVP) film morphology manipulations. Among all the methodologies of transduction reported in the field of solid state chemical and biochemical sensing, our attention is focused on the Kretschmann configuration optical fiber sensing technique using the mechanism of surface plasmon resonance. The optical fiber surface plasmon resonance (SPR) chlorine sensor is developed using a multimode optical fiber with the PVP-supported ZnO film deposited over a silver-coated unclad core of the fiber. A spectral interrogation mode of operation is used to characterize the sensor. In an Ag/ZnO/PVP multilayer system, the absorption of chlorine in the vicinity of the sensing region is performed by the PVP layer and the zinc oxide layer enhances the shift in resonance wavelength. It is, experimentally, demonstrated that the SPR wavelength shifts nonlinearly towards the red side of the visible region with an increase in the chlorine concentration in an aqueous medium while the sensitivity of the sensor decreases linearly with an increase in the chlorine concentration. As the proposed sensor utilizes an optical fiber, it possesses the additional advantages of fiber such as less signal degradation, less susceptibility to electromagnetic interference, possibility of remote sensing, probe miniaturization, probe re-usability, online monitoring, small size, light weight and low cost.
NASA Astrophysics Data System (ADS)
Liu, Yu-Rong; Zhao, Gao-Wei; Lai, Pai-To; Yao, Ruo-He
2016-08-01
Si-doped zinc oxide (SZO) thin films are deposited by using a co-sputtering method, and used as the channel active layers of ZnO-based TFTs with single and dual active layer structures. The effects of silicon content on the optical transmittance of the SZO thin film and electrical properties of the SZO TFT are investigated. Moreover, the electrical performances and bias-stress stabilities of the single- and dual-active-layer TFTs are investigated and compared to reveal the effects of the Si doping and dual-active-layer structure. The average transmittances of all the SZO films are about 90% in the visible light region of 400 nm-800 nm, and the optical band gap of the SZO film gradually increases with increasing Si content. The Si-doping can effectively suppress the grain growth of ZnO, revealed by atomic force microscope analysis. Compared with that of the undoped ZnO TFT, the off-state current of the SZO TFT is reduced by more than two orders of magnitude and it is 1.5 × 10-12 A, and thus the on/off current ratio is increased by more than two orders of magnitude. In summary, the SZO/ZnO TFT with dual-active-layer structure exhibits a high on/off current ratio of 4.0 × 106 and superior stability under gate-bias and drain-bias stress. Projected supported by the National Natural Science Foundation of China (Grant Nos. 61076113 and 61274085), the Natural Science Foundation of Guangdong Province (Grant No. 2016A030313474), and the University Development Fund (Nanotechnology Research Institute, Grant No. 00600009) of the University of Hong Kong, China.
NASA Astrophysics Data System (ADS)
Göde, F.; Güneri, E.; Kariper, A.; Ulutaş, C.; Kirmizigül, F.; Gümüş, C.
2011-11-01
Zinc sulfide films have been deposited on glass substrates at room temperature by the chemical bath deposition technique. The growth mechanism is studied using X-ray diffraction, scanning electron microscopy, optical absorption spectra and electrical measurements. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (100, 200, 300 400 and 500 °C) for 1 h. The annealed film was also characterized by structural, optical and electrical studies. The structural analyses revealed that the as-deposited film was amorphous, but after being annealed at 500 °C, it changed to polycrystalline. The optical band gap is direct with a value of 4.01 eV, but this value decreased to 3.74 eV with annealing temperature, except for the 500 °C anneal where it only decreased to 3.82 eV. The refractive index (n), extinction coefficient (k), and real (ɛ1) and imaginary (ɛ2) parts of the dielectric constant are evaluated. Raman peaks appearing at ~478 cm-1, ~546 cm-1, ~778 cm-1 and ~1082 cm-1 for the annealed film (500 °C) were attributed to [TOl+LAΣ, 2TOΓ, 2LO, 3LO phonons of ZnS. The electrical conductivities of both as-deposited and annealed films have been calculated to be of the order of ~10-10 (Ω cm)-1 .
Nanoparticulate drug delivery platforms for advancing bone infection therapies
Uskoković, Vuk; Desai, Tejal A
2015-01-01
Introduction The ongoing surge of resistance of bacterial pathogens to antibiotic therapies and the consistently aging median member of the human race signal an impending increase in the incidence of chronic bone infection. Nanotechnological platforms for local and sustained delivery of therapeutics hold the greatest potential for providing minimally invasive and maximally regenerative therapies for this rare but persistent condition. Areas covered Shortcomings of the clinically available treatment options, including poly(methyl methacrylate) beads and calcium sulfate cements, are discussed and their transcending using calcium-phosphate/polymeric nanoparticulate composites is foreseen. Bone is a composite wherein the weakness of each component alone is compensated for by the strength of its complement and an ideal bone substitute should be fundamentally the same. Expert opinion Discrepancy between in vitro and in vivo bioactivity assessments is highlighted, alongside the inherent imperfectness of the former. Challenges entailing the cross-disciplinary nature of engineering a new generation of drug delivery vehicles are delineated and it is concluded that the future for the nanoparticulate therapeutic carriers belongs to multifunctional, synergistic and theranostic composites capable of simultaneously targeting, monitoring and treating internal organismic disturbances in a smart, feedback fashion and in direct response to the demands of the local environment. PMID:25109804
Milani, Narges; Hettiarachchi, Ganga M.; Kirby, Jason K.; Beak, Douglas G.; Stacey, Samuel P.; McLaughlin, Mike J.
2015-01-01
Zinc oxide (ZnO) nanoparticles may provide a more soluble and plant available source of Zn in Zn fertilizers due to their greater reactivity compared to equivalent micron- or millimetre-sized (bulk) particles. However, the effect of soil on solubility, spatial distribution and speciation of ZnO nanoparticles has not yet been investigated. In this study, we examined the diffusion and solid phase speciation of Zn in an alkaline calcareous soil following application of nanoparticulate and bulk ZnO coated fertilizer products (monoammonium phosphate (MAP) and urea) using laboratory-based x-ray techniques and synchrotron-based μ-x-ray fluorescence (μ–XRF) mapping and absorption fine structure spectroscopy (μ–XAFS). Mapping of the soil-fertilizer reaction zones revealed that most of the applied Zn for all treatments remained on the coated fertilizer granule or close to the point of application after five weeks of incubation in soil. Zinc precipitated mainly as scholzite (CaZn2(PO4)2.2H2O) and zinc ammonium phosphate (Zn(NH4)PO4) species at the surface of MAP granules. These reactions reduced dissolution and diffusion of Zn from the MAP granules. Although Zn remained as zincite (ZnO) at the surface of urea granules, limited diffusion of Zn from ZnO-coated urea granules was also observed for both bulk and nanoparticulate ZnO treatments. This might be due to either the high pH of urea granules, which reduced solubility of Zn, or aggregation (due to high ionic strength) of released ZnO nanoparticles around the granule/point of application. The relative proportion of Zn(OH)2 and ZnCO3 species increased for all Zn treatments with increasing distance from coated MAP and urea granules in the calcareous soil. When coated on macronutrient fertilizers, Zn from ZnO nanoparticles (without surface modifiers) was not more mobile or diffusible compared to bulk forms of ZnO. The results also suggest that risk associated with the presence of ZnO NPs in calcareous soils would be the
Kim, Yeong-Gyu; Tak, Young Jun; Kim, Hee Jun; Kim, Won-Gi; Yoo, Hyukjoon; Kim, Hyun Jae
2018-04-03
We fabricated wire-type indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) using a self-formed cracked template based on a lift-off process. The electrical characteristics of wire-type IGZO TFTs could be controlled by changing the width and density of IGZO wires through varying the coating conditions of template solution or multi-stacking additional layers. The fabricated wire-type devices were applied to sensors after functionalizing the surface. The wire-type pH sensor showed a sensitivity of 45.4 mV/pH, and this value was an improved sensitivity compared with that of the film-type device (27.6 mV/pH). Similarly, when the wire-type device was used as a glucose sensor, it showed more variation in electrical characteristics than the film-type device. The improved sensing properties resulted from the large surface area of the wire-type device compared with that of the film-type device. In addition, we fabricated wire-type IGZO TFTs on flexible substrates and confirmed that such structures were very resistant to mechanical stresses at a bending radius of 10 mm.
Tak, Young Jun; Ahn, Byung Du; Park, Sung Pyo; Kim, Si Joon; Song, Ae Ran; Chung, Kwun-Bum; Kim, Hyun Jae
2016-02-23
Indium-gallium-zinc oxide (IGZO) films, deposited by sputtering at room temperature, still require activation to achieve satisfactory semiconductor characteristics. Thermal treatment is typically carried out at temperatures above 300 °C. Here, we propose activating sputter- processed IGZO films using simultaneous ultraviolet and thermal (SUT) treatments to decrease the required temperature and enhance their electrical characteristics and stability. SUT treatment effectively decreased the amount of carbon residues and the number of defect sites related to oxygen vacancies and increased the number of metal oxide (M-O) bonds through the decomposition-rearrangement of M-O bonds and oxygen radicals. Activation of IGZO TFTs using the SUT treatment reduced the processing temperature to 150 °C and improved various electrical performance metrics including mobility, on-off ratio, and threshold voltage shift (positive bias stress for 10,000 s) from 3.23 to 15.81 cm(2)/Vs, 3.96 × 10(7) to 1.03 × 10(8), and 11.2 to 7.2 V, respectively.
NASA Astrophysics Data System (ADS)
Park, Jinhee; Rim, You Seung; Li, Chao; Wu, Jiechen; Goorsky, Mark; Streit, Dwight
2018-04-01
We report the device performance and stability of sputtered amorphous indium-tin-zinc-oxide (ITZO) thin-film transistors as a function of oxygen ratio [O2/(Ar + O2)] during growth. Increasing the oxygen ratio enhanced the incorporation of oxygen during ITZO film growth and reduced the concentration of deep-level defects associated with oxygen vacancies. Under illumination with no bias stress, device stability and persistent photocurrent were improved with increased oxygen ratio. Bias stress tests of the devices were also performed with and without illumination. While high oxygen ratio growth conditions resulted in decreased deep-level oxygen vacancies in the ITZO material, the same conditions resulted in degradation of the interfacial layer between the ITZO channel and dielectric due to the migration of energetic oxygen ions to the interface. Therefore, when bias stress was applied, increased carrier trap density at the interface led to a decrease in device stability that offsets any improvement in the material itself. In order to take advantage of the improved ITZO material growth at a high oxygen ratio, the interface-related problems must be solved.
Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi
2017-01-01
Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of −0.9 V, mobility of 0.884 cm2/Vs, on-off ratio of 5.5 × 105, and subthreshold swing of 0.41 V/dec. PMID:28672868
Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi
2017-06-26
Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of -0.9 V, mobility of 0.884 cm²/Vs, on-off ratio of 5.5 × 10⁵, and subthreshold swing of 0.41 V/dec.
Sanuja, S; Agalya, A; Umapathy, M J
2015-03-01
Nano zinc oxide at different concentrations (0.1, 0.3 and 0.5%) and neem essential oil were incorporated into the chitosan polymer by solution cast method to enhance the properties of the bionanocomposite film. The functional groups, crystalline particle size, thermal stability and morphology were determined using FTIR, XRD, TGA and SEM, respectively. The results showed that 0.5% nano zinc oxide incorporated composite film have improved tensile strength, elongation, film thickness, film transparency and decreased water solubility, swelling and barrier properties due to the presence of neem oil and nano zinc oxide in the polymer matrix. Further antibacterial activity by well diffusion assay method was followed against Escherichia coli which were found to have good inhibition effect. In addition to this food quality application were carried against carrot and compared with the commercial film. Copyright © 2014. Published by Elsevier B.V.
Opoka, Włodzimierz; Szlósarczyk, Marek; Maślanka, Anna; Piech, Robert; Baś, Bogusław; Włodarczyk, Edyta; Krzek, Jan
2013-01-01
Zinc is an interesting target for detection as it is one of the elements necessary for the proper functioning of the human body, its excess and deficiency can cause several symptoms. Several techniques including electrochemistry have been developed but require laboratory equipment, preparative steps and mercury or complex working electrodes. We here described the development of a robust, simple and commercially available electrochemical system. Differential pulse (DP) voltammetry was used for this purpose with the cyclic renewable mercury film silver based electrode (Hg(Ag)FE) and 0.05 M KNO3 solution as a supporting electrolyte. The effect of various factors such as: preconcentration potential and time, pulse amplitude and width, step potential and supporting electrolyte composition are optimized. The limits of detection (LOD) and quantification (LOQ) were 1.62 ng/mL and 4.85 ng/mL, respectively. The repeatability of the method at a concentration level of the analyte as low as 3 ng/mL, expressed as RSD is 3.5% (n = 6). Recovery was determined using certified reference material: Virginia Tobacco Leaves (CTA-VTL-2). The recovery of zinc ranged from 96.6 to 106.5%. The proposed method was successfully applied for determination of zinc in bee products (honey, propolis and diet supplements) after digestion procedure.
Lim, Kiwon; Choi, Pyungho; Kim, Sangsub; Kim, Hyunki; Kim, Minsoo; Lee, Jeonghyun; Hyeon, Younghwan; Koo, Kwangjun; Choi, Byoungdeog
2018-09-01
Double stacked indium-zinc oxide (IZO)/zinc-tin oxide (ZTO) active layers were employed in amorphous-oxide-semiconductor thin-film transistors (AOS TFTs). Channel layers of the TFTs were optimized by varying the molarity of ZTO back channel layers (0.05, 0.1, 0.2, 0.3 M) and the electrical properties of IZO/ZTO double stacked TFTs were compared to single IZO and ZTO TFTs with varying the molarity and molar ratio. On the basis of the results, IZO/ZTO (0.1 M) TFTs showed the excellent electrical properties of saturation mobility (13.6 cm2/V·s), on-off ratio (7×106), and subthreshold swing (0.223 V/decade) compared to ZTO (0.1 M) of 0.73 cm2/V · s, 1 × 107, 0.416 V/decade and IZO (0.04 M) of 0.10 cm2/V · s, 5 × 106, 0.60 V/decade, respectively. This may be attributed to diffusing Sn into front layer during annealing process. In addition, with varying molarity of ZTO back channel layer, from 0.1 M to 0.3 M ZTO back channel TFTs, electrical properties and positive bias stability deteriorated with increasing molarity of back channel layer because of increasing total trap states. On the other hand, 0.05 M ZTO back channel TFT had inferior electrical properties than that of 0.1 M ZTO back channel TFT. It was related to back channel effect because of having thin thickness of channel layer. Among these devices, 0.1 M ZTO back channel TFT had a lowest total trap density, outstanding electrical properties and stability. Therefore, we recommended IZO/ZTO (0.1 M) TFT as a promising channel structure for advanced display applications.
NASA Astrophysics Data System (ADS)
Kim, Yu-Jung; Jeong, Jun-Kyo; Park, Jung-Hyun; Jeong, Byung-Jun; Lee, Hi-Deok; Lee, Ga-Won
2018-06-01
In this study, a method to control the electrical performance of solution-based indium zinc oxide (IZO) thin film transistors (TFTs) is proposed by ultraviolet–ozone (UV–O3) treatment on the selective layer during multiple IZO active layer depositions. The IZO film is composed of triple layers formed by spin coating and UV–O3 treatment only on the first layer or last layer. The IZO films are compared by X-ray photoelectron spectroscopy, and the results show that the atomic ratio of oxygen vacancy (VO) increases in the UV–O3 treatment on the first layer, while it decreases on last layer. The device characteristics of the bottom gated structure are also improved in the UV–O3 treatment on the first layer. This indicates that the selective UV–O3 treatment in a multi-stacking active layer is an effective method to optimize TFT properties by controlling the amount of VO in the IZO interface and surface independently.
Performance characteristics of zinc-rich coatings applied to carbon steel
NASA Technical Reports Server (NTRS)
Paton, W. J.
1973-01-01
A program was conducted to evaluate the performance of topcoated and untopcoated zinc-rich coatings. Sacrificial coatings of this type are required for protecting carbon steel structures from the aggressive KSC sea coast environment. A total of 59 commercially available zinc-rich coatings and 47 topcoated materials were exposed for an 18-month period. Test panels were placed in special racks placed approximately 30.5 m (100 feet) above the high tide line at the KSC Corrosion Test Site. Laboratory tests to determine the temperature resistance, abrasion resistance, and adhesion of the untopcoated zinc-rich coatings were also performed. It has been concluded that: (1) The inorganic types of zinc-rich coatings are far superior to the organic types in the KSC environment. (2) Organic zinc-rich coatings applied at 0.1 - 0.15 mm (4-6 mils) film thickness provide better corrosion protection than when applied at the manufacturers' recommended nominal film thickness of .08 mm (3 mils). (3) Topcoats are not necessary, or even desirable, when used in conjunction with zinc-rich coatings in the KSC environment. (4) Some types of inorganic zinc-rich coatings require an extended outdoor weathering period in order to obtain adequate mechanical properties. and (5) A properly formulated inorganic zinc-rich coating is not affected by a 24-hour thermal exposure to 400 C (752 F).
Synthesis and characterization of ZnO thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Anilkumar, T. S., E-mail: anil24march@gmail.com; Girija, M. L., E-mail: girija.ml.grt1@gmail.com; Venkatesh, J., E-mail: phph9502@yahoo.com
2016-05-06
Zinc oxide (ZnO) Thin films were deposited on glass substrate using Spin coating method. Zinc acetate dehydrate, Carbinol and Mono-ethanolamine were used as the precursor, solvent and stabilizer respectively to prepare ZnO Thin-films. The molar ratio of Monoethanolamine to Zinc acetate was maintained as approximately 1. The thickness of the films was determined by Interference technique. The optical properties of the films were studied by UV Vis-Spectrophotometer. From transmittance and absorbance curve, the energy band gap of ZnO is found out. Electrical Conductivity measurements of ZnO are carried out by two probe method and Activation energy for the electrical conductivitymore » of ZnO are found out. The crystal structure and orientation of the films were analyzed by XRD. The XRD patterns show that the ZnO films are polycrystalline with wurtzite hexagonal structure.« less
Numerical modeling and performance analysis of zinc oxide (ZnO) thin-film based gas sensor
NASA Astrophysics Data System (ADS)
Punetha, Deepak; Ranjan, Rashmi; Pandey, Saurabh Kumar
2018-05-01
This manuscript describes the modeling and analysis of Zinc Oxide thin film based gas sensor. The conductance and sensitivity of the sensing layer has been described by change in temperature as well as change in gas concentration. The analysis has been done for reducing and oxidizing agents. Simulation results revealed the change in resistance and sensitivity of the sensor with respect to temperature and different gas concentration. To check the feasibility of the model, all the simulated results have been analyze by different experimental reported work. Wolkenstein theory has been used to model the proposed sensor and the simulation results have been shown by using device simulation software.
NASA Astrophysics Data System (ADS)
Hwang, Ah Young; Kim, Sang Tae; Ji, Hyuk; Shin, Yeonwoo; Jeong, Jae Kyeong
2016-04-01
Transition tantalum induced crystallization of amorphous zinc tin oxide (a-ZTO) was observed at low temperature annealing of 300 °C. Thin-film transistors (TFTs) with an a-ZTO channel layer exhibited a reasonable field-effect mobility of 12.4 cm2/V s, subthreshold swing (SS) of 0.39 V/decade, threshold voltage (VTH) of 1.5 V, and ION/OFF ratio of ˜107. A significant improvement in the field-effect mobility (up to ˜33.5 cm2/V s) was achieved for crystallized ZTO TFTs: this improvement was accomplished without compromising the SS, VTH, or ION/OFF ratio due to the presence of a highly ordered microstructure.
Influence of Dopants in ZnO Films on Defects
NASA Astrophysics Data System (ADS)
Peng, Cheng-Xiao; Weng, Hui-Min; Zhang, Yang; Ma, Xing-Ping; Ye, Bang-Jiao
2008-12-01
The influence of dopants in ZnO films on defects is investigated by slow positron annihilation technique. The results show S that parameters meet SAl > Sun > SAg for Al-doped ZnO films, undoped and Ag-doped ZnO films. Zinc vacancies are found in all ZnO films with different dopants. According to S parameter and the same defect type, it can be induced that the zinc vacancy concentration is the highest in the Al-doped ZnO film, and it is the least in the Ag-doped ZnO film. When Al atoms are doped in the ZnO films grown on silicon substrates, Zn vacancies increase as compared to the undoped and Ag-doped ZnO films. The dopant concentration could determine the position of Fermi level in materials, while defect formation energy of zinc vacancy strongly depends on the position of Fermi level, so its concentration varies with dopant element and dopant concentration.
Ellipsometry of anodic film growth
DOE Office of Scientific and Technical Information (OSTI.GOV)
Smith, C.G.
1978-08-01
An automated computer interpretation of ellisometer measurements of anodic film growth was developed. Continuous mass and charge balances were used to utilize more fully the time dependence of the ellipsometer data and the current and potential measurements. A multiple-film model was used to characterize the growth of films which proceeds via a dissolution--precipitation mechanism; the model also applies to film growth by adsorption and nucleation mechanisms. The characteristic parameters for film growth describe homogeneous and heterogeneous crystallization rates, film porosities and degree of hydration, and the supersaturation of ionic species in the electrolyte. Additional descriptions which may be chosen aremore » patchwise film formation, nonstoichiometry of the anodic film, and statistical variations in the size and orientation of secondary crystals. Theories were developed to describe the optical effects of these processes. An automatic, self-compensating ellipsometer was used to study the growth in alkaline solution of anodic films on silver, cadmium, and zinc. Mass-transport conditions included stagnant electrolyte and forced convection in a flow channel. Multiple films were needed to characterize the optical properties of these films. Anodic films grew from an electrolyte supersatuated in the solution-phase dissolution product. The degree of supersaturation depended on transport conditions and had a major effect on the structure of the film. Anodic reaction rates were limited by the transport of charge carriers through a primary surface layer. The primary layers on silver, zinc, and cadmium all appeared to be nonstoichiometric, containing excess metal. Diffusion coefficients, transference numbers, and the free energy of adsorption of zinc oxide were derived from ellipsometer measurements. 97 figures, 13 tables, 198 references.« less
Biswal, Rajesh; Castañeda, Luis; Moctezuma, Rosario; Vega-Pérez, Jaime; De La Luz Olvera, María; Maldonado, Arturo
2012-01-01
Indium doped zinc oxide [ZnO:In] thin films have been deposited at 430°C on soda-lime glass substrates by the chemical spray technique, starting from zinc acetate and indium acetate. Pulverization of the solution was done by ultrasonic excitation. The variations in the electrical, structural, optical, and morphological characteristics of ZnO:In thin films, as a function of both the water content in the starting solution and the substrate temperature, were studied. The electrical resistivity of ZnO:In thin films is not significantly affected with the increase in the water content, up to 200 mL/L; further increase in water content causes an increase in the resistivity of the films. All films show a polycrystalline character, fitting well with the hexagonal ZnO wurtzite-type structure. No preferential growth in samples deposited with the lowest water content was observed, whereas an increase in water content gave rise to a (002) growth. The surface morphology of the films shows a consistency with structure results, as non-geometrical shaped round grains were observed in the case of films deposited with the lowest water content, whereas hexagonal slices, with a wide size distribution were observed in the other cases. In addition, films deposited with the highest water content show a narrow size distribution. PMID:28817056
Biswal, Rajesh; Castañeda, Luis; Moctezuma, Rosario; Vega-Pérez, Jaime; Olvera, María De La Luz; Maldonado, Arturo
2012-03-12
Indium doped zinc oxide [ZnO:In] thin films have been deposited at 430°C on soda-lime glass substrates by the chemical spray technique, starting from zinc acetate and indium acetate. Pulverization of the solution was done by ultrasonic excitation. The variations in the electrical, structural, optical, and morphological characteristics of ZnO:In thin films, as a function of both the water content in the starting solution and the substrate temperature, were studied. The electrical resistivity of ZnO:In thin films is not significantly affected with the increase in the water content, up to 200 mL/L; further increase in water content causes an increase in the resistivity of the films. All films show a polycrystalline character, fitting well with the hexagonal ZnO wurtzite-type structure. No preferential growth in samples deposited with the lowest water content was observed, whereas an increase in water content gave rise to a (002) growth. The surface morphology of the films shows a consistency with structure results, as non-geometrical shaped round grains were observed in the case of films deposited with the lowest water content, whereas hexagonal slices, with a wide size distribution were observed in the other cases. In addition, films deposited with the highest water content show a narrow size distribution.
Experiments On Transparent Conductive Films For Spacecraft
NASA Technical Reports Server (NTRS)
Perez-Davis, Marla E.; Rutledge, Sharon K.; De Groh, Kim K.; Hung, Ching-Cheh; Malave-Sanabria, Tania; Hambourger, Paul; Roig, David
1995-01-01
Report describes experiments on thin, transparent, electrically conductive films made, variously, of indium tin oxide covered by magnesium fluoride (ITO/MgF2), aluminum-doped zinc oxide (AZO), or pure zinc oxide (ZnO). Films are candidates for application to such spacecraft components, including various optoelectronic devices and window surfaces that must be protected against buildup of static electric charge. On Earth, such films useful on heat mirrors, optoelectronic devices, gas sensors, and automotive and aircraft windows.
Light induced instabilities in amorphous indium-gallium-zinc-oxide thin-film transistors
NASA Astrophysics Data System (ADS)
Chowdhury, Md Delwar Hossain; Migliorato, Piero; Jang, Jin
2010-10-01
The effect of exposure to ultraviolet radiation on the characteristics of amorphous indium-gallium-zinc-oxide thin-film transistors (TFTs) fabricated by sputtering is investigated. After illumination with 1.5 mW cm-2 of 365 nm radiation, in the absence of any bias stress, a persistent negative shift in the characteristics is observed in the dark. The magnitude of the shift increases with exposure time, saturating after about 10 min. Under these conditions the subthreshold exhibits a rigid shift of around 3.6 V and 7.5 V for TFTs with an active layer thickness of 20 nm and 50 nm, respectively. The shift in the dark increases (decreases) when a negative (positive) bias stress is applied under illumination. The instability behavior caused by exposure to light, in the absence of any bias stress, can be explained on the basis of ionization of neutral oxygen vacancies.
Graphitic nanofilms of zinc-blende materials: ab initio calculations
NASA Astrophysics Data System (ADS)
Hu, San-Lue; Zhao, Li; Li, Yan-Li
2017-12-01
Ab initio calculations on ultra-thin nanofilms of 25 kinds of zinc-blende semiconductors demonstrate their stable geometry structures growth along (1 1 1) surface. Our results show that the (1 1 1) surfaces of 9 kinds of zinc-blende semiconductors can transform into a stable graphitelike structure within a certain thickness. The tensile strain effect on the thickness of graphitic films is not obvious. The band gaps of stable graphitic films can be tuned over a wide range by epitaxial tensile strain, which is important for applications in microelectronic devices, solar cells and light-emitting diodes.
NASA Astrophysics Data System (ADS)
Qin, Ting; Liao, Congwei; Huang, Shengxiang; Yu, Tianbao; Deng, Lianwen
2018-01-01
An analytical drain current model based on the surface potential is proposed for amorphous indium gallium zinc oxide (a-InGaZnO) thin-film transistors (TFTs) with a synchronized symmetric dual-gate (DG) structure. Solving the electric field, surface potential (φS), and central potential (φ0) of the InGaZnO film using the Poisson equation with the Gaussian method and Lambert function is demonstrated in detail. The compact analytical model of current-voltage behavior, which consists of drift and diffusion components, is investigated by regional integration, and voltage-dependent effective mobility is taken into account. Comparison results demonstrate that the calculation results obtained using the derived models match well with the simulation results obtained using a technology computer-aided design (TCAD) tool. Furthermore, the proposed model is incorporated into SPICE simulations using Verilog-A to verify the feasibility of using DG InGaZnO TFTs for high-performance circuit designs.
NASA Astrophysics Data System (ADS)
Yabuta, H.; Kaji, N.; Shimada, M.; Aiba, T.; Takada, K.; Omura, H.; Mukaide, T.; Hirosawa, I.; Koganezawa, T.; Kumomi, H.
2014-06-01
We report on microscopic structures and electrical and optical properties of sputter-deposited amorphous indium-gallium-zinc oxide (a-IGZO) films. From electron microscopy observations and an x-ray small angle scattering analysis, it has been confirmed that the sputtered a-IGZO films consist of a columnar structure. However, krypton gas adsorption measurement revealed that boundaries of the columnar grains are not open-pores. The conductivity of the sputter-deposited a-IGZO films shows a change as large as seven orders of magnitude depending on post-annealing atmosphere; it is increased by N2-annealing and decreased by O2-annealing reversibly, at a temperature as low as 300°C. This large variation in conductivity is attributed to thermionic emission of carrier electrons through potential barriers at the grain boundaries, because temperature dependences of the carrier density and the Hall mobility exhibit thermal activation behaviours. The optical band-gap energy of the a-IGZO films changes between before and after annealing, but is independent of the annealing atmosphere, in contrast to the noticeable dependence of conductivity described above. For exploring other possibilities of a-IGZO, we formed multilayer films with an artificial periodic lattice structure consisting of amorphous InO, GaO, and ZnO layers, as an imitation of the layer-structured InGaZnO4 homologous phase. The hall mobility of the multilayer films was almost constant for thicknesses of the constituent layer between 1 and 6 Å, suggesting rather small contribution of lateral two-dimensional conduction It increased with increasing the thickness in the range from 6 to 15 Å, perhaps owing to an enhancement of two-dimensional conduction in InO layers.
Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure
NASA Astrophysics Data System (ADS)
Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He
2017-12-01
An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.
NASA Technical Reports Server (NTRS)
Perry, J. L.; Agui, J. H.; Vijayakimar, R
2016-01-01
Contaminants generated aboard crewed spacecraft by diverse sources consist of both gaseous chemical contaminants and particulate matter. Both HEPA media filters and packed beds of granular material, such as activated carbon, which are both commonly employed for cabin atmosphere purification purposes have efficacy for removing nanoparticulate contaminants from the cabin atmosphere. The phenomena associated with particulate matter removal by HEPA media filters and packed beds of granular material are reviewed relative to their efficacy for removing fine (less than 2.5 micrometers) and ultrafine (less than 0.01 micrometers) sized particulate matter. Considerations are discussed for using these methods in an appropriate configuration to provide the most effective performance for a broad range of particle sizes including nanoparticulates.
Tak, Young Jun; Du Ahn, Byung; Park, Sung Pyo; Kim, Si Joon; Song, Ae Ran; Chung, Kwun-Bum; Kim, Hyun Jae
2016-01-01
Indium–gallium–zinc oxide (IGZO) films, deposited by sputtering at room temperature, still require activation to achieve satisfactory semiconductor characteristics. Thermal treatment is typically carried out at temperatures above 300 °C. Here, we propose activating sputter- processed IGZO films using simultaneous ultraviolet and thermal (SUT) treatments to decrease the required temperature and enhance their electrical characteristics and stability. SUT treatment effectively decreased the amount of carbon residues and the number of defect sites related to oxygen vacancies and increased the number of metal oxide (M–O) bonds through the decomposition-rearrangement of M–O bonds and oxygen radicals. Activation of IGZO TFTs using the SUT treatment reduced the processing temperature to 150 °C and improved various electrical performance metrics including mobility, on-off ratio, and threshold voltage shift (positive bias stress for 10,000 s) from 3.23 to 15.81 cm2/Vs, 3.96 × 107 to 1.03 × 108, and 11.2 to 7.2 V, respectively. PMID:26902863
NASA Astrophysics Data System (ADS)
Qiang, Lei; Liang, Xiaoci; Cai, Guangshuo; Pei, Yanli; Yao, Ruohe; Wang, Gang
2018-06-01
Indium zinc oxide (IZO) thin film transistor (TFT) deposited by solution method is of considerable technological interest as it is a key component for the fabrication of flexible and cheap transparent electronic devices. To obtain a principal understanding of physical properties of solution-processed IZO TFT, a new drain current model that account for the charge transport is proposed. The formulation is developed by incorporating the effect of gate voltage on mobility and threshold voltage with the carrier charges. It is demonstrated that in IZO TFTs the below threshold regime should be divided into two sections: EC - EF > 3kT and EC - EF ≤ 3kT, where kT is the thermal energy, EF and EC represent the Fermi level and the conduction band edge, respectively. Additionally, in order to describe conduction mechanisms more accurately, the extended mobility edge model is conjoined, which can also get rid of the complicated and lengthy computations. The good agreement between measured and calculated results confirms the efficiency of this model for the design of integrated large-area thin film circuits.
NASA Astrophysics Data System (ADS)
Yonemura, Hiroaki; Niimi, Tomoki; Yamada, Sunao
2016-03-01
Composite films of zinc-porphyrin-viologen (ZnP-V2+) linked compound containing six methylene group [ZnP(6)V]-gold nanoparticles (AuNP) were fabricated by combining electrostatic layer-by-layer adsorption and the Langmuir-Blodgett method. The anodic photocurrents of the ZnP(6)V-AuNP composite films are higher than those of the ZnP(6)V films. The large photocurrents in ZnP(6)V-AuNP composite films are most likely attributable to the combination of localized surface plasmon resonance due to AuNP and photoinduced intramolecular electron transfer from excited state of ZnP to V2+. The photocurrents of the ZnP(6)V-AuNP composite films increase in the presence of magnetic field. The photocurrents increase with low magnetic fields (B ≤ 150 mT) and are almost constant under high magnetic fields (B ≥ 150 mT). Magnetic field effects (MFEs) were clearly observed for both ZnP(6)V-AuNP composite films and ZnP(6)V films. The MFEs can be explained by a radical pair mechanism.
Preparation of superhydrophobic coatings on zinc as effective corrosion barriers.
Liu, Hongqin; Szunerits, Sabine; Xu, Wenguo; Boukherroub, Rabah
2009-06-01
Stable superhydrophobic films with a contact angle of 151 +/- 2 degrees were prepared on zinc substrates by a simple immersion technique into a methanol solution of hydrolyzed 1H,1H,2H,2H-perfluorooctyltrichlorosilane [CF3(CF2)5(CH2)2SiCl3, PFTS] for 5 days at room temperature followed by a short annealing at 130 degrees C in air for 1 h. The superhydrophobic film provides an effective corrosion-resistant coating for the zinc interface when immersed in an aqueous solution of sodium chloride (3% NaCl) for up to 29 days. The corrosion process was investigated by following the change of the water contact angle over time and by electrochemical means. The results are compared to those of unprotected zinc interfaces.
Status of zinc injection in PWRs
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bergmann, C.A.
1995-03-01
Based on laboratory and other studies, it was concluded that zinc addition in a PWR primary coolant should result in reduced Alloy 600 PWSCC and general corrosion rates of the materials of construction. Because of these positive results, a Westinghouse Owner`s Subgroup, EPRI, and Westinghouse provided funds to continue the development and application of zinc in an operating plant. As part of the program, Southern Operating Nuclear Company agreed to operate the Farley 2 plant with zinc addition as a demonstration test of the effectiveness of zinc. Since zinc is incorporated in the corrosion oxide film on the primary systemmore » surfaces and Farley 2 is a mature plant, it was estimated that about 10 kgs of zinc would be needed to condition the plant before an equilibrium value in the coolant would be reached. The engineered aspects of a Zinc Addition and Monitoring System (ZAMS) considered such items as the constitutents, location, sizing and water supply of the ZAMS. Baseline data such as the PWSCC history of the Alloy 600 steam generator tubing, fuel oxide thickness, fuel crud deposits, radiation levels, and RCP seal leak-off rates were obtained before zinc addition is initiated. This presentation summarizes some of the work performed under the program, and the status of zinc injection in the Farley 2 plant.« less
NASA Astrophysics Data System (ADS)
Attaf, A.; Benkhetta, Y.; Saidi, H.; Bouhdjar, A.; Bendjedidi, H.; Nouadji, M.; Lehraki, N.
2015-03-01
In this work, we used a system based on ultrasonic spray pyrolysis technique. By witch, we have deposited thin films of zinc oxide (ZnO) with the variation of solution flow rate from 50 ml / h to 150 ml / h, and set other parameters such as the concentration of the solution, the deposition time, substrate temperature and the nozzel -substrate distance. In order to study the influence of the solution flow rate on the properties of the films produced, we have several characterization techniques such as X-ray diffraction to determine the films structure, the scanning electron microscopy SEM for the morphology of the surfaces, EDS spectroscopy for the chemical composition, UV-Visible-Nir spectroscopy for determination the optical proprieties of thin films.The experimental results show that: the films have hexagonal structure at the type (wurtzite), the average size of grains varies from 20.11 to 32.45 nm, the transmittance of the films equals 80% in visible rang and the band gap is varied between 3.274 and 3.282 eV, when the solution flow rate increases from 50 to 150 ml/h.
NASA Astrophysics Data System (ADS)
Krawczak, Ewelina; Agata, Zdyb; Gulkowski, Slawomir; Fave, Alain; Fourmond, Erwann
2017-11-01
Transparent Conductive Oxides (TCOs) characterized by high visible transmittance and low electrical resistivity play an important role in photovoltaic technology. Aluminum doped zinc oxide (AZO) is one of the TCOs that can find its application in thin film solar cells (CIGS or CdTe PV technology) as well as in other microelectronic applications. In this paper some optical and electrical properties of ZnO:Al thin films deposited by RF magnetron sputtering method have been investigated. AZO layers have been deposited on the soda lime glass substrates with use of variable technological parameters such as pressure in the deposition chamber, power applied and temperature during the process. The composition of AZO films has been investigated by EDS method. Thickness and refraction index of the deposited layers in dependence on certain technological parameters of sputtering process have been determined by spectroscopic ellipsometry. The measurements of transmittance and sheet resistance were also performed.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Morales-Masis, M., E-mail: monica.moralesmasis@epfl.ch; Ding, L.; Dauzou, F.
2014-09-01
Improving the conductivity of earth-abundant transparent conductive oxides (TCOs) remains an important challenge that will facilitate the replacement of indium-based TCOs. Here, we show that a hydrogen (H{sub 2})-plasma post-deposition treatment improves the conductivity of amorphous aluminum-doped zinc tin oxide while retaining its low optical absorption. We found that the H{sub 2}-plasma treatment performed at a substrate temperature of 50 °C reduces the resistivity of the films by 57% and increases the absorptance by only 2%. Additionally, the low substrate temperature delays the known formation of tin particles with the plasma and it allows the application of the process to temperature-sensitivemore » substrates.« less
An overview of zinc addition for BWR dose rate control
DOE Office of Scientific and Technical Information (OSTI.GOV)
Marble, W.J.
1995-03-01
This paper presents an overview of the BWRs employing feedwater zinc addition to reduce primary system dose rates. It identifies which BWRs are using zinc addition and reviews the mechanical injection and passive addition hardware currently being employed. The impact that zinc has on plant chemistry, including the factor of two to four reduction in reactor water Co-60 concentrations, is discussed. Dose rate results, showing the benefits of implementing zinc on either fresh piping surfaces or on pipes with existing films are reviewed. The advantages of using zinc that is isotopically enhanced by the depletion of the Zn-64 precursor tomore » Zn-65 are identified.« less
MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films
NASA Astrophysics Data System (ADS)
Ben-Yaacov, Tammy
In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs
NASA Astrophysics Data System (ADS)
Kumar, Mukesh; Sigdel, A. K.; Gennett, T.; Berry, J. J.; Perkins, J. D.; Ginley, D. S.; Packard, C. E.
2013-10-01
With recent advances in flexible electronics, there is a growing need for transparent conductors with optimum conductivity tailored to the application and nearly zero residual stress to ensure mechanical reliability. Within amorphous transparent conducting oxide (TCO) systems, a variety of sputter growth parameters have been shown to separately impact film stress and optoelectronic properties due to the complex nature of the deposition process. We apply a statistical design of experiments (DOE) approach to identify growth parameter-material property relationships in amorphous indium zinc oxide (a-IZO) thin films and observed large, compressive residual stresses in films grown under conditions typically used for the deposition of highly conductive samples. Power, growth pressure, oxygen partial pressure, and RF power ratio (RF/(RF + DC)) were varied according to a full-factorial test matrix and each film was characterized. The resulting regression model and analysis of variance (ANOVA) revealed significant contributions to the residual stress from individual growth parameters as well as interactions of different growth parameters, but no conditions were found within the initial growth space that simultaneously produced low residual stress and high electrical conductivity. Extrapolation of the model results to lower oxygen partial pressures, combined with prior knowledge of conductivity-growth parameter relationships in the IZO system, allowed the selection of two promising growth conditions that were both empirically verified to achieve nearly zero residual stress and electrical conductivities >1480 S/cm. This work shows that a-IZO can be simultaneously optimized for high conductivity and low residual stress.
NASA Astrophysics Data System (ADS)
Chernomordik, Boris David
Significant reduction in greenhouse gas emission and pollution associated with the global power demand can be accomplished by supplying tens-of-terawatts of power with solar cell technologies. No one solar cell material currently on the market is poised to meet this challenge due to issues such as manufacturing cost, material shortage, or material toxicity. For this reason, there is increasing interest in efficient light-absorbing materials that are comprised of abundant and non-toxic elements for thin film solar cell. Among these materials are copper zinc tin sulfide (Cu2ZnSnS4, or CZTS), copper zinc tin selenide (Cu2ZnSnSe4, or CZTSe), and copper zinc tin sulfoselenide alloys [Cu2ZnSn(SxSe1-x )4, or CZTSSe]. Laboratory power conversion efficiencies of CZTSSe-based solar cells have risen to almost 13% in less than three decades of research. Meeting the terawatt challenge will also require low cost fabrication. CZTSSe thin films from annealed colloidal nanocrystal coatings is an example of solution-based methods that can reduce manufacturing costs through advantages such as high throughput, high material utilization, and low capital expenses. The film microstructure and grain size affects the solar cell performance. To realize low cost commercial production and high efficiencies of CZTSSe-based solar cells, it is necessary to understand the fundamental factors that affect crystal growth and microstructure evolution during CZTSSe annealing. Cu2ZnSnS4 (CZTS) nanocrystals were synthesized via thermolysis of single-source cation and sulfur precursors copper, zinc and tin diethyldithiocarbamates. The average nanocrystal size could be tuned between 2 nm and 40 nm, by varying the synthesis temperature between 150 °C and 340 °C. The synthesis is rapid and is completed in less than 10 minutes. Characterization by X-ray diffraction, Raman spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy confirm that the nanocrystals are nominally
Structural and electrical properties of sputter deposited ZnO thin films
NASA Astrophysics Data System (ADS)
Muhammed Shameem P., V.; Mekala, Laxman; Kumar, M. Senthil
2018-05-01
The growth of zinc oxide thin films having different oxygen content was achieved at ambient temperature by reactive dc magnetron sputtering technique and their structural and electrical properties are studied. The structural studies show that the films are polycrystalline with a preferential orientation of the grains along the c-axis [002], which increases with increase in oxygen partial pressure. The grain size and the surface roughness of the zinc oxide films are found to decrease with increasing oxygen partial pressure. It is observed that the resistivity of the zinc oxide films can be tuned from semiconducting to insulating regime by varying the oxygen content.
NASA Astrophysics Data System (ADS)
Le, Anh Huy Tuan; Kim, Youngkuk; Lee, Youn-Jung; Hussain, Shahzada Qamar; Nguyen, Cam Phu Thi; Lee, Jaehyung; Yi, Junsin
2018-03-01
The modification of the steep and sharp valleys on the surface of the boron-doped zinc oxide (BZO) front electrodes by plasma surface treatment is a critical process for avoiding a significant reduction in the electrical performance of thin-film silicon solar cells. In this work, we report the origin of the changes in the electrical and optical properties of the BZO films that occur after this process. On the basis of an analysis of the chemical states, we found an improvement of the carrier concentration along with the treatment time that was mainly due to an increase of the oxygen vacancy. This indicated a deficiency of the oxygen in the BZO films under argon-ion bombardment. The red-shift of the A1 longitudinal optical mode frequency in the Raman spectra that was attributed to the existence of vacancy point defects within the films also strengthened this argument. The significant reduction of the haze ratio as well as the appearance of interference peaks on the transmittance spectra as the treatment time was increased were mainly due to the smoothing of the film surface, which indicated a degradation of the light-scattering capability of the BZO films. We also observed a gain of the visible-region transmittance that was attributed to the decrease of the thickness of the BZO films after the plasma surface treatment, instead of the crystallinity improvement. On the basis of our findings, we have proposed a further design rule of the BZO front electrodes for thin-film silicon solar cell applications.
Curtis, Calvin J [Lakewood, CO; Miedaner, Alexander [Boulder, CO; Van Hest, Maikel [Lakewood, CO; Ginley, David S [Evergreen, CO; Nekuda, Jennifer A [Lakewood, CO
2011-11-15
Liquid-based indium selenide and copper selenide precursors, including copper-organoselenides, particulate copper selenide suspensions, copper selenide ethylene diamine in liquid solvent, nanoparticulate indium selenide suspensions, and indium selenide ethylene diamine coordination compounds in solvent, are used to form crystalline copper-indium-selenide, and/or copper indium gallium selenide films (66) on substrates (52).
NASA Astrophysics Data System (ADS)
Kim, Sang Tae; Shin, Yeonwoo; Yun, Pil Sang; Bae, Jong Uk; Chung, In Jae; Jeong, Jae Kyeong
2017-09-01
This paper proposes a new defect engineering concept for low-cost In- and Ga-free zinc tin oxide (ZTO) thin-film transistors (TFTs). This concept is comprised of capping ZTO films with tantalum (Ta) and a subsequent modest thermal annealing treatment at 200 °C. The Ta-capped ZTO TFTs exhibited a remarkably high carrier mobility of 70.8 cm2/Vs, low subthreshold gate swing of 0.18 V/decade, threshold voltage of -1.3 V, and excellent ION/OFF ratio of 2 × 108. The improvement (> two-fold) in the carrier mobility compared to the uncapped ZTO TFT can be attributed to the effective reduction of the number of adverse tailing trap states, such as hydroxyl groups or oxygen interstitial defects, which stems from the scavenging effect of the Ta capping layer on the ZTO channel layer. Furthermore, the Ta-capped ZTO TFTs showed excellent positive and negative gate bias stress stabilities. [Figure not available: see fulltext.
NASA Astrophysics Data System (ADS)
Pedersen, Joachim D.; Esposito, Heather J.; Teh, Kwok Siong
2011-10-01
We report a rapid, self-catalyzed, solid precursor-based thermal plasma chemical vapor deposition process for depositing a conformal, nonporous, and optically transparent nanocrystalline ZnO thin film at 130 Torr (0.17 atm). Pure solid zinc is inductively heated and melted, followed by ionization by thermal induction argon/oxygen plasma to produce conformal, nonporous nanocrystalline ZnO films at a growth rate of up to 50 nm/min on amorphous and crystalline substrates including Si (100), fused quartz, glass, muscovite, c- and a-plane sapphire (Al2O3), gold, titanium, and polyimide. X-ray diffraction indicates the grains of as-deposited ZnO to be highly textured, with the fastest growth occurring along the c-axis. The individual grains are observed to be faceted by (103) planes which are the slowest growth planes. ZnO nanocrystalline films of nominal thicknesses of 200 nm are deposited at substrate temperatures of 330°C and 160°C on metal/ceramic substrates and polymer substrates, respectively. In addition, 20-nm- and 200-nm-thick films are also deposited on quartz substrates for optical characterization. At optical spectra above 375 nm, the measured optical transmittance of a 200-nm-thick ZnO film is greater than 80%, while that of a 20-nm-thick film is close to 100%. For a 200-nm-thick ZnO film with an average grain size of 100 nm, a four-point probe measurement shows electrical conductivity of up to 910 S/m. Annealing of 200-nm-thick ZnO films in 300 sccm pure argon at temperatures ranging from 750°C to 950°C (at homologous temperatures between 0.46 and 0.54) alters the textures and morphologies of the thin film. Based on scanning electron microscope images, higher annealing temperatures appear to restructure the ZnO nanocrystalline films to form nanorods of ZnO due to a combination of grain boundary diffusion and bulk diffusion. PACS: films and coatings, 81.15.-z; nanocrystalline materials, 81.07.Bc; II-VI semiconductors, 81.05.Dz.
Thick film magnetic nanoparticulate composites and method of manufacture thereof
NASA Technical Reports Server (NTRS)
Ge, Shihui (Inventor); Yan, Dajing (Inventor); Xiao, Danny T. (Inventor); Ma, Xinqing (Inventor); Zhang, Yide (Inventor); Zhang, Zongtao (Inventor)
2009-01-01
Thick film magnetic/insulating nanocomposite materials, with significantly reduced core loss, and their manufacture are described. The insulator coated magnetic nanocomposite comprises one or more magnetic components, and an insulating component. The magnetic component comprises nanometer scale particles (about 1 to about 100 nanometers) coated by a thin-layered insulating phase. While the intergrain interaction between the immediate neighboring magnetic nanoparticles separated by the insulating phase provides the desired soft magnetic properties, the insulating material provides high resistivity, which reduces eddy current loss.
Catalano, Anthony W.; Bhushan, Manjul
1982-01-01
A thin film photovoltaic solar cell which utilizes a zinc phosphide semiconductor is of the homojunction type comprising an n-type conductivity region forming an electrical junction with a p-type region, both regions consisting essentially of the same semiconductor material. The n-type region is formed by treating zinc phosphide with an extrinsic dopant such as magnesium. The semiconductor is formed on a multilayer substrate which acts as an opaque contact. Various transparent contacts may be used, including a thin metal film of the same chemical composition as the n-type dopant or conductive oxides or metal grids.
Catalano, A.W.; Bhushan, M.
1982-08-03
A thin film photovoltaic solar cell which utilizes a zinc phosphide semiconductor is of the homojunction type comprising an n-type conductivity region forming an electrical junction with a p-type region, both regions consisting essentially of the same semiconductor material. The n-type region is formed by treating zinc phosphide with an extrinsic dopant such as magnesium. The semiconductor is formed on a multilayer substrate which acts as an opaque contact. Various transparent contacts may be used, including a thin metal film of the same chemical composition as the n-type dopant or conductive oxides or metal grids. 5 figs.
NASA Astrophysics Data System (ADS)
El hamali, S. O.; Cranton, W. M.; Kalfagiannis, N.; Hou, X.; Ranson, R.; Koutsogeorgis, D. C.
2016-05-01
High quality transparent conductive oxides (TCOs) often require a high thermal budget fabrication process. In this study, Excimer Laser Annealing (ELA) at a wavelength of 248 nm has been explored as a processing mechanism to facilitate low thermal budget fabrication of high quality aluminium doped zinc oxide (AZO) thin films. 180 nm thick AZO films were prepared by radio frequency magnetron sputtering at room temperature on fused silica substrates. The effects of the applied RF power and the sputtering pressure on the outcome of ELA at different laser energy densities and number of pulses have been investigated. AZO films deposited with no intentional heating at 180 W, and at 2 mTorr of 0.2% oxygen in argon were selected as the optimum as-deposited films in this work, with a resistivity of 1×10-3 Ω.cm, and an average visible transmission of 85%. ELA was found to result in noticeably reduced resistivity of 5×10-4 Ω.cm, and enhancing the average visible transmission to 90% when AZO is processed with 5 pulses at 125 mJ/cm2. Therefore, the combination of RF magnetron sputtering and ELA, both low thermal budget and scalable techniques, can provide a viable fabrication route of high quality AZO films for use as transparent electrodes.
Acetone sensor based on zinc oxide hexagonal tubes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hastir, Anita, E-mail: anitahastir@gmail.com; Singh, Onkar, E-mail: anitahastir@gmail.com; Anand, Kanika, E-mail: anitahastir@gmail.com
2014-04-24
In this work hexagonal tubes of zinc oxide have been synthesized by co-precipitation method. For structural, morphological, elemental and optical analysis synthesized powders were characterized by using x-ray diffraction, field emission scanning microscope, EDX, UV-visible and FTIR techniques. For acetone sensing thick films of zinc oxide have been deposited on alumina substrate. The fabricated sensors exhibited maximum sensing response towards acetone vapour at an optimum operating temperature of 400°C.
Thin-film semiconductor rectifier has improved properties
NASA Technical Reports Server (NTRS)
1966-01-01
Cadmium selenide-zinc selenide film is used as a thin film semiconductor rectifier. The film is vapor-deposited in a controlled concentration gradient into a glass substrate to form the required junctions between vapor-deposited gold electrodes.
NASA Astrophysics Data System (ADS)
Che, Franklin; Grabtchak, Serge; Whelan, William M.; Ponomarenko, Sergey A.; Cada, Michael
We have experimentally measured the surface second-harmonic generation (SHG) of sputtered gold, silver, aluminum, zinc, tungsten, copper, titanium, cobalt, nickel, chromium, germanium, antimony, titanium nitride, silicon and indium tin oxide thin films. The second-harmonic response was measured in reflection using a 150 fs p-polarized laser pulse at 1561 nm. We present a clear comparison of the SHG intensity of these films relative to each other. Our measured relative intensities compare favorably with the relative intensities of metals with published data. We also report for the first time to our knowledge the surface SHG intensity of tungsten and antimony relative to that of well known metallic thin films such as gold and silver.
Nanoparticulate-catalyzed oxygen transfer processes
Hunt, Andrew T [Atlanta, GA; Breitkopf, Richard C [Dunwoody, GA
2009-12-01
Nanoparticulates of oxygen transfer materials that are oxides of rare earth metals, combinations of rare earth metals, and combinations of transition metals and rare earth metals are used as catalysts in a variety of processes. Unexpectedly large thermal efficiencies are achieved relative to micron sized particulates. Processes that use these catalysts are exemplified in a multistage reactor. The exemplified reactor cracks C6 to C20 hydrocarbons, desulfurizes the hydrocarbon stream and reforms the hydrocarbons in the stream to produce hydrogen. In a first reactor stage the steam and hydrocarbon are passed through particulate mixed rare earth metal oxide to crack larger hydrocarbon molecules. In a second stage, the steam and hydrocarbon are passed through particulate material that desulfurizes the hydrocarbon. In a third stage, the hydrocarbon and steam are passed through a heated, mixed transition metal/rare earth metal oxide to reform the lower hydrocarbons and thereby produce hydrogen. Stages can be alone or combined. Parallel reactors can provide continuous reactant flow. Each of the processes can be carried out individually.
Preparation and Conductivity Measurements of Thin Film (PEO)nZnCl2 Electrolyte System
NASA Astrophysics Data System (ADS)
Salehuddin, N.; Mohamad, A. A.; Alias, Y.
2010-03-01
We report zinc ion conducting thin film polymer based on non-volatile room temperature ionic liquid, with a zinc chloride dissolved in a water and blend with poly(ethylene) oxide in different ratio of salt. The resultant films are free standing, translucent, flexible and elastic. The conductivity measurement of the films was carried out at room temperature to find the highest conductivity films.
Nanoparticulate zinc oxide as a coating material for orthopedic and dental implants.
Memarzadeh, Kaveh; Sharili, Amir S; Huang, Jie; Rawlinson, Simon C F; Allaker, Robert P
2015-03-01
Orthopedic and dental implants are prone to infection. In this study, we describe a novel system using zinc oxide nanoparticles (nZnO) as a coating material to inhibit bacterial adhesion and promote osteoblast growth. Electrohydrodynamic atomisation (EHDA) was employed to deposit mixtures of nZnO and nanohydroxyapatite (nHA) onto the surface of glass substrates. Nano-coated substrates were exposed to Staphylococcus aureus suspended in buffered saline or bovine serum to determine antimicrobial activity. Our results indicate that 100% nZnO and 75% nZnO/25% nHA composite-coated substrates have significant antimicrobial activity. Furthermore, osteoblast function was explored by exposing cells to nZnO. UMR-106 cells exposed to nZnO supernatants showed minimal toxicity. Similarly, MG-63 cells cultured on nZnO substrates did not show release of TNF-α and IL-6 cytokines. These results were reinforced by both proliferation and differentiation studies which revealed that a substrate coated with exclusively nZnO is more efficient than composite surface coatings. Finally, electron and light microscopy, together with immunofluorescence staining, revealed that all cell types tested, including human mesenchymal cell (hMSC), were able to maintain normal cell morphology when adhered onto the surface of the nano-coated substrates. Collectively, these findings indicate that nZnO can, on its own, provide an optimal coating for future bone implants that are both antimicrobial and biocompatible. © 2014 Wiley Periodicals, Inc.
NASA Astrophysics Data System (ADS)
Jabena Begum, N.; Mohan, R.; Ravichandran, K.
2013-01-01
Aluminium doped zinc oxide (AZO) thin films were deposited by employing a low cost and simplified spray technique using a perfume atomizer from starting solutions having different volumes (10, 20, … , 50 mL) of solvent. The effect of solvent volume on the structural, electrical, optical, photoluminescence (PL) and surface morphological properties was studied. The electrical resistivity of the AZO films is remarkably influenced by the variation in the solvent volume. The X-ray diffraction profiles clearly showed that all the films have preferential orientation along the (0 0 2) plane irrespective of the solvent volume. The crystallite size was found to be in the nano range of 35-46 nm. The optical transmittance in the visible region is desirably high (>85%). The AFM images show columnar morphology with varying grain size. The PL studies revealed that the AZO film deposited from 50 mL of solvent volume has good quality with lesser defect density.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Abrutis, Adulfas, E-mail: adulfas.abrutis@chf.vu.lt; Silimavicus, Laimis; Kubilius, Virgaudas
Hot-wire chemical vapor deposition (HW-CVD) was applied to grow zinc oxide (ZnO)-based transparent conducting oxide (TCO) films. Indium (In)-doped ZnO films were deposited using a cold wall pulsed liquid injection CVD system with three nichrome wires installed at a distance of 2 cm from the substrate holder. The wires were heated by an AC current in the range of 0–10 A. Zn and In 2,2,6,6-tetramethyl-3,5-heptanedionates dissolved in 1,2-dimethoxyethane were used as precursors. The hot wires had a marked effect on the growth rates of ZnO, In-doped ZnO, and In{sub 2}O{sub 3} films; at a current of 6–10 A, growth rates weremore » increased by a factor of ≈10–20 compared with those of traditional CVD at the same substrate temperature (400 °C). In-doped ZnO films with thickness of ≈150 nm deposited on sapphire-R grown at a wire current of 9 A exhibited a resistivity of ≈2 × 10{sup −3} Ωcm and transparency of >90% in the visible spectral range. These initial results reveal the potential of HW-CVD for the growth of TCOs.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hwang, Ah Young; Ji, Hyuk; Kim, Sang Tae
2016-04-11
Transition tantalum induced crystallization of amorphous zinc tin oxide (a-ZTO) was observed at low temperature annealing of 300 °C. Thin-film transistors (TFTs) with an a-ZTO channel layer exhibited a reasonable field-effect mobility of 12.4 cm{sup 2}/V s, subthreshold swing (SS) of 0.39 V/decade, threshold voltage (V{sub TH}) of 1.5 V, and I{sub ON/OFF} ratio of ∼10{sup 7}. A significant improvement in the field-effect mobility (up to ∼33.5 cm{sup 2}/V s) was achieved for crystallized ZTO TFTs: this improvement was accomplished without compromising the SS, V{sub TH}, or I{sub ON/OFF} ratio due to the presence of a highly ordered microstructure.
3D highly oriented nanoparticulate and microparticulate array ofmetal oxide materials
DOE Office of Scientific and Technical Information (OSTI.GOV)
Vayssieres, Lionel; Guo, Jinghua; Nordgren, Joseph
2006-09-15
Advanced nano and micro particulate thin films of 3d transition and post-transition metal oxides consisting of nanorods and microrods with parallel and perpendicular orientation with respect to the substrate normal, have been successfully grown onto various substrates by heteronucleation, without template and/or surfactant, from the aqueous condensation of solution of metal salts or metal complexes (aqueous chemical growth). Three-dimensional arrays of iron oxide nanorods and zinc oxide nanorods with parallel and perpendicular orientation are presented as well as the oxygen K-edge polarization dependent x-ray absorption spectroscopy (XAS) study of anisotropic perpendicularly oriented microrod array of ZnO performed at synchrotron radiationmore » source facility.« less
NASA Astrophysics Data System (ADS)
Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop
2016-09-01
Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.
Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop
2016-01-01
Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430
NASA Astrophysics Data System (ADS)
Aktary, Mirwais
The protection of mechanical equipment from wear is of significant economic interest. It has been estimated that up to half of a percent of the gross domestic product of industrialized countries goes to replacing mechanical components that have lost compliance due to wear. Antiwear additives are key ingredients in lubrication oils that assist in protecting components from wear during high loads. These agents form sacrificial films on metal parts that limit the adhesion between the contacting surfaces and reduce the wear rate considerably. One of the most common classes of compounds employed as an antiwear agent is zinc dialkyldithiophosphates (ZDDP). This work will explore the formation, structure, and mechanical properties of ZDDP derived antiwear films on the nanoscale. These studies are important because the macroscopic performance of antiwear coatings is dictated by their nanoscale surface properties. As a first study, scanning force microscopy (SFM) is employed to track the formation of films formed from the thermooxidative decomposition of ZDDP on gold substrates. The SFM analysis is correlated with infrared spectroscopy to relate surface structure to chemical composition. The morphology and mechanical strength of ZDDP tribofilms formed at the interface of sliding stainless steel contacts is also investigated. The tribofilms evolve morphologically with contact time and are characterized by distinct segregated islands at low times that transforms to a full film at longer times. The nanomechanical properties of the tribofilms are evaluated by nanoindentation analysis. It is found that the films are mechanically softer than the underlying steel substrate. SFM and nanoindentation analyses reveal that calcium sulphonate detergents promote the formation of ZDDP tribofilms and impart to them greater mechanical stability. By contrast succinimide dispersants reduce the capacity of ZDDP to form effective antiwear films. The first application of SFM and nanoindentation
Brassard, J D; Sarkar, D K; Perron, J; Audibert-Hayet, A; Melot, D
2015-06-01
Thin films of zinc have been deposited on steel substrates by electrodeposition process and further functionalized with ultra-thin films of commercial silicone rubber, in order to obtain superhydrophobic properties. Morphological feature, by scanning electron microscope (SEM), shows that the electrodeposited zinc films are composed of micro-nano rough patterns. Furthermore, chemical compositions of these films have been analyzed by X-ray diffraction (XRD) and infra-red (IRRAS). An optimum electrodeposition condition, based on electrical potential and deposition time, has been obtained which provides superhydrophobic properties with a water contact angle of 155±1°. The corrosion resistance properties, in artificial seawater, of the superhydrophobic zinc coated steel are found to be superior to bare steel. Similarly, the measured ice adhesion strength on superhydrophobic surfaces, using the centrifugal adhesion test (CAT), is found to be 6.3 times lower as compared to bare steel. This coating has promising applications in offshore environment, to mitigate corrosion and reduce ice adhesion. Copyright © 2014 Elsevier Inc. All rights reserved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lao, David; Kukkadapu, Ravi; Kovarik, Libor
Under anoxic conditions, a novel nanoparticulate green rust with carbonate (nano GR) was synthesized by addition of methanol to degassed switchable ionic liquid (SWIL) solution comprised of 1-hexanol, diazabicycloundec-7-ene (DBU), CO2 and Fe(C2H3O2)2 (Fe(OAc)2). Variable temperature Mössbauer spectroscopy studies indicated the product to be predominantly GR while TEM-SAED method confirmed it be nanoparticulate in nature. Experiments with and without methanol in the SWIL medium suggest that methanol may be responsible for Fe(II) oxidation to Fe(III) necessary for GR formation. Studies with Ar instead of CO2 trigger gas indicated that CO2 is essential for GR formation. Conditions to generate CO32- anionmore » was most likely provided by basic environment of the medium. The nano GR suspension was very reactive and instantaneously oxidized completely to a reddish-brown precipitate upon exposure to ambient atmosphere. The nature of the oxidized sample is not certain. The oxidized product, however, appears to be a mix of ferric green rust- [GR(CO32-]*; major] and ferrihydrite-like minerals. To our knowledge, this is first report of use of environmentally-friendly SWIL reagents to synthesize very reactive nano GR materials.« less
NASA Astrophysics Data System (ADS)
Topol, Anna Wanda
Zinc sulfide (ZnS) doped with manganese (Mn), ZnS:Mn, is widely recognized as the brightest and most effective electroluminescent (EL) phosphor used in current thin film electroluminescent (TFEL) devices. ZnS acts as a host lattice for the luminescent activator, Mn, leading to a highly efficient yellow-orange EL emission, and resulting in a wide array of applications in monochrome, multi-color and full color displays. Although this wide band dap (3.7 eV) material can be prepared by several deposition techniques, the chemical vapor deposition (CVD) is the most promising for TFEL applications in terms of viable deposition rates, high thickness and composition uniformity, and excellent yield over large area panels. This study describes the development and optimization of a CVD ZnS:Mn process using diethylzinc [(C2H5)2Zn, DEZ], di-pi-cyclopentadienylmanganese [(C5H5)2Mn, CPMn], and hydrogen sulfide [H2S] as the chemical sources for, respectively, Zn, Mn, and S. The effects of key deposition parameters on resulting Film microstructure and performance are discussed, primarily in the context of identifying an optimized process window for best electroluminescence behavior. In particular, substrate temperature was observed to play a key role in the formation of high quality crystalline ZnS:Mn films leading to improved brightness and EL efficiency. Further investigations of the influence of temperature treatment on the structural characteristics and EL performance of the CVD ZnS:Mn film were carried out. In this study, the influence of post-deposition annealing both in-situ and ex-situ annealing processes, on chemical, structural, and electroluminescent characteristics of the phosphor layer are described. The material properties of the employed dielectric are among the key factors determining the performance, stability and reliability of the TFEL display and therefore, the choice of dielectric material for use in ACTFEL displays is crucial. In addition, the luminous
The Chemical Vapor Deposition of Thin Metal Oxide Films
NASA Astrophysics Data System (ADS)
Laurie, Angus Buchanan
1990-01-01
Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).
Nam, Sungho; Seo, Jooyeok; Park, Soohyeong; Lee, Sooyong; Jeong, Jaehoon; Lee, Hyena; Kim, Hwajeong; Kim, Youngkyoo
2013-02-01
Hybrid phototransistors (HPTRs) were fabricated on glass substrates using organic/inorganic hybrid bulk heterojunction films of p-type poly(3-hexylthiophene) (P3HT) and n-type zinc oxide nanoparticles (ZnO(NP)). The content of ZnO(NP) was varied up to 50 wt % in order to understand the composition effect of ZnO(NP) on the performance of HPTRs. The morphology and nanostructure of the P3HT:ZnO(NP) films was examined by employing high resolution electron microscopes and synchrotron radiation grazing angle X-ray diffraction system. The incident light intensity (P(IN)) was varied up to 43.6 μW/cm², whereas three major wavelengths (525 nm, 555 nm, 605 nm) corresponded to the optical absorption of P3HT were applied. Results showed that the present HPTRs showed typical p-type transistor performance even though the n-type ZnO(NP) content increased up to 50 wt %. The highest transistor performance was obtained at 50 wt %, whereas the lowest performance was measured at 23 wt % because of the immature bulk heterojunction morphology. The drain current (I(D)) was proportionally increased with P(IN) due to the photocurrent generation in addition to the field-effect current. The highest apparent and corrected responsivities (R(A) = 4.7 A/W and R(C) = 2.07 A/W) were achieved for the HPTR with the P3HT:ZnO(NP) film (50 wt % ZnO(NP)) at P(IN) = 0.27 μW/cm² (555 nm).
Rahman, Masoud; Tajabadi, Fariba; Shooshtari, Leyla; Taghavinia, Nima
2011-04-04
Hollow structures show both light scattering and light trapping, which makes them promising for dye-sensitized solar cell (DSSC) applications. In this work, nanoparticulate hollow TiO(2) fibers are prepared by layer-by-layer (LbL) self-assembly deposition of TiO(2) nanoparticles on natural cellulose fibers as template, followed by thermal removal of the template. The effect of LbL parameters such as the type and molecular weight of polyelectrolyte, number of dip cycles, and the TiO(2) dispersion (amorphous or crystalline sol) are investigated. LbL deposition with weak polyelectrolytes (polyethylenimine, PEI) gives greater nanoparticle deposition yield compared to strong polyelectrolytes (poly(diallyldimethylammonium chloride), PDDA). Decreasing the molecular weight of the polyelectrolyte results in more deposition of nanoparticles in each dip cycle with narrower pore size distribution. Fibers prepared by the deposition of crystalline TiO(2) nanoparticles show higher surface area and higher pore volume than amorphous nanoparticles. Scattering coefficients and backscattering properties of fibers are investigated and compared with those of commercial P25 nanoparticles. Composite P25-fiber films are electrophoretically deposited and employed as the photoanode in DSSC. Photoelectrochemical measurements showed an increase of around 50% in conversion efficiency. By employing the intensity-modulated photovoltage and photocurrent spectroscopy methods, it is shown that the performance improvement due to addition of fibers is mostly due to the increase in light-harvesting efficiency. The high surface area due to the nanoparticulate structure and strong light harvesting due to the hollow structure make these fibers promising scatterers in DSSCs. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Singh, Fouran; Kumar, Vinod; Chaudhary, Babloo
2012-10-01
This paper report on the disorder induced semiconductor to metal transition (SMT) and modifications of grain boundaries in nanocrystalline zinc oxide thin film. Disorder is induced using energetic ion irradiation. It eliminates the possibility of impurities induced transition. However, it is revealed that some critical concentration of defects is needed for inducing such kind of SMT at certain critical temperature. Above room temperature, the current-voltage characteristics in reverse bias attributes some interesting phenomenon, such as electric field induced charge transfer, charge trapping, and diffusion of defects. The transition is explained by the defects induced disorder and strain in ZnO crystallitesmore » created by high density of electronic excitations.« less
Shim, Hyung Cheoul; Woo, Chang-Su; Han, Seungwoo
2015-08-19
The zinc antimonide compound ZnxSby is one of the most efficient thermoelectric materials known at high temperatures due to its exceptional low thermal conductivity. For this reason, it continues to be the focus of active research, especially regarding its glass-like atomic structure. However, before practical use in actual surroundings, such as near a vehicle manifold, it is imperative to analyze the thermal reliability of these materials. Herein, we present the thermal cycling behavior of ZnxSby thin films in nitrogen (N2) purged or ambient atmosphere. ZnxSby thin films were prepared by cosputtering and reached a power factor of 1.39 mW m(-1) K(-2) at 321 °C. We found maximum power factor values gradually decreased in N2 atmosphere due to increasing resistivity with repeated cycling, whereas the specimen in air kept its performance. X-ray diffraction and electron microscopy observations revealed that fluidity of Zn atoms leads to nanoprecipitates, porous morphologies, and even growth of a coating layer or fiber structures on the surface of ZnxSby after repetitive heating and cooling cycles. With this in mind, our results indicate that proper encapsulation of the ZnxSby surface would reduce these unwanted side reactions and the resulting degradation of thermoelectric performance.
A zinc oxide nanorod ammonia microsensor integrated with a readout circuit on-a-chip.
Yang, Ming-Zhi; Dai, Ching-Liang; Wu, Chyan-Chyi
2011-01-01
A zinc oxide nanorod ammonia microsensor integrated with a readout circuit on-a-chip fabricated using the commercial 0.35 μm complementary metal oxide semiconductor (CMOS) process was investigated. The structure of the ammonia sensor is composed of a sensitive film and polysilicon electrodes. The ammonia sensor requires a post-process to etch the sacrificial layer, and to coat the sensitive film on the polysilicon electrodes. The sensitive film that is prepared by a hydrothermal method is made of zinc oxide. The sensor resistance changes when the sensitive film adsorbs or desorbs ammonia gas. The readout circuit is used to convert the sensor resistance into the voltage output. Experiments show that the ammonia sensor has a sensitivity of about 1.5 mV/ppm at room temperature.
Aslam, Mohamad F.; Frazer, David M.; Faria, Nuno; Bruggraber, Sylvaine F. A.; Wilkins, Sarah J.; Mirciov, Cornel; Powell, Jonathan J.; Anderson, Greg J.; Pereira, Dora I. A.
2014-01-01
The ferritin core is composed of fine nanoparticulate Fe3+ oxohydroxide, and we have developed a synthetic mimetic, nanoparticulate Fe3+ polyoxohydroxide (nanoFe3+). The aim of this study was to determine how dietary iron derived in this fashion is absorbed in the duodenum. Following a 4 wk run-in on an Fe-deficient diet, mice with intestinal-specific disruption of the Fpn-1 gene (Fpn-KO), or littermate wild-type (WT) controls, were supplemented with Fe2+ sulfate (FeSO4), nanoFe3+, or no added Fe for a further 4 wk. A control group was Fe sufficient throughout. Direct intestinal absorption of nanoFe3+ was investigated using isolated duodenal loops. Our data show that FeSO4 and nanoFe3+ are equally bioavailable in WT mice, and at wk 8 the mean ± sem hemoglobin increase was 18 ± 7 g/L in the FeSO4 group and 30 ± 5 g/L in the nanoFe3+ group. Oral iron failed to be utilized by Fpn-KO mice and was retained in enterocytes, irrespective of the iron source. In summary, although nanoFe3+ is taken up directly by the duodenum its homeostasis is under the normal regulatory control of dietary iron absorption, namely via ferroportin-dependent efflux from enterocytes, and thus offers potential as a novel oral iron supplement.—Aslam, M. F., Frazer, D. M., Faria, N., Bruggraber, S. F. A., Wilkins, S. J., Mirciov, C., Powell, J. J., Anderson, G. J., Pereira, D. I. A. Ferroportin mediates the intestinal absorption of iron from a nanoparticulate ferritin core mimetic in mice. PMID:24776745
Effects of humic substances on precipitation and aggregation of zinc sulfide nanoparticles
Deonarine, Amrika; Lau, Boris L.T.; Aiken, George R.; Ryan, Joseph N.; Hsu-Kim, Heileen
2011-01-01
Nanoparticulate metal sulfides such as ZnS can influence the transport and bioavailability of pollutant metals in anaerobic environments. The aim of this work was to investigate how the composition of dissolved natural organic matter (NOM) influences the stability of zinc sulfide nanoparticles as they nucleate and aggregate in water with dissolved NOM. We compared NOM fractions that were isolated from several surface waters and represented a range of characteristics including molecular weight, type of carbon, and ligand density. Dynamic light scattering was employed to monitor the growth and aggregation of Zn−S−NOM nanoparticles in supersaturated solutions containing dissolved aquatic humic substances. The NOM was observed to reduce particle growth rates, depending on solution variables such as type and concentration of NOM, monovalent electrolyte concentration, and pH. The rates of growth increased with increasing ionic strength, indicating that observed growth rates primarily represented aggregation of charged Zn−S−NOM particles. Furthermore, the observed rates decreased with increasing molecular weight and aromatic content of the NOM fractions, while carboxylate and reduced sulfur content had little effect. Differences between NOM were likely due to properties that increased electrosteric hindrances for aggregation. Overall, results of this study suggest that the composition and source of NOM are key factors that contribute to the stabilization and persistence of zinc sulfide nanoparticles in the aquatic environment.
NASA Technical Reports Server (NTRS)
Buckley, D. H.
1979-01-01
Sliding friction experiments were conducted with aluminum and other riders rubbing on disks of various elemental metals in the presence of a thin film of zinc dialkyldithiophosphate (ZDP). Auger emission spectroscopy was used to in situ monitor the changes in surface chemistry with rubbing under various loads. The metal disks examined included iron, titanium, rhodium, tungsten, molybdenum, and copper. For equivalent films of ZDP the film is a more effective lubricant for some metals than it is for others. The important active element in the compound varies with the metal lubricated and is a function of metal chemistry. The zinc in the ZDP is susceptible to electron beam induced desorption.
Perumal, Veeradasan; Hashim, Uda; Gopinath, Subash C. B.; Haarindraprasad, R.; Liu, Wei-Wen; Poopalan, P.; Balakrishnan, S. R.; Thivina, V.; Ruslinda, A. R.
2015-01-01
The creation of an appropriate thin film is important for the development of novel sensing surfaces, which will ultimately enhance the properties and output of high-performance sensors. In this study, we have fabricated and characterized zinc oxide (ZnO) thin films on silicon substrates, which were hybridized with gold nanoparticles (AuNPs) to obtain ZnO-Aux (x = 10, 20, 30, 40 and 50 nm) hybrid structures with different thicknesses. Nanoscale imaging by field emission scanning electron microscopy revealed increasing film uniformity and coverage with the Au deposition thickness. Transmission electron microscopy analysis indicated that the AuNPs exhibit an increasing average diameter (5–10 nm). The face center cubic Au were found to co-exist with wurtzite ZnO nanostructure. Atomic force microscopy observations revealed that as the Au content increased, the overall crystallite size increased, which was supported by X-ray diffraction measurements. The structural characterizations indicated that the Au on the ZnO crystal lattice exists without any impurities in a preferred orientation (002). When the ZnO thickness increased from 10 to 40 nm, transmittance and an optical bandgap value decreased. Interestingly, with 50 nm thickness, the band gap value was increased, which might be due to the Burstein-Moss effect. Photoluminescence studies revealed that the overall structural defect (green emission) improved significantly as the Au deposition increased. The impedance measurements shows a decreasing value of impedance arc with increasing Au thicknesses (0 to 40 nm). In contrast, the 50 nm AuNP impedance arc shows an increased value compared to lower sputtering thicknesses, which indicated the presence of larger sized AuNPs that form a continuous film, and its ohmic characteristics changed to rectifying characteristics. This improved hybrid thin film (ZnO/Au) is suitable for a wide range of sensing applications. PMID:26694656
Perumal, Veeradasan; Hashim, Uda; Gopinath, Subash C B; Haarindraprasad, R; Liu, Wei-Wen; Poopalan, P; Balakrishnan, S R; Thivina, V; Ruslinda, A R
2015-01-01
The creation of an appropriate thin film is important for the development of novel sensing surfaces, which will ultimately enhance the properties and output of high-performance sensors. In this study, we have fabricated and characterized zinc oxide (ZnO) thin films on silicon substrates, which were hybridized with gold nanoparticles (AuNPs) to obtain ZnO-Aux (x = 10, 20, 30, 40 and 50 nm) hybrid structures with different thicknesses. Nanoscale imaging by field emission scanning electron microscopy revealed increasing film uniformity and coverage with the Au deposition thickness. Transmission electron microscopy analysis indicated that the AuNPs exhibit an increasing average diameter (5-10 nm). The face center cubic Au were found to co-exist with wurtzite ZnO nanostructure. Atomic force microscopy observations revealed that as the Au content increased, the overall crystallite size increased, which was supported by X-ray diffraction measurements. The structural characterizations indicated that the Au on the ZnO crystal lattice exists without any impurities in a preferred orientation (002). When the ZnO thickness increased from 10 to 40 nm, transmittance and an optical bandgap value decreased. Interestingly, with 50 nm thickness, the band gap value was increased, which might be due to the Burstein-Moss effect. Photoluminescence studies revealed that the overall structural defect (green emission) improved significantly as the Au deposition increased. The impedance measurements shows a decreasing value of impedance arc with increasing Au thicknesses (0 to 40 nm). In contrast, the 50 nm AuNP impedance arc shows an increased value compared to lower sputtering thicknesses, which indicated the presence of larger sized AuNPs that form a continuous film, and its ohmic characteristics changed to rectifying characteristics. This improved hybrid thin film (ZnO/Au) is suitable for a wide range of sensing applications.
Recent Developments in Silver/Zinc Rechargeable Cell Studies
NASA Technical Reports Server (NTRS)
Lewis, Harlan L.
2001-01-01
This viewgraph presentation discusses silver/zinc cell casing configurations and test results examining discharge capacity and silver migration comparisons. The following recommendations were proposed: 1) Use silver-treated cellophane instead of clear cellophane; 2) Use split wrap for cellophane whenever possible; and 3) Strongly consider use of sausage casing with PVA film in the following configuration: 1-mil (tubular) SC/1-mil PVA film/2.3-mil plain or 6-mil fiber-reinforced SC tubular.
NASA Astrophysics Data System (ADS)
Li, Xiaojie; Wang, Ying; Zhang, Zhipeng; Ou, Hai; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun
2018-04-01
Lowering the driving voltage and improving the stability of nanowire field emitters are essential for them to be applied in devices. In this study the characteristics of zinc oxide (ZnO) nanowire field emitter arrays (FEAs) controlled by an amorphous indium–gallium–zinc-oxide thin film transistor (a-IGZO TFT) were studied. A low driving voltage along with stabilization of the field emission current were achieved. Modulation of field emission currents up to three orders of magnitude was achieved at a gate voltage of 0–32 V for a constant anode voltage. Additionally, a-IGZO TFT control can dramatically reduce the emission current fluctuation (i.e., from 46.11 to 1.79% at an emission current of ∼3.7 µA). Both the a-IGZO TFT and ZnO nanowire FEAs were prepared on glass substrates in our research, demonstrating the feasibility of realizing large area a-IGZO TFT-controlled ZnO nanowire FEAs.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sahoo, Trilochan; Ju, Jin-Woo; Kannan, V.
2008-03-04
Single crystalline ZnO thin film on p-GaN/sapphire (0 0 0 1) substrate, using two different precursors by hydrothermal route at a temperature of 90 deg. C were successfully grown. The effect of starting precursor on crystalline nature, surface morphology and optical emission of the films were studied. ZnO thin films were grown in aqueous solution of zinc acetate and zinc nitrate. X-ray diffraction analysis revealed that all the thin films were single crystalline in nature and exhibited wurtzite symmetry and c-axis orientation. The thin films obtained with zinc nitrate had a more pitted rough surface morphology compared to the filmmore » grown in zinc acetate. However the thickness of the films remained unaffected by the nature of the starting precursor. Sharp luminescence peaks were observed from the thin films almost at identical energies but deep level emission was slightly prominent for the thin film grown in zinc nitrate.« less
Kilian, Daniel; Polster, Sebastian; Vogeler, Isabell; Jank, Michael P M; Frey, Lothar; Peukert, Wolfgang
2014-08-13
Indium-zinc oxide (IZO) films were deposited via flame spray pyrolysis (FSP) by pulsewise shooting a Si/SiO2 substrate directly into the combustion area of the flame. Based on UV-vis measurements of thin-films deposited on glass substrates, the optimal deposition parameters with respect to low haze values and film thicknesses of around 100 nm were determined. Thermal annealing of the deposited films at temperatures between 300 and 700 °C was carried out and staggered bottom gate thin-film transistors (TFT) were fabricated. The thin films were investigated by scanning electron microscopy, atomic force microscopy, X-ray diffraction, Fourier transformed infrared spectroscopy, and room-temperature photoluminescence measurements. The outcome of these investigations lead to two major requirements in order to implement a working TFT: (i) organic residues from the deposition process need to be removed and (ii) the net free charge carrier concentration has to be minimized by controlling the trap states in the semiconductor. The optimal annealing temperature was 300 °C as both requirements are fulfilled best in this case. This leads to field effect transistors with a low hysteresis, a saturation mobility of μSat = 0.1 cm(2)/(V s), a threshold voltage of Vth = -18.9 V, and an Ion/Ioff ratio on the order of 10(7). Depending on thermal treatment, the defect density changes significantly strongly influencing the transfer characteristics of the device.
NASA Astrophysics Data System (ADS)
Furuta, Mamoru; Kamada, Yudai; Hiramatsu, Takahiro; Li, Chaoyang; Kimura, Mutsumi; Fujita, Shizuo; Hirao, Takashi
2011-03-01
The positive bias instabilities of the zinc oxide thin-film transistors (ZnO TFTs) with a SiOx/SiNx-stacked gate insulator have been investigated. The film quality of a gate insulator of SiOx, which forms an interface with the ZnO channel, was varied by changing the gas mixture ratio of SiH4/N2O/N2 during plasma-enhanced chemical vapor deposition. The positive bias stress endurance of ZnO TFT strongly depended on the deposition condition of the SiOx gate insulator. From the relaxations of the transfer curve shift after imposition of positive bias stress, transfer curves could not be recovered completely without any thermal annealing. A charge trapping in a gate insulator rather than that in bulk ZnO and its interface with a gate insulator is a dominant instability mechanism of ZnO TFTs under positive bias stress.
Characterization of rabies pDNA nanoparticulate vaccine in poloxamer 407 gel.
Bansal, Amit; Wu, Xianfu; Olson, Victoria; D'Souza, Martin J
2018-07-10
Plasmid DNA (pDNA) vaccines have the potential for protection against a wide range of diseases including rabies but are rapid in degradation and poor in uptake by antigen-presenting cells. To overcome the limitations, we fabricated a pDNA nanoparticulate vaccine. The negatively charged pDNA was adsorbed onto the surface of cationic PLGA (poly (d, l-lactide-co-glycolide))-chitosan nanoparticles and were used as a delivery vehicle. To create a hydrogel for sustainable vaccine release, we dispersed the pDNA nanoparticles in poloxamer 407 gel which is liquid at 4 °C and turns into soft gels at 37 °C, providing ease of administration and preventing burst release of pDNA. Complete immobilization of pDNA to cationic nanoparticles was achieved at a pDNA to nanoparticles ratio (P/N) of 1/50. Cellular uptake of nanoparticles was both time and concentration dependent and followed a saturation kinetics with V max of 11.389 µg/mL h and K m of 139.48 µg/mL. The in vitro release studies showed the nanoparticulate vaccine has a sustained release for up to 24 days. In summary, pDNA PLGA-chitosan nanoparticles were non-cytotoxic, their buffering capacity and cell uptake were enhanced, and sustained the release of pDNA. We expect our pDNA vaccine's potency will be greatly improved in the animal studies. Copyright © 2018 Elsevier B.V. All rights reserved.
Nakate, Umesh T; Patil, Pramila; Bulakhe, R N; Lokhande, C D; Kale, Sangeeta N; Naushad, Mu; Mane, Rajaram S
2016-10-15
We report the rapid (superhydrophobic to superhydrophilic) transition property and improvement in the liquefied petroleum gas (LPG) sensing response of zinc oxide (ZnO) nanorods (NRs) on UV-irradiation and platinum (Pt) surface sensitization, respectively. The morphological evolution of ZnO NRs is evidenced from the field emission scanning electron microscope and atomic force microscope digital images and for the structural elucidation X-ray diffraction pattern is used. Elemental survey mapping is obtained from energy dispersive X-ray analysis spectrum. The optical properties have been studied by UV-Visible and photoluminescence spectroscopy measurements. The rapid (120sec) conversion of superhydrophobic (154°) ZnO NRs film to superhydrophilic (7°) is obtained under UV light illumination and the superhydrophobicity is regained by storing sample in dark. The mechanism for switching wettability behavior of ZnO NRs has thoroughly been discussed. In second phase, Pt-sensitized ZnO NRs film has demonstrated considerable gas sensitivity at 260ppm concentration of LPG. At 623K operating temperature, the maximum LPG response of 58% and the response time of 49sec for 1040ppm LPG concentration of Pt- sensitized ZnO NRs film are obtained. This higher LPG response of Pt-sensitized ZnO NRs film over pristine is primarily due to electronic effect and catalytic effect (spill-over effect) caused by an additional of Pt on ZnO NRs film surface. Copyright © 2016 Elsevier Inc. All rights reserved.
Guerreiro-Tanomaru, Juliane Maria; Trindade-Junior, Adinael; Cesar Costa, Bernardo; da Silva, Guilherme Ferreira; Drullis Cifali, Leonardo; Basso Bernardi, Maria Inês
2014-01-01
The aim of the present study was to evaluate the antibiofilm activity against Enterococcus faecalis, compressive strength. and radiopacity of Portland cement (PC) added to zirconium oxide (ZrO2), as radiopacifier, with or without nanoparticulated zinc oxide (ZnO). The following experimental materials were evaluated: PC, PC + ZrO2, PC + ZrO2 + ZnO (5%), and PC + ZrO2 + ZnO (10%). Antibiofilm activity was analyzed by using direct contact test (DCT) on Enterococcus faecalis biofilm, for 5 h or 15 h. The analysis was conducted by using the number of colony-forming units (CFU/mL). The compressive strength was performed in a mechanical testing machine. For the radiopacity tests, the specimens were radiographed together with an aluminium stepwedge. The results were submitted to ANOVA and Tukey tests, with level of significance at 5%. The results showed that all materials presented similar antibiofilm activity (P > 0.05). The addition of nanoparticulated ZnO decreased the compressive strength of PC. All materials presented higher radiopacity than pure PC. It can be concluded that the addition of ZrO2 and ZnO does not interfere with the antibiofilm activity and provides radiopacity to Portland cement. However, the presence of ZnO (5% or 10%) significantly decreased the compressive strength of the materials. PMID:25431798
NASA Astrophysics Data System (ADS)
Liu, Fangmei; Sun, Jia; Qian, Chuan; Hu, Xiaotao; Wu, Han; Huang, Yulan; Yang, Junliang
2016-09-01
Solution-processed thin-film transistors (TFTs) are the essential building blocks for manufacturing the low-cost and large-area consumptive electronics. Herein, solution-processed TFTs based on the composites of zinc oxide (ZnO) nanoparticles and single-walled carbon nanotubes (SWCNTs) were fabricated by the methods of spin-coating and doctor-blading. Through controlling the weight of SWCNTs, the ZnO/SWCNTs TFTs fabricated by spin-coating demonstrated a field-effect mobility of 4.7 cm2/Vs and a low threshold voltage of 0.8 V, while the TFTs devices fabricated by doctor-blading technique showed reasonable electrical performance with a mobility of 0.22 cm2/Vs. Furthermore, the ion-gel was used as an efficient electrochemical gate dielectric because of its large electric double-layer capacitance. The operating voltage of all the TFTs devices is as low as 4.0 V. The research suggests that ZnO/SWCNTs TFTs have the potential applications in low-cost, large-area and flexible consumptive electronics, such as chemical-biological sensors and smart label.
DOE Office of Scientific and Technical Information (OSTI.GOV)
C K, Subash, E-mail: cksubash08@gmail.com; Valiyaneerilakkal, Uvais; Varghese, Soney
Polymer nanocomposite was prepared using poly(vinylidene fluoride-trifluoroethylene) and zinc oxide (ZnO) nanopowder, which are ferroelectric in nature. Nanocomposite was prepared in various concentrations(0.2, 0.4, 0.8, and 1 wt. %) using probe ultra-sonication, followed by spin coating and annealing at 120 °C for 2 h to improve the formation of β-phase. Metal-ferroelectric-metal capacitor was fabricated using this optimized thin film as a ferroelectric layer. Device level optimization was carried out by polarization-electric field (P-E) hysteresis studies of this film, which shows polarization enhancement of composite. Various characterization techniques like atomic force microscopy, Fourier transform infra-red spectroscopy (FT-IR), Differential scanning calorimetry, and X-ray diffractionmore » were used to study the β-phase formation of nancomposite. The capacitance–voltage (C-V) and current-voltage (I-V) characteristics were studied through varying frequency and temperature. C-V measurements show an increase of 79% in the capacitance of polymer nanocomposite, which can be used for the fabrication of ferroelectric devices.« less
Na, Jae Won; Rim, You Seung; Kim, Hee Jun; Lee, Jin Hyeok; Hong, Seonghwan; Kim, Hyun Jae
2017-09-06
Solution-processed amorphous metal-oxide thin-film transistors (TFTs) utilizing an intermixed interface between a metal-oxide semiconductor and a dielectric layer are proposed. In-depth physical characterizations are carried out to verify the existence of the intermixed interface that is inevitably formed by interdiffusion of cations originated from a thermal process. In particular, when indium zinc oxide (IZO) semiconductor and silicon dioxide (SiO 2 ) dielectric layer are in contact and thermally processed, a Si 4+ intermixed IZO (Si/IZO) interface is created. On the basis of this concept, a high-performance Si/IZO TFT having both a field-effect mobility exceeding 10 cm 2 V -1 s -1 and a on/off current ratio over 10 7 is successfully demonstrated.
Processing of Copper Zinc Tin Sulfide Nanocrystal Dispersions for Thin Film Solar Cells
NASA Astrophysics Data System (ADS)
Williams, Bryce Arthur
A scalable and inexpensive renewable energy source is needed to meet the expected increase in electricity demand throughout the developed and developing world in the next 15 years without contributing further to global warming through CO2 emissions. Photovoltaics may meet this need but current technologies are less than ideal requiring complex manufacturing processes and/or use of toxic, rare-earth materials. Copper zinc tin sulfide (Cu 2ZnSnS4, CZTS) solar cells offer a true "green" alternative based upon non-toxic and abundant elements. Solution-based processes utilizing CZTS nanocrystal dispersions followed by high temperature annealing have received significant research attention due to their compatibility with traditional roll-to-roll coating processes. In this work, CZTS nanocrystal (5-35 nm diameters) dispersions were utilized as a production pathway to form solar absorber layers. Aerosol-based coating methods (aerosol jet printing and ultrasonic spray coating) were optimized for formation of dense, crack-free CZTS nanocrystal coatings. The primary variables underlying determination of coating morphology within the aerosol-coating parameter space were investigated. It was found that the liquid content of the aerosol droplets at the time of substrate impingement play a critical role. Evaporation of the liquid from the aerosol droplets during coating was altered through changes to coating parameters as well as to the CZTS nanocrystal dispersions. In addition, factors influencing conversion of CZTS nanocrystal coatings into dense, large-grained polycrystalline films suitable for solar cell development during thermal annealing were studied. The roles nanocrystal size, carbon content, sodium uptake, and sulfur pressure were found to have pivotal roles in film microstructure evolution. The effects of these parameters on film morphology, grain growth rates, and chemical makeup were analyzed from electron microscopy images as well as compositional analysis
Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell
NASA Astrophysics Data System (ADS)
Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan
2018-02-01
The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.
Bismuth pyrochlore-based thin films for dielectric energy storage
NASA Astrophysics Data System (ADS)
Michael, Elizabeth K.
The drive towards the miniaturization of electronic devices has created a need for dielectric materials with large energy storage densities. These materials, which are used in capacitors, are a critical component in many electrical systems. Here, the development of dielectric energy storage materials for pulsed power applications, which require materials with the ability to accumulate a large amount of energy and then deliver it to the system rapidly, is explored. The amount of electrostatic energy that can be stored by a material is a function of the induced polarization and the dielectric breakdown strength of the material. An ideal energy storage dielectric would possess a high relative permittivity, high dielectric breakdown strength, and low loss tangent under high applied electric fields. The bismuth pyrochlores are a compositionally tunable family of materials that meet these requirements. Thin films of cubic pyrochlore bismuth zinc niobate, bismuth zinc tantalate, and bismuth zinc niobate tantalate, were fabricated using a novel solution chemistry based upon the Pechini method. This solution preparation is advantageous because it avoids the use of teratogenic solvents, such as 2-methoxyethanol. Crystalline films fabricated using this solution chemistry had very small grains that were approximately 27 nm in lateral size and 35 nm through the film thickness. Impedance measurements found that the resistivity of the grain boundaries was two orders of magnitude higher than the resistivity of the grain interior. The presence of many resistive grain boundaries impeded conduction through the films, resulting in high breakdown strengths for these materials. In addition to high breakdown strengths, this family of materials exhibited moderate relative permittivities of between 55 +/- 2 and 145 +/- 5, for bismuth zinc tantalate and bismuth zinc niobate, respectively, and low loss tangents on the order of 0.0008 +/- 0.0001. Increases in the concentration of the tantalum
Rapid detection of cancer related DNA nanoparticulate biomarkers and nanoparticles in whole blood
NASA Astrophysics Data System (ADS)
Heller, Michael J.; Krishnan, Raj; Sonnenberg, Avery
2010-08-01
The ability to rapidly detect cell free circulating (cfc) DNA, cfc-RNA, exosomes and other nanoparticulate disease biomarkers as well as drug delivery nanoparticles directly in blood is a major challenge for nanomedicine. We now show that microarray and new high voltage dielectrophoretic (DEP) devices can be used to rapidly isolate and detect cfc-DNA nanoparticulates and nanoparticles directly from whole blood and other high conductance samples (plasma, serum, urine, etc.). At DEP frequencies of 5kHz-10kHz both fluorescent-stained high molecular weight (hmw) DNA, cfc-DNA and fluorescent nanoparticles separate from the blood and become highly concentrated at specific DEP highfield regions over the microelectrodes, while blood cells move to the DEP low field-regions. The blood cells can then be removed by a simple fluidic wash while the DNA and nanoparticles remain highly concentrated. The hmw-DNA could be detected at a level of <260ng/ml and the nanoparticles at <9.5 x 109 particles/ml, detection levels that are well within the range for viable clinical diagnostics and drug nanoparticle monitoring. Disease specific cfc-DNA materials could also be detected directly in blood from patients with Chronic Lymphocytic Leukemia (CLL) and confirmed by PCR genotyping analysis.
Yan, Jing-Jun; Liao, Jia-Zhi; Lin, Ju-Sheng; He, Xing-Xing
2015-01-01
Patients with hepatocellular carcinoma (HCC) usually present at advanced stages and do not benefit from surgical resection, so drug therapy should deserve a prominent place in unresectable HCC treatment. But chemotherapy agents, such as doxorubicin, cisplatin, and paclitaxel, frequently encounter important problems such as low specificity and non-selective biodistribution. Recently, the development of nanotechnology led to significant breakthroughs to overcome these problems. Decorating the surfaces of nanoparticulate-based drug carriers with homing devices has demonstrated its potential in concentrating chemotherapy agents specifically to HCC cells. In this paper, we reviewed the current status of active targeting strategies for nanoparticulate systems based on various receptors such as asialoglycoprotein receptor, transferrin receptor, epidermal growth factor receptor, folate receptor, integrin, and CD44, which are abundantly expressed on the surfaces of hepatocytes or liver cancer cells. Furthermore, we pointed out their merits and defects and provided theoretical references for further research.
Medishetty, Raghavender; Zhang, Zongji; Sadlo, Alexander; Cwik, Stefan; Peeters, Daniel; Henke, Sebastian; Mangayarkarasi, Nagarathinam; Devi, Anjana
2018-05-17
Fabrication of three-dimensional metal-organic framework (MOF) thin films has been investigated for the first time through the conversion of a ZnO layer via a pure vapour-solid deposition reaction at ambient pressure. The fabrication of MOF thin films with a dicarboxylate linker, (DMA)2[Zn3(bdc)4] (1) (bdc = 1,4-benzenedicarboxylate), and a carboxy-pyrazolate linker, [Zn4O(dmcapz)6] (2) (dmcapz = 3,5-dimethyl-4-carboxypyrazole), involves the deposition of the linker and/or the preparation of a composite film preliminarily and its subsequent conversion into a MOF film using closed cell thermal treatment. Furthermore, it was possible to isolate thin films with a MOF-5 isotype structure grown along the [110] direction, using a carboxy-pyrazolate linker. This was achieved just by the direct reaction of the ZnO film and the organic linker vapors, employing a simple route that demonstrates the feasibility of MOF thin film fabrication using inexpensive routes at ambient pressure.
NASA Astrophysics Data System (ADS)
Mohammed, Ali A. A.; Suriani, AB; Jabur, Akram R.
2018-05-01
Zinc oxide nanorods (ZnO NRs) / reduced graphene oxide (rGO) nanocomposites assisted by sodium dodecyl sulfate surfactant (ZnO NRs/rGO-SDS) showed a good response for UV sensor application that has sensitivity of around ∼32.54. Whereas, the UV sensor response on pristine ZnO NRs showed almost 15 times lower response than the ZnO NRs/rGO-SDS nanocomposites. The pristine ZnO NRs were prepared by sol-gel immersion method before rGO solution was sprayed on the ZnO films using spraying method. The GO solution was produced via electrochemical exfoliation method at 0.1 M SDS electrolyte then the solution was reduced using hydrazine hydrate under 24 hours magnetic stirring at a temperature of around ∼100 °C. The samples were characterized using energy dispersive X-ray, field emission scanning electron microscope, micro-Raman, ultraviolet visible, X-ray diffraction, UV lamp and four-point probe measurement. The aim of this study was to improve the UV sensor response based on ZnO/rGO-SDS nanocomposites. In conclusion, the fabricated ZnO NRs/rGO-SDS nanocomposites assisted with SDS is a good candidate for the use in UV sensor applications as compared to pristine ZnO NRs films.
Quantifying charge carrier concentration in ZnO thin films by Scanning Kelvin Probe Microscopy
Maragliano, C.; Lilliu, S.; Dahlem, M. S.; Chiesa, M.; Souier, T.; Stefancich, M.
2014-01-01
In the last years there has been a renewed interest for zinc oxide semiconductor, mainly triggered by its prospects in optoelectronic applications. In particular, zinc oxide thin films are being widely used for photovoltaic applications, in which the determination of the electrical conductivity is of great importance. Being an intrinsically doped material, the quantification of its doping concentration has always been challenging. Here we show how to probe the charge carrier density of zinc oxide thin films by Scanning Kelvin Probe Microscopy, a technique that allows measuring the contact potential difference between the tip and the sample surface with high spatial resolution. A simple electronic energy model is used for correlating the contact potential difference with the doping concentration in the material. Limitations of this technique are discussed in details and some experimental solutions are proposed. Two-dimensional doping concentration images acquired on radio frequency-sputtered intrinsic zinc oxide thin films with different thickness and deposited under different conditions are reported. We show that results inferred with this technique are in accordance with carrier concentration expected for zinc oxide thin films deposited under different conditions and obtained from resistivity and mobility measurements. PMID:24569599
NASA Astrophysics Data System (ADS)
Dintle, Lawrence K.; Luhanga, Pearson V. C.; Moditswe, Charles; Muiva, Cosmas M.
2018-05-01
The structural and optoelectronic properties of undoped and indium doped zinc oxide (IZO) thin films grown on glass substrates through a simple reproducible custom-made pneumatic chemical spray pyrolysis technique are presented. X-ray diffraction (XRD) results showed a polycrystalline structure of hexagonal wurtzite phase growing preferentially along the (002) plane for the undoped sample. Increase in dopant content modified the orientation leading to more pronounced (100) and (101) reflections. Optical transmission spectra showed high transmittance of 80-90% in the visible range for all thin films. The optical band gap energy (Eg) was evaluated on the basis of the derivative of transmittance (dT/dλ) versus wavelength (λ) model and Tauc's extrapolation method in the region where the absorption coefficient, α ≥ 104 cm-1. The observed values of Eg were found to decrease generally with increasing In dopant concentration. From the figure of merit calculations a sample with 4 at.% In dopant concentration showed better optoelectronic properties.
Zinc oxide doped graphene oxide films for gas sensing applications
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chetna,, E-mail: chetna2288@gmail.com; Kumar, Shani; Chaudhary, S.
Graphene Oxide (GO) is analogous to graphene, but presence of many functional groups makes its physical and chemical properties essentially different from those of graphene. GO is found to be a promising material for low cost fabrication of highly versatile and environment friendly gas sensors. Selectivity, reversibility and sensitivity of GO based gas sensor have been improved by hybridization with Zinc Oxide nanoparticles. The device is fabricated by spin coating of deionized water dispersed GO flakes (synthesized using traditional hummer’s method) doped with Zinc Oxide on standard glass substrate. Since GO is an insulator and functional groups on GO nanosheetsmore » play vital role in adsorbing gas molecules, it is being used as an adsorber. Additionally, on being exposed to certain gases the electric and optical characteristics of GO material exhibit an alteration in behavior. For the conductivity, we use Zinc Oxide, as it displays a high sensitivity towards conduction. The effects of the compositions, structural defects and morphologies of graphene based sensing layers and the configurations of sensing devices on the performances of gas sensors were investigated by Raman Spectroscopy, X-ray diffraction(XRD) and Keithley Sourcemeter.« less
Protective Coats For Zinc-Rich Primers
NASA Technical Reports Server (NTRS)
Macdowell, Louis G, III
1993-01-01
Report describes tests of topcoats for inorganic zinc-rich primers on carbon steel. Topcoats intended to provide additional protection against corrosion in acidic, salty seacoast-air/rocket-engine-exhaust environment of Space Shuttle launch site. Tests focused on polyurethane topcoats on epoxy tie coats on primers. Part of study involved comparison between "high-build" coating materials and thin-film coating materials.
Curtis, Calvin J; Miedaner, Alexander; Van Hest, Maikel; Ginley, David S
2014-11-04
Liquid-based precursors for formation of Copper Selenide, Indium Selenide, Copper Indium Diselenide, and/or copper Indium Galium Diselenide include copper-organoselenides, particulate copper selenide suspensions, copper selenide ethylene diamine in liquid solvent, nanoparticulate indium selenide suspensions, and indium selenide ethylene diamine coordination compounds in solvent. These liquid-based precursors can be deposited in liquid form onto substrates and treated by rapid thermal processing to form crystalline copper selenide and indium selenide films.
NASA Astrophysics Data System (ADS)
Huang, Genmao; Duan, Lian; Zhao, Yunlong; Zhang, Yunge; Dong, Guifang; Zhang, Deqiang; Qiu, Yong
2016-11-01
Thin-film transistors (TFTs) with high mobility and good uniformity are attractive for next-generation flat panel displays. In this work, solution-processed polycrystalline zinc tin oxide (ZTO) thin film with well-ordered microstructure is prepared, thanks to the synergistic effect of water addition and step heating. The step heating treatment other than direct annealing induces crystallization, while adequate water added to precursor solution further facilitates alloying and densification process. The optimal polycrystalline ZTO film is free of hierarchical sublayers, and featured with an increased amount of ternary phases, as well as a decreased fraction of oxygen vacancies and hydroxides. TFT devices based on such an active layer exhibit a remarkable field-effect mobility of 52.5 cm2 V-1 s-1, a current on/off ratio of 2 × 105, a threshold voltage of 2.32 V, and a subthreshold swing of 0.36 V dec-1. Our work offers a facile method towards high-performance solution-processed polycrystalline metal oxide TFTs.
Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C
2016-01-27
We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).
NASA Astrophysics Data System (ADS)
Bahtiar, Ayi; Nurazizah, Euis Siti; Latiffah, Efa; Risdiana, Furukawa, Yukio
2018-02-01
Perovskite solar cells highly believed as next generation solar cells to replace currently available inorganic silicon solar cells due to their high power conversion efficiency and easy processing to thin films using solution processing techniques. Performance and stability, however still need to be improved for mass production and widely used for public electricity generation. Perovskite solar cells are commonly deposited on Titanium Dioxide (TiO2) film as an effective electron transport layer (ETL). We used Zinc Oxide nanoparticles (ZnO-NPs) as ETL in perovskite solar cells due to the low temperature required for crystallization and can be formed into different shapes of nanostructures. However, perovskite film can easily degrade into insulating lead iodide due to deprotonation of the methylammoniumcation at the surface of ZnO-NPs, in particular when it stored in ambient air with high relative humidity. The degradation of perovskite layer is therefore needed to be overcome. Here, we capped ZnO-NPs with reduced graphene oxide (rGO) to overcome the degradation of perovskite film where ZnO-NPs is synthesized by sol-gel method. The average nanoparticle size of ZnO is 15 nm. ZnO-NPs and ZnO-NPs-rGO films are prepared using electrophoretic deposition technique, which can produce large area with good homogeneity and high reproducibility. The stability of perovskite layer can significantly be improved by capping ZnO with rGO, which is indicated by absence of color change of perovskite after storage for 5 (five) days in ambient air with relative humidity above 95%. Moreover, the X-Ray Diffaction peaks of perovskite film are more preserved when deposited on ZnO/rGO film than using only ZnO film. We strongly believe, by capping ZnO film with rGO, both the performance and stability of perovskite solar cells can be improved significantly.
Tribological performance of Zinc soft metal coatings in solid lubrication
NASA Astrophysics Data System (ADS)
Regalla, Srinivasa Prakash; Krishnan Anirudh, V.; Reddy Narala, Suresh Kumar
2018-04-01
Solid lubrication by soft coatings is an important technique for superior tribological performance in machine contacts involving high pressures. Coating with soft materials ensures that the subsurface machine component wear decreases, ensuring longer life. Several soft metal coatings have been studied but zinc coatings have not been studied much. This paper essentially deals with the soft coating by zinc through electroplating on hard surfaces, which are subsequently tested in sliding experiments for tribological performance. The hardness and film thickness values have been found out, the coefficient of friction of the zinc coating has been tested using a pin on disc wear testing machine and the results of the same have been presented.
NASA Astrophysics Data System (ADS)
Lee, Seungwoon; Jeong, Jaewook
2017-08-01
In this paper, the annealing effect of solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs), under ambient He (He-device), is systematically analyzed by comparison with those under ambient O2 (O2-device) and N2 (N2-device), respectively. The He-device shows high field-effect mobility and low subthreshold slope owing to the minimization of the ambient effect. The degradation of the O2- and N2-device performances originate from their respective deep acceptor-like and shallow donor-like characteristics, which can be verified by comparison with the He-device. However, the three devices show similar threshold voltage instability under prolonged positive bias stress due to the effect of excess oxygen. Therefore, annealing in ambient He is the most suitable method for the fabrication of reference TFTs to study the various effects of the ambient during the annealing process in solution-processed a-IGZO TFTs.
NASA Astrophysics Data System (ADS)
Tekale, S. U.; Tekale, A. B.; Kanhe, N. S.; Bhoraskar, S. V.; Pawar, R. P.
2011-12-01
Nano-particulate aluminium nitride/Al (7:1) is reported as a new heterogeneous solid acid catalyst for the synthesis of 3, 4-dihydroxypyrimidi-2-(1H)-ones and their sulphur analogues using the Biginelli reaction. This method involves short reaction time, easy separation, high yields and purity of products.
Sol-gel zinc oxide humidity sensors integrated with a ring oscillator circuit on-a-chip.
Yang, Ming-Zhi; Dai, Ching-Liang; Wu, Chyan-Chyi
2014-10-28
The study develops an integrated humidity microsensor fabricated using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The integrated humidity sensor consists of a humidity sensor and a ring oscillator circuit on-a-chip. The humidity sensor is composed of a sensitive film and branch interdigitated electrodes. The sensitive film is zinc oxide prepared by sol-gel method. After completion of the CMOS process, the sensor requires a post-process to remove the sacrificial oxide layer and to coat the zinc oxide film on the interdigitated electrodes. The capacitance of the sensor changes when the sensitive film adsorbs water vapor. The circuit is used to convert the capacitance of the humidity sensor into the oscillation frequency output. Experimental results show that the output frequency of the sensor changes from 84.3 to 73.4 MHz at 30 °C as the humidity increases 40 to 90%RH.
Spectral and angular-selective thermal emission from gallium-doped zinc oxide thin film structures
NASA Astrophysics Data System (ADS)
Sakr, Enas; Bermel, Peter
2017-02-01
Simultaneously controlling both the spectral and angular emission of thermal photons can qualitatively change the nature of thermal radiation, and offers a great potential to improve a broad range of applications, including infrared light sources and thermophotovoltaic (TPV) conversion of waste heat to electricity. For TPV in particular, frequency-selective emission is necessary for spectral matching with a photovoltaic converter, while directional emission is needed to maximize the fraction of emission reaching the receiver at large separation distances. This can allow the photovoltaics to be moved outside vacuum encapsulation. In this work, we demonstrate both directionally and spectrally-selective thermal emission for p-polarization, using a combination of an epsilon-near-zero (ENZ) thin film backed by a metal reflector, a high contrast grating, and an omnidirectional mirror. Gallium-doped zinc oxide is selected as an ENZ material, with cross-over frequency in the near-infrared. The proposed structure relies on coupling guided modes (instead of plasmonic modes) to the ENZ thin film using the high contrast grating. The angular width is thus controlled by the choice of grating period. Other off-directional modes are then filtered out using the omnidirectional mirror, thus enhancing frequency selectivity. Our emitter design maintains both a high view factor and high frequency selectivity, leading to a factor of 8.85 enhancement over a typical blackbody emitter, through a combination of a 22.26% increase in view factor and a 6.88x enhancement in frequency selectivity. This calculation assumes a PV converter five widths away from the same width emitter in 2D at 1573 K.
NASA Astrophysics Data System (ADS)
Vieira, Sara M. C.; Hsieh, Gen-Wen; Unalan, Husnu E.; Dag, Sefa; Amaratunga, Gehan A. J.; Milne, William I.
2011-03-01
The ambient stability of thin-film transistors (TFTs) based on zinc oxide (ZnO) nanowires embedded in poly(3,3‴-dialkyl-quarterthiophene) was monitored through time dependence of electrical characteristics over a period of 16 months. The hybrid-based TFT showed an initial hole mobility in the linear regime of 4.2×10-4 cm2/V s. After 16 months storage in ambient conditions (exposed to air, moisture, and light) the mobility decreased to 2.3×10-5 cm2/V s. Comparatively the organic-based TFT lost total carrier mobility after one month storage making the hybrid-based TFTs more suitable for transistor applications when improved stability combined with structural flexibility are required.
Neuropathic Pain and Lung Delivery of Nanoparticulate Drugs: An Emerging Novel Therapeutic Strategy.
Islam, Nazrul; Abbas, Muzaffar; Rahman, Shafiqur
2017-01-01
Neuropathic pain is a chronic neurological disorder affecting millions of people around the world. The currently available pharmacologic agents for the treatment of neuropathic pain have limited efficacy and are associated with dose related unwanted adverse effects. Due to the limited access of drug molecules across blood-brain barrier, a small percentage of drug that is administered systematically, reaches the central nervous system in active form. These therapeutic agents also require daily treatment regimen that is inconvenient and potentially impact patient compliance. Application of nanoparticulate drugs for enhanced delivery system has been explored extensively in the last decades. Pulmonary delivery of nanomedicines for the management of various diseases has become an emerging treatment strategy that ensures the targeted delivery of drugs both for systemic and local effects with low dose and limited adverse effects. To the best of our knowledge, there are no inhaled drug products available on market for the treatment of neuropathic pain. The advantages of delivering therapeutics into deep lungs include non-invasive drug delivery, higher bioavailability with low dose, lower systemic toxicity, and potentially greater blood-brain barrier penetration. This review discusses and highlights the important issues on the application of emerging nanoparticulate lung delivery of drugs for the effective treatment of neuropathic pain. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.
Synthesis And Characterization of Copper Zinc Tin Sulfide Nanoparticles And Thin Films
NASA Astrophysics Data System (ADS)
Khare, Ankur
Copper zinc tin sulfide (Cu2ZnSnS4, or CZTS) is emerging as an alternative material to the present thin film solar cell technologies such as Cu(In,Ga)Se2 and CdTe. All the elements in CZTS are abundant, environmentally benign, and inexpensive. In addition, CZTS has a band gap of ˜1.5 eV, the ideal value for converting the maximum amount of energy from the solar spectrum into electricity. CZTS has a high absorption coefficient (>104 cm-1 in the visible region of the electromagnetic spectrum) and only a few micron thick layer of CZTS can absorb all the photons with energies above its band gap. CZT(S,Se) solar cells have already reached power conversion efficiencies >10%. One of the ways to improve upon the CZTS power conversion efficiency is by using CZTS quantum dots as the photoactive material, which can potentially achieve efficiencies greater than the present thin film technologies at a fraction of the cost. However, two requirements for quantum-dot solar cells have yet to be demonstrated. First, no report has shown quantum confinement in CZTS nanocrystals. Second, the syntheses to date have not provided a range of nanocrystal sizes, which is necessary not only for fundamental studies but also for multijunction photovoltaic architectures. We resolved these two issues by demonstrating a simple synthesis of CZTS, Cu2SnS3, and alloyed (Cu2SnS3) x(ZnS)y nanocrystals with diameters ranging from 2 to 7 nm from diethyldithiocarbamate complexes. As-synthesized nanocrystals were characterized using high resolution transmission electron microscopy, X-ray diffraction, Raman spectroscopy, and energy dispersive spectroscopy to confirm their phase purity. Nanocrystals of diameter less than 5 nm were found to exhibit a shift in their optical absorption spectra towards higher energy consistent with quantum confinement and previous theoretical predictions. Thin films from CZTS nanocrystals deposited on Mo-coated quartz substrates using drop casting were found to be continuous
Park, Soyeon; Bang, Seokhwan; Lee, Seungjun; Park, Joohyun; Ko, Youngbin; Jeon, Hyeongtag
2011-07-01
In this study, the effects of different annealing conditions (air, O2, N2, vacuum) on the chemical and electrical characteristics of amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFT) were investigated. The contact resistance and interface properties between the IGZO film and the gate dielectric improved after an annealing treatment. However, the chemical bonds in the IGZO bulk changed under various annealing atmospheres, which, in turn, altered the characteristics of the TFTs. The TFTs annealed in vacuum and N2 ambients exhibited undesired switching properties due to the high carrier concentration (>10(17) cm(-3)) of the IGZO active layer. In contrast, the IGZO TFTs annealed in air and oxygen ambients displayed clear transfer characteristics due to an adequately adjusted carrier concentration in the operating range of the TFT. Such an optimal carrier concentration arose through the stabilization of unstable chemical bonds in the IGZO film. With regard to device performance, the TFTs annealed in O2 and air exhibited saturation mobility values of 8.29 and 7.54 cm2/Vs, on-off ratios of 7.34 x 10(8) and 3.95 x 10(8), and subthreshold swing (SS) values of 0.23 and 0.19 V/decade, respectively. Therefore, proper annealing ambients contributed to internal modifications in the IGZO structure and led to an enhancement in the oxidation state of the metal. As a result, defects such as oxygen vacancies were eliminated. Oxygen annealing is thus effective for controlling the carrier concentration of the active layer, decreasing electron traps, and enhancing TFT performance.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.
2014-01-20
A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying amore » voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.« less
NASA Astrophysics Data System (ADS)
Darwish, Abdalla M.; Burkett, Allan; Blackwell, Ashley; Taylor, Keylantra; Walker, Vernell; Sarkisov, Sergey; Koplitz, Brent
2014-09-01
We report on fabrication and investigation of optical and morphological properties of highly efficient (a quantum yield of 1%) upconversion polymer-inorganic nanocomposite thin film emitters prepared by the new technique of double beam matrix assisted pulsed laser evaporation (DB-MAPLE). Polymer poly(methyl methacrylate) (PMMA) host was evaporated on a silicon substrate using a 1064-nm pulsed laser beam using a target made of frozen (to the temperature of liquid nitrogen) solution of PMMA in chlorobenzene. Concurrently, the second 532-nm pulsed beam from the same laser was used to impregnate the polymer host with the inorganic nanoparticulate made of the rare earth upconversion compounds NaYF4: Yb3+, Er3+, NaYF4: Yb3+, Ho3+, and NaYF4: Yb3+, Tm3+. The compounds were initially synthesized using the wet process, baked, and compressed in solid pellet targets. The proposed DB-MAPLE method has the advantage of making highly homogeneous nanocomposite films with precise control of the doping rate due to the optimized overlapping of the plumes produced by the ablation of the organic and inorganic target with the infrared and visible laser beams respectively. X-ray diffraction, electron and atomic force microscopy, and optical fluorescence spectroscopy indicated that the inorganic nanoparticulate preserved its crystalline structure and upconversion properties (strong emission in green, red, and blue bands upon illumination with 980-nm laser diode) after being transferred from the target in the polymer nanocomposite film. The produced films can be used in applications varying from the efficiency enhancement of the photovoltaic cells, optical sensors and biomarkers to anti-counterfeit labels.
Cadmium-free junction fabrication process for CuInSe.sub.2 thin film solar cells
Ramanathan, Kannan V.; Contreras, Miguel A.; Bhattacharya, Raghu N.; Keane, James; Noufi, Rommel
1999-01-01
The present invention provides an economical, simple, dry and controllable semiconductor layer junction forming process to make cadmium free high efficiency photovoltaic cells having a first layer comprised primarily of copper indium diselenide having a thin doped copper indium diselenide n-type region, generated by thermal diffusion with a group II(b) element such as zinc, and a halide, such as chlorine, and a second layer comprised of a conventional zinc oxide bilayer. A photovoltaic device according the present invention includes a first thin film layer of semiconductor material formed primarily from copper indium diselenide. Doping of the copper indium diselenide with zinc chloride is accomplished using either a zinc chloride solution or a solid zinc chloride material. Thermal diffusion of zinc chloride into the copper indium diselenide upper region creates the thin n-type copper indium diselenide surface. A second thin film layer of semiconductor material comprising zinc oxide is then applied in two layers. The first layer comprises a thin layer of high resistivity zinc oxide. The second relatively thick layer of zinc oxide is doped to exhibit low resistivity.
Sol-Gel Zinc Oxide Humidity Sensors Integrated with a Ring Oscillator Circuit On-a-Chip
Yang, Ming-Zhi; Dai, Ching-Liang; Wu, Chyan-Chyi
2014-01-01
The study develops an integrated humidity microsensor fabricated using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The integrated humidity sensor consists of a humidity sensor and a ring oscillator circuit on-a-chip. The humidity sensor is composed of a sensitive film and branch interdigitated electrodes. The sensitive film is zinc oxide prepared by sol-gel method. After completion of the CMOS process, the sensor requires a post-process to remove the sacrificial oxide layer and to coat the zinc oxide film on the interdigitated electrodes. The capacitance of the sensor changes when the sensitive film adsorbs water vapor. The circuit is used to convert the capacitance of the humidity sensor into the oscillation frequency output. Experimental results show that the output frequency of the sensor changes from 84.3 to 73.4 MHz at 30 °C as the humidity increases 40 to 90 %RH. PMID:25353984
Rtimi, Sami; Sanjines, Rosendo; Pulgarin, Cesar; Kiwi, John
2016-01-13
The first evidence for Cu-Ag (50%/50%) nanoparticulate hybrid coatings is presented leading to a complete and almost instantaneous bacterial inactivation in the dark (≤5 min). Dark bacterial inactivation times on Cu-Ag (50%/50%) were observed to coincide with the times required by actinic light irradiation. This provides the evidence that the bimetal Cu-Ag driven inactivation predominates over a CuO/Cu2O and Ag2O oxides inducing a semiconductor driven behavior. Cu- or Ag-coated polyurethane (PU) catheters led to bacterial inactivation needing about ∼30 min. The accelerated bacterial inactivation by Cu-Ag coated on 3D catheters sputtered was investigated in a detailed way. The release of Cu/Ag ions during bacterial inactivation was followed by inductively coupled plasma mass-spectrometry (ICP-MS) and the amount of Cu and Ag-ions released were below the cytotoxicity levels permitted by the sanitary regulations. By stereomicroscopy the amount of live/dead cells were followed during the bacterial inactivation time. By Fourier transform infrared spectroscopy (FTIR), the systematic shift of the -(CH2) band stretching of the outer lipo-polysaccharide bilayer (LPS) was followed to monitor the changes leading to cell lysis. A hydrophobic to hydrophilic transformation of the Cu-Ag PU catheter surface under light was observed within 30 min followed concomitantly to a longer back transformation to the hydrophobic initial state in the dark. Physical insight is provided for the superior performance of Cu-Ag films compared to Cu or Ag films in view of the drastic acceleration of the bacterial inactivation observed on bimetal Cu-Ag films coating PU catheters. A mechanism of bacterial inactivation is suggested that is consistent with the findings reported in this study.
Wang, Jian-Xun; Hyung, Gun Woo; Li, Zhao-Hui; Son, Sung-Yong; Kwon, Sang Jik; Kim, Young Kwan; Cho, Eou Sik
2012-07-01
In this research, we reported on the fabrication of top-contact amorphous-indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) with an organic buffer layer between inorganic gate dielectric and active layer in order to improve the electrical properties of devices. By inserting an organic buffer layer, it was possible to make an affirmation of the improvements in the electrical characteristics of a-IGZO TFTs such as subthreshold slope (SS), on/off current ratio (I(ON/OFF)), off-state current, and saturation field-effect mobility (muFE). The a-IGZO TFTs with the cross-linked polyvinyl alcohol (c-PVA) buffer layer exhibited the pronounced improvements of the muFE (17.4 cm2/Vs), SS (0.9 V/decade), and I(ON/OFF) (8.9 x 10(6)).
NASA Astrophysics Data System (ADS)
Han, Dong-Suk; Moon, Yeon-Keon; Lee, Sih; Kim, Kyung-Taek; Moon, Dae-Yong; Lee, Sang-Ho; Kim, Woong-Sun; Park, Jong-Wan
2012-09-01
In this study, we fabricated phosphorus-doped zinc oxide-based thin-film transistors (TFTs) using direct current (DC) magnetron sputtering at a relatively low temperature of 100°C. To improve the TFT device performance, including field-effect mobility and bias stress stability, phosphorus dopants were employed to suppress the generation of intrinsic defects in the ZnO-based semiconductor. The positive and negative bias stress stabilities were dramatically improved by introducing the phosphorus dopants, which could prevent turn-on voltage ( V ON) shift in the TFTs caused by charge trapping within the active channel layer. The study showed that phosphorus doping in ZnO was an effective method to control the electrical properties of the active channel layers and improve the bias stress stability of oxide-based TFTs.
Cubic zirconia as a species permeable coating for zinc diffusion in gallium arsenide
NASA Astrophysics Data System (ADS)
Bisberg, J. E.; Dabkowski, F. P.; Chin, A. K.
1988-10-01
Diffusion of zinc into GaAs through an yttria-stabilized cubic zirconia (YSZ) passivation layer has been demonstrated with an open-tube diffusion method. Pure zinc or GaAs/Zn2As3 sources produced high quality planar p-n junctions. The YSZ layer protects the GaAs surface from excessive loss of arsenic, yet is permeable to zinc, allowing its diffusion into the semiconductor. The YSZ films, deposited by electron beam evaporation, were typically 2000 Å thick. Zinc diffusion coefficients (DT) at 650 °C in the YSZ passivated GaAs ranged from 3.6×10-10 cm2/min for the GaAs/Zn2As3 source to 1.9×10-9 cm2/min for the pure zinc source. Doping concentrations for both YSZ passivated and uncapped samples were approximately 5×1019 cm-3.
Joseph, Emil; Reddi, Satish; Rinwa, Vibhu; Balwani, Garima; Saha, Ranendra
2017-06-15
The present paper discusses the design, characterization and in vivo evaluation of glyceryl monostearate nanoparticles of Olanzapine, an atypical antipsychotic drug for acute schizophrenia treatment, during which hospitalization is mandatory and adverse effects are at its peak. The solid lipid nanoparticulate system was obtained by emulsification-ultra sonication technique wherein three factors such as solid lipid content, concentration of surfactant and drug: solid lipid ratio were selected at three different levels in order to study their influence on significant characteristic responses such as particle size, encapsulation efficiency and drug content. A Box Behnken design with 17 runs involving whole factors at three levels was employed for the study. The optimized formulation was further coated with Polysorbate 80 in order to enhance its brain targeting potential through endocytosis transport process via blood brain barrier. The designed formulations were pre-clinically tested successfully in Wistar rat model for in vivo antipsychotic efficacy (apomorphine induced psychosis) and adverse effects (weight gain study for 28days). The results obtained indicated that solid lipid nanoparticles had very narrow size distribution (151.29±3.36nm) with very high encapsulation efficiency (74.51±1.75%). Morphological studies by SEM have shown that solid lipid nanoparticles were spherical in shape with smooth surface. Olanzapine-loaded nanoparticles prepared from solid lipid, extended the release of drug for 48h, as found by the in vitro release studies. The formulations also exhibited high redispersibility after freeze-drying and stability study results demonstrated good stability, with no significant change for a period of 6months. In vivo evaluation and adverse effects studies of Olanzapine-loaded nanoparticulate systems in animal model have demonstrated an improved therapeutic efficacy than pure Olanzapine. The antipsychotic effect of drug loaded nanoparticulate systems
A TEM analysis of nanoparticulates in a Polar ice core
DOE Office of Scientific and Technical Information (OSTI.GOV)
Esquivel, E.V.; Murr, L.E
2004-03-15
This paper explores the prospect for analyzing nanoparticulates in age-dated ice cores representing times in antiquity to establish a historical reference for atmospheric particulate regimes. Analytical transmission electron microscope (TEM) techniques were utilized to observe representative ice-melt water drops dried down on carbon/formvar or similar coated grids. A 10,000-year-old Greenland ice core was melted, and representative water drops were transferred to coated grids in a clean room environment. Essentially, all particulates observed were aggregates and either crystalline or complex mixtures of nanocrystals. Especially notable was the observation of carbon nanotubes and related fullerene-like nanocrystal forms. These observations are similar withmore » some aspects of contemporary airborne particulates including carbon nanotubes and complex nanocrystal aggregates.« less
An infrared and Raman spectroscopic study of natural zinc phosphates.
Frost, Ray L
2004-06-01
Zinc phosphates are important in the study of the phosphatisation of metals. Raman spectroscopy in combination with infrared spectroscopy has been used to characterise the zinc phosphate minerals. The minerals may be characterised by the patterns of the hydroxyl stretching vibrations in both the Raman and infrared spectra. Spencerite is characterised by a sharp Raman band at 3516 cm(-1) and tarbuttite by a single band at 3446 cm(-1). The patterns of the Raman spectra of the hydroxyl stretching region of hopeite and parahopeite are different in line with their differing crystal structures. The Raman spectrum of the PO4 stretching region shows better band separated peaks than the infrared spectra which consist of a complex set of overlapping bands. The position of the PO4 symmetric stretching mode can be used to identify the zinc phosphate mineral. It is apparent that Raman spectroscopy lends itself to the fundamental study of the evolution of zinc phosphate films.
Nanoparticulate mackinawite formation; a stopped and continuous flow XANES and EXAFS investigation
NASA Astrophysics Data System (ADS)
Butler, I. B.; Bell, A. M.; Charnock, J. M.; Rickard, D.; Vaughan, D. J.; Oldroyd, A.
2009-12-01
The sequestration of sulfur and iron within sedimentary iron sulfides, and ultimately as pyrite, is a major sink in global biogeochemical cycles of those elements and has impacts on global carbon and oxygen cycles. The formation of the metastable black iron (II) monosulfide mackinawite is a key process because mackinawite forms in aqueous solutions where the Fe(II) and S(-II) IAP exceeds mackinawite’s Ksp. Mackinawite is the first formed iron sulfide phase, a consequence of Ostwald’s step rule and is a reactant phase during the formation of thermodynamically stable sedimentary iron sulfide minerals such as pyrite. The reaction of dissolved Fe(II) and sulfide is extremely fast and reactions in the environmentally significant near-neutral pH range tend to completion in <1 second. We have combined stopped and continuous flow techniques with X-ray absorption spectroscopy to evaluate the products of the fast precipitation kinetics of mackinawite over millisecond timescales. EXAFS spectra and data collected during flow experiments were compared with those from a well characterised freeze-dried nanoparticulate mackinawite standard and with published data. Published work has used Rietveld crystal structure refinement to determine bond distances of 2.2558 and 2.5976Å for Fe-S and Fe-Fe respectively. In our experiments Fe K edge XANES is consistent with tetrahedrally coordinated Fe in the precipitated sulfide phase. EXAFS data show that local Fe-S and Fe-Fe coordination and interatomic distances (Fe-S = 2.24Å; Fe-Fe = 2.57Å) are consistent with those determined for the standard mackinawite and published data. The coordination and spacing are developed in the precipitated phase after <10ms reaction at pH5, and considerably faster in experiments at near neutral to alkaline pH. No evidence for phases structurally intermediate between hexaqua Fe(II) and precipitated mackinawite was observed. Aqueous FeS° cluster complexes previously identified as intermediates during
Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae
2017-11-24
We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.
NASA Astrophysics Data System (ADS)
Liu, Fangmei; Qian, Chuan; Sun, Jia; Liu, Peng; Huang, Yulan; Gao, Yongli; Yang, Junliang
2016-04-01
Lithium-doped zinc oxide (Li-ZnO) thin-film transistors (TFTs) were fabricated by solution process at the low temperatures ranged from 100 to 300 °C. Li-ZnO TFTs fabricated at 300 °C under nitrogen condition showed a mobility of 1.2 cm2/Vs. Most importantly, the mobility of Li-ZnO TFT devices fabricated at 100 °C could be increased significantly from 0.08 to 0.4 cm2/Vs by using double spin-coated and UV irradiation-treated Li-ZnO film, and the on-/off-current ratio is in the order of 106. Notably, the XPS analyses proved that the performance improvement was originated from the chemical composition or stoichiometry evolution, in which the hydroxide was converted into metal oxide and accelerated the formation of the oxygen vacancies. Furthermore, low-voltage operating Li-ZnO TFTs were demonstrated by using a high-capacitance ion gel gate dielectrics. The Li-ZnO TFTs with an operating voltage as low as 2 V exhibited the carrier mobilities of 2.1 and 0.65 cm2/Vs for the devices treated at 300 and 100 °C, respectively. The low-temperature, solution-processed Li-ZnO TFTs showed greatly potential applications in flexible displays, smart label, and sensors.
Mechanical Flexibility of Zinc Oxide Thin-Film Transistors Prepared by Transfer Printing Method
NASA Astrophysics Data System (ADS)
Eun, K. T.; Hwang, W. J.; Sharma, B. K.; Ahn, J. H.; Lee, Y. K.; Choa, S. H.
In the present study, we demonstrate the performance of Zinc oxide thin film transistors (ZnO TFTs) array subjected to the strain under high bending test and the reliability of TFTs was confirmed for the bending fatigue test of 2000 cycles. Initially, ZnO TFTs were fabricated on Si substrate and subsequently transferred on flexible PET substrate using transfer printing process. It was observed that when the bending radius reached ≥ 11 mm then cracks start to initiate first at SiO2 bridges, acting as interconnecting layers among individual TFT. Whatever the strain is applied to the devices, it is almost equivalently adopted by the SiO2 bridges, as they are relatively weak compared to rest of the part. The initial cracking of destructed SiO2 bridge leads to the secondary cracks to the ITO electrodes upon further increment of bending radius. Numerical simulation suggested that the strain of SiO2 layer reached to fracture level of 0.55% which was concentrated at the edge of SiO2 bridge layer. It also suggests that the round shape of SiO2 bridge can be more fruitful to compensate the stress concentration and to prevent failure of device.
NASA Astrophysics Data System (ADS)
Estrada, M.; Hernandez-Barrios, Y.; Cerdeira, A.; Ávila-Herrera, F.; Tinoco, J.; Moldovan, O.; Lime, F.; Iñiguez, B.
2017-09-01
A crystalline-like temperature dependence of the electrical characteristics of amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) thin film transistors (TFTs) is reported, in which the drain current reduces as the temperature is increased. This behavior appears for values of drain and gate voltages above which a change in the predominant conduction mechanism occurs. After studying the possible conduction mechanisms, it was determined that, for gate and drain voltages below these values, hopping is the predominant mechanism with the current increasing with temperature, while for values above, the predominant conduction mechanism becomes percolation in the conduction band or band conduction and IDS reduces as the temperature increases. It was determined that this behavior appears, when the effect of trapping is reduced, either by varying the density of states, their characteristic energy or both. Simulations were used to further confirm the causes of the observed behavior.
Zinc electrode and rechargeable zinc-air battery
Ross, Jr., Philip N.
1989-01-01
An improved zinc electrode is disclosed for a rechargeable zinc-air battery comprising an outer frame and a porous foam electrode support within the frame which is treated prior to the deposition of zinc thereon to inhibit the formation of zinc dendrites on the external surface thereof. The outer frame is provided with passageways for circulating an alkaline electrolyte through the treated zinc-coated porous foam. A novel rechargeable zinc-air battery system is also disclosed which utilizes the improved zinc electrode and further includes an alkaline electrolyte within said battery circulating through the passageways in the zinc electrode and an external electrolyte circulation means which has an electrolyte reservoir external to the battery case including filter means to filter solids out of the electrolyte as it circulates to the external reservoir and pump means for recirculating electrolyte from the external reservoir to the zinc electrode.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Um, Jae Gwang; Mativenga, Mallory; Jang, Jin, E-mail: jjang@khu.ac.kr
2014-04-07
We report on the generation and characterization of a hump in the transfer characteristics of amorphous indium gallium zinc-oxide thin-film transistors by positive bias temperature stress. The hump depends strongly on the gate bias stress at 100 °C. Due to the hump, the positive shift of the transfer characteristic in deep depletion is always smaller that in accumulation. Since, the latter shift is twice the former, with very good correlation, we conclude that the effect is due to creation of a double acceptor, likely to be a cation vacancy. Our results indicate that these defects are located near the gate insulator/activemore » layer interface, rather than in the bulk. Migration of donor defects from the interface towards the bulk may also occur under PBST at 100 °C.« less
Thermal behaviour and corrosion resistance of nano-ZnO/polyurethane film
NASA Astrophysics Data System (ADS)
Virgawati, E.; Soegijono, B.
2018-03-01
Hybrid materials Nano-ZnO/polyurethane film was prepared with different zinc oxide (ZnO) content in polyurethane as a matrix. The film was deposited on low carbon steel plate using high volume low pressure (HVLP) method. To observe thermal behaviour of the film, the sample was investigated using thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC). Fourier transform infrared spectroscopy (FTIR) was used to see whether any chemical reaction of ZnO in polyurethane occured. TGA and FTIR results showed that the decomposition temperature shifted to a higher point and the chemical reaction of zinc oxide in polyurethane occurred. The surface morphology changed and the corrosion resistance increased with an increase of ZnO content
2011-08-19
zinc oxide ( ZnO ) thin film as an active channel layer in TFT has become of great interest owing to their specific...630-0192 Japan Phone: +81-743-72-6060 Fax: +81-743-72-6069 E-mail: uraoka@ms.naist.jp Keywords: zinc oxide , thin film transistors , atomic layer...deposition Symposium topic: Transparent Semiconductors Oxides [Abstract] In this study, we fabricated TFTs using ZnO thin film as the
Effect of Temperature on Film Thickness of Two Types of Commonly used Luting Cements.
Kumar, M Praveen; Priyadarshini, Reddy; Kumar, Yasangi M; Priya, K Shanthi; Chunchuvyshnavi, Chunchuvyshnavi; Yerrapragada, Harika
2017-12-01
The aim of this study is to evaluate the effect of temperature change on film thickness of both types of cements. Totally, 60 samples were prepared with 10 in each subgroup, thus comprising 30 in each group. Materials tested were glass ionomer cement (GIC) type I and zinc phosphate type I. Samples were manipulated with manufacturer's instructions and tested according to American Dental Association (ADA) guidelines. The mean values of film thickness were recorded for both groups I and II. In intragroup comparison of group 1, subgroup III (26.560 ± 0.489 urn) was found to have the highest film thickness followed by subgroup II (24.182 ± 0.576 urn) and the lowest in subgroup I (20.209 ± 0.493 urn). In intragroup comparison of group II, the film thickness recorded in subgroup III (25.215 ± 0.661 urn) was the highest followed by subgroup II (21.471 ± 0.771 urn) and the least in subgroup I (17.951 ± 0.654 urn; p < 0.01). In intergroup comparison of groups I and II, group II (21.545 ± 0.841) was found to have less film thickness than group I (23.650 ± 0.271). The results were found to be statistically significant (p < 0.01). Both zinc phosphate and GICs can be used satisfactorily for luting purpose. The temperature fluctuations have a direct influence on the film thickness. Zinc phosphate has less film thickness than GIC. Zinc phosphate should be preferred over GIC in clinical practice, and more stress should be given in mechanical preparation of crowns for better retentive quality of prosthesis.
NASA Astrophysics Data System (ADS)
Lee, Sunghwan; Paine, David C.
2011-06-01
In2O3-based amorphous oxide channel materials are of increasing interest for thin film transisitor applications due, in part, to the remarkable stability of this class of materials amorphous structure and electronic properties. We report that this stability is degraded in the presence of Ti, which is widely used as a contact and/or adhesion layer. A cross-sectional transmission electron microscopy analysis, supported by glancing incident angle x-ray and selected area diffraction examination, shows that amorphous indium zinc oxide in contact with Ti undergoes crystallization to the bixbyite phase and reacts to form the rutile phase of TiO2 at a temperature of 200 °C. A basic thermodynamic analysis is presented and forms the basis of a model that describes both the crystallization and the resistivity decrease.
Ahn, Byung Du; Jeon, Hye Ji; Park, Jin-Seong
2014-06-25
This paper addressed the effect of gallium nitrate hydrate addition on thin film transistor (TFT) performance and positive bias stability of amorphous zinc tin oxide (ZTO) TFTs by solution processing, Further, the mechanisms responsible for chemical properties and electronic band structure are explored. A broad exothermic peak accompanied by weight loss appeared in the range from about 350 to 570 °C for the ZTO solution; the thermal reaction of the Ga-ZTO:N solution was completed at 520 °C. This is because the gallium nitrate hydrate precursor promoted the decomposition and dehydroxylation reaction for Zn(CH3COO)2·2H2O and/or SnCl2·2H2O precursors. The concentrations of carbon and chloride in gallium nitrate hydrate added ZTO films annealed at 400 °C have a lower value (C 0.65, Cl 0.65 at. %) compared with those of ZTO films (C 3.15, Cl 0.82 at. %). Absorption bands at 416, 1550, and 1350 cm(-1) for GaZTO:N films indicated the presence of ZnGa2O4, N-H, and N═O groups by Fourier transform infrared spectroscopy measurement, respectively. As a result, an inverted staggered Ga-ZTO:N TFT exhibited a mobility of 4.84 cm(2) V(-1) s(-1) in the saturation region, a subthreshold swing of 0.35 V/decade, and a threshold gate voltage (Vth) of 0.04 V. In addition, the instability of Vth values of the ZTO TFTs under positive bias stress conditions was suppressed by adding Ga and N from 13.6 to 3.17 V, which caused a reduction in the oxygen-related defects located near the conduction band.
NASA Astrophysics Data System (ADS)
Kim, Dongha; Park, Hyungjin; Bae, Byeong-Soo
2016-03-01
In order to improve the reliability of TFT, an Al2O3 insulating layer is inserted between active fluorine doped indium zinc oxide (IZO:F) thin films to form a sandwiched triple layer. All the thin films were fabricated via low-cost sol-gel process. Due to its large energy bandgap and high bonding energy with oxygen atoms, the Al2O3 layer acts as a photo-induced positive charge blocking layer that effectively blocks the migration of both holes and V o2+ toward the interface between the gate insulator and the semiconductor. The inserted Al2O3 triple layer exhibits a noticeably low turn on voltage shift of -0.7 V under NBIS as well as the good TFT performance with a mobility of 10.9 cm2/V ṡ s. We anticipate that this approach can be used to solve the stability issues such as NBIS, which is caused by inescapable oxygen vacancies.
Herde, Katja; Hartmann, Sonja; Brehm, Ralph; Kilian, Olaf; Heiss, Christian; Hild, Anne; Alt, Volker; Bergmann, Martin; Schnettler, Reinhard; Wenisch, Sabine
2007-11-01
In bone a role of connexin 43 has been implicated with the fusion of mononuclear precursors of the monocyte/macrophage lineage into multinucleated cells. In order to investigate the putative role of connexin 43 in formation of bone osteoclast-like foreign body giant cells which are formed in response to implantation of biomaterials, nanoparticulate hydroxyapatite had been implanted into defects of minipig femura. After 20 days the defect areas were harvested and connexin 43 expression and synthesis were investigated by using immunohistochemistry, Western Blot, and in situ hybridization within macrophages and osteoclast-like foreign body giant cells. Morphological analysis of gap junctions is performed ultrastructurally. As shown on protein and mRNA level numerous connexin 43 positive macrophages and foreign body giant cells (FBGC) were localized within the granulation tissue and along the surfaces of the implanted hydroxyapatite (HA). Besides, the formation of FBGC by fusion of macrophages could be shown ultrastructurally. Connexin 43 labeling observed on the protein and mRNA level could be attributed to gap junctions identified ultrastructurally between macrophages, between FBGC, and between FBGC and macrophages. Annular gap junctions in the cytoplasm of FBGC pointed to degradation of the channels, and the ubiquination that had occurred in the course of degradation was confirmed by Western blot analysis. All in all, the presently observed pattern of connexin 43 labeling refers to an functional role of gap junctional communication in the formation of osteoclast-like foreign body giant cells formed in response to implantation of the nanoparticulate HA.
A compound crystal with film scintillator for electron detection
NASA Astrophysics Data System (ADS)
McKinney, George; McDonnald, Warren; Tzolov, Marian
2015-03-01
Yttrium Aluminum Garnets (YAG) and Yttrium Aluminum Perovskite (YAP) are widely used as electron detectors. This application requires a top conducting layer which hinders their application at low electron energies. We have developed a layer of zinc tungstate which delivers conductivity large enough to prevent charging while still being an efficient scintillator. For better coupling between the two systems we have studied their optical properties. Ce doping is an essential element in YAP and YAG in order for them to be efficient scintillators. We have studied the Ce content and we show that higher Ce content leads to reabsorption in the YAP scintillators. These details were revealed by using photoluminescence emission and excitation spectroscopy. The absorption spectrum for the YAG scintillators coincides with the excitation for the main emission lines. The optical studies of the zinc tungstate films and a single crystal have shown that the films are more efficient light emitters. We have integrated the zinc tungstate films with YAG scintillators and we will report on the performance of this compound scintillator. It is expected that it will perform well at low and high electron energies, which makes it a very cost effective platform for electron detectors.
Wang, Yang; Fu, Jing; Zhang, Yining; Li, Matthew; Hassan, Fathy Mohamed; Li, Guang; Chen, Zhongwei
2017-10-26
Exploring highly efficient bifunctional electrocatalysts toward the oxygen reduction and evolution reactions is essential for the realization of high-performance rechargeable zinc-air batteries. Herein, a novel nanofibrous bifunctional electrocatalyst film, consisting of metallic manganese sulfide and cobalt encapsulated by nitrogen-doped carbon nanofibers (CMS/NCNF), is prepared through a continuous electrospinning method followed by carbonization treatment. The CMS/NCNF bifunctional catalyst shows both comparable ORR and OER performances to those of commercial precious metal-based catalysts. Furthermore, the free-standing CMS/NCNF fibrous thin film is directly used as the air electrode in a solid-state zinc-air battery, which exhibits superior flexibility while retaining stable battery performance at different bending angles. This study provides a versatile design route for the rational design of free-standing bifunctional catalysts for direct use as the air electrode in rechargeable zinc-air batteries.
Study on the Hydrogenated ZnO-Based Thin Film Transistors. Part 1
2011-04-30
IGZO film on the performance of thin film transistors 5 Chapter 2. Hydrogenation of a- IGZO channel layer in the thin film transistors 12...effect of substrate temperature during the deposition of a- IGZO film on the performance of thin film transistors Introduction The effect of substrate...temperature during depositing IGZO channel layer on the performance of amorphous indium-gallium-zinc oxide (a- IGZO
NASA Astrophysics Data System (ADS)
Moldovan, Oana; Castro-Carranza, Alejandra; Cerdeira, Antonio; Estrada, Magali; Barquinha, Pedro; Martins, Rodrigo; Fortunato, Elvira; Miljakovic, Slobodan; Iñiguez, Benjamin
2016-12-01
An advanced compact and analytical drain current model for the amorphous gallium indium zinc oxide (GIZO) thin film transistors (TFTs) is proposed. Its output saturation behavior is improved by introducing a new asymptotic function. All model parameters were extracted using an adapted version of the Universal Method and Extraction Procedure (UMEM) applied for the first time for GIZO devices in a simple and direct form. We demonstrate the correct behavior of the model for negative VDS, a necessity for a complete compact model. In this way we prove the symmetry of source and drain electrodes and extend the range of applications to both signs of VDS. The model, in Verilog-A code, is implemented in Electronic Design Automation (EDA) tools, such as Smart Spice, and compared with measurements of TFTs. It describes accurately the experimental characteristics in the whole range of GIZO TFTs operation, making the model suitable for the design of circuits using these types of devices.
Kwon, Junyeon; Hong, Young Ki; Kwon, Hyuk-Jun; Park, Yu Jin; Yoo, Byungwook; Kim, Jiwan; Grigoropoulos, Costas P; Oh, Min Suk; Kim, Sunkook
2015-01-21
We report on optically transparent thin film transistors (TFTs) fabricated using multilayered molybdenum disulfide (MoS2) as the active channel, indium tin oxide (ITO) for the back-gated electrode and indium zinc oxide (IZO) for the source/drain electrodes, respectively, which showed more than 81% transmittance in the visible wavelength. In spite of a relatively large Schottky barrier between MoS2 and IZO, the n-type behavior with a field-effect mobility (μ(eff)) of 1.4 cm(2) V(-1) s(-1) was observed in as-fabricated transparent MoS2 TFT. In order to enhance the performances of transparent MoS2 TFTs, a picosecond pulsed laser was selectively irradiated onto the contact region of the IZO electrodes. Following laser annealing, μ(eff) increased to 4.5 cm(2) V(-1) s(-1), and the on-off current ratio (I(on)/I(off)) increased to 10(4), which were attributed to the reduction of the contact resistance between MoS2 and IZO.
Zinc Toxicosis in a Boxer Dog Secondary to Ingestion of Holiday Garland.
Bischoff, Karyn; Chiapella, Anne; Weisman, Jaime; Crofton, Lisa M; Hillebrandt, Joseph
2017-09-01
Increased admissions occur in small animal veterinary emergency clinics during some holidays, and some of the increased caseload is due to ingestion of toxic substances. This report documents zinc toxicosis contributing to the death of a dog after ingestion of holiday tinsel garland. A mature boxer dog presented with a 4-day history of vomiting and diarrhea. Radiodense foreign material was detected in the stomach and removed via gastrotomy. The patient clinically worsened over the next several days with evidence of hemolytic anemia, severe hypernatremia, and an elevated WBC count with a suspected dehiscence of the surgical site and acute renal failure. The serum zinc concentration was moderately elevated. Postmortem findings included surgical dehiscence from the gastrotomy and enterotomy sites, hepatic extramedullary hematopoiesis, hemoglobinuric nephrosis, and pancreatic fibrosis. The foreign material removed from the stomach also contained zinc. Ingestion of holiday tinsel garland made from metal-coated plastic film has not previously been implicated in zinc toxicosis. Zinc toxicosis has a good prognosis in veterinary medicine when diagnosed and treated promptly, but the unique source of zinc in this dog contributed to the delay in diagnosis and grave outcome in this case.
Wegmüller, Rita; Tay, Fabian; Zeder, Christophe; Brnić, Marica; Hurrell, Richard F.
2014-01-01
The water-soluble zinc salts gluconate, sulfate, and acetate are commonly used as supplements in tablet or syrup form to prevent zinc deficiency and to treat diarrhea in children in combination with oral rehydration. Zinc citrate is an alternative compound with high zinc content, slightly soluble in water, which has better sensory properties in syrups but no absorption data in humans. We used the double-isotope tracer method with 67Zn and 70Zn to measure zinc absorption from zinc citrate given as supplements containing 10 mg of zinc to 15 healthy adults without food and compared absorption with that from zinc gluconate and zinc oxide (insoluble in water) using a randomized, double-masked, 3-way crossover design. Median (IQR) fractional absorption of zinc from zinc citrate was 61.3% (56.6–71.0) and was not different from that from zinc gluconate with 60.9% (50.6–71.7). Absorption from zinc oxide at 49.9% (40.9–57.7) was significantly lower than from both other supplements (P < 0.01). Three participants had little or no absorption from zinc oxide. We conclude that zinc citrate, given as a supplement without food, is as well absorbed by healthy adults as zinc gluconate and may thus be a useful alternative for preventing zinc deficiency and treating diarrhea. The more insoluble zinc oxide is less well absorbed when given as a supplement without food and may be minimally absorbed by some individuals. This trial was registered at clinicaltrials.gov as NCT01576627. PMID:24259556
Zinc oxide nanoparticle-coated films: fabrication, characterization, and antibacterial properties
NASA Astrophysics Data System (ADS)
Jiang, Yunhong; O'Neill, Alex J.; Ding, Yulong
2015-04-01
In this article, novel antibacterial PVC-based films coated with ZnO nanoparticles (NPs) were fabricated, characterized, and studied for their antibacterial properties. It was shown that the ZnO NPs were coated on the surface of the PVC films uniformly and that the coating process did not affect the size and shape of the NPs on the surface of PVC films. Films coated with concentrations of either 0.2 or 0.075 g/L of ZnO NPs exhibited antibacterial activity against both Gram-positive ( Staphylococcus aureus) and Gram-negative ( Escherichia coli) bacteria, but exhibited no antifungal activity against Aspergillus flavus and Penicillium citrinum. Smaller particles (100 nm) exhibited more potent antibacterial activity than larger particles (1000 nm). All ZnO-coated films maintained antibacterial activity after 30 days in water.
Hemilä, Harri
2017-05-01
To compare the efficacy of zinc acetate lozenges with zinc gluconate lozenges in common cold treatment and to examine the dose-dependency of the effect. Meta-analysis. Placebo-controlled zinc lozenge trials, in which the zinc dose was > 75 mg/day. The pooled effect of zinc lozenges on common cold duration was calculated by using inverse-variance random-effects method. Seven randomised trials with 575 participants with naturally acquired common colds. Duration of the common cold. The mean common cold duration was 33% (95% CI 21% to 45%) shorter for the zinc groups of the seven included trials. Three trials that used lozenges composed of zinc acetate found that colds were shortened by 40% and four trials that used zinc gluconate by 28%. The difference between the two salts was not significant: 12 percentage points (95% CI: -12 to + 36). Five trials used zinc doses of 80-92 mg/day, common cold duration was reduced by 33%, and two trials used zinc doses of 192-207 mg/day and found an effect of 35%. The difference between the high-dose and low-dose zinc trials was not significant: 2 percentage points (95% CI: -29 to + 32). Properly composed zinc gluconate lozenges may be as effective as zinc acetate lozenges. There is no evidence that zinc doses over 100 mg/day might lead to greater efficacy in the treatment of the common cold. Common cold patients may be encouraged to try zinc lozenges for treating their colds. The optimal lozenge composition and dosage scheme need to be investigated further.
Zinc oxide nanostructured layers for gas sensing applications
NASA Astrophysics Data System (ADS)
Caricato, A. P.; Cretí, A.; Luches, A.; Lomascolo, M.; Martino, M.; Rella, R.; Valerini, D.
2011-03-01
Various kinds of zinc oxide (ZnO) nanostructures, such as columns, pencils, hexagonal pyramids, hexagonal hierarchical structures, as well as smooth and rough films, were grown by pulsed laser deposition using KrF and ArF excimer lasers, without use of any catalyst. ZnO films were deposited at substrate temperatures from 500 to 700°C and oxygen background pressures of 1, 5, 50, and 100 Pa. Quite different morphologies of the deposited films were observed using scanning electron microscopy when different laser wavelengths (248 or 193 nm) were used to ablate the bulk ZnO target. Photoluminescence studies were performed at different temperatures (down to 7 K). The gas sensing properties of the different nanostructures were tested against low concentrations of NO2. The variation in the photoluminescence emission of the films when exposed to NO2 was used as transduction mechanism to reveal the presence of the gas. The nanostructured films with higher surface-to-volume ratio and higher total surface available for gas adsorption presented higher responses, detecting NO2 concentrations down to 3 ppm at room temperature.
Colloidal infrared reflective and transparent conductive aluminum-doped zinc oxide nanocrystals
Buonsanti, Raffaella; Milliron, Delia J
2015-02-24
The present invention provides a method of preparing aluminum-doped zinc oxide (AZO) nanocrystals. In an exemplary embodiment, the method includes (1) injecting a precursor mixture of a zinc precursor, an aluminum precursor, an amine, and a fatty acid in a solution of a vicinal diol in a non-coordinating solvent, thereby resulting in a reaction mixture, (2) precipitating the nanocrystals from the reaction mixture, thereby resulting in a final precipitate, and (3) dissolving the final precipitate in an apolar solvent. The present invention also provides a dispersion. In an exemplary embodiment, the dispersion includes (1) nanocrystals that are well separated from each other, where the nanocrystals are coated with surfactants and (2) an apolar solvent where the nanocrystals are suspended in the apolar solvent. The present invention also provides a film. In an exemplary embodiment, the film includes (1) a substrate and (2) nanocrystals that are evenly distributed on the substrate.
p-type zinc-blende GaN on GaAs substrates
NASA Astrophysics Data System (ADS)
Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.
1993-08-01
We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.
Metal Induced Growth of Si Thin Films and NiSi Nanowires
2010-02-25
Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors
Nanoparticulation improves bioavailability of Erlotinib.
Yang, Kyung Mi; Shin, In Chul; Park, Joo Won; Kim, Kab-Sig; Kim, Dae Kyong; Park, Kyungmoon; Kim, Kunhong
2017-09-01
Nanoparticulation using fat and supercritical fluid (NUFS TM ) is a drug delivery platform technology enabling efficient and effective formulation of poorly soluble drugs. We performed experiments to examine whether NUFS™ could improve poor bioavailability and reduce fed-fasted bioavailability variances of erlotinib (Ert). NUFS-Ert was prepared using NUFS™ technology; its physical properties were characterized, and drug release was measured. Furthermore, in vitro and in vivo efficacy tests and pharmacokinetic analysis were performed. NUFS-Ert nanoparticles had an average size of 250 nm and were stable for 2 months at 40 °C, 4 °C, and room temperature. The dissolution rate of NUFS-Ert increased in bio-relevant dissolution media. NUFS-Ert was more potent in inhibiting EGF signaling and in suppressing the proliferation of A549, a human non-small cell lung cancer cell line. Furthermore, A549 xenografts in BALB/c nude mice treated with NUFS-Ert regressed more efficiently than those in the mice treated with vehicle or Tarceva ® . In addition, experimental lung metastasis was more efficiently inhibited by NUFS-Ert than by Tarceva ® . The relative bioavailability of NUFS-Ert compared with that of Tarceva ® was 550% and the ratio of the area under the concentration-time curve (AUC) of fed state to the AUC of fasted state was 1.8 for NUFS-Ert and 5.8 for Tarceva ® . NUFS-Ert could improve poor bioavailability and reduce fed-fasted bioavailability variances of Ert. NUFS-Ert was more efficacious than Tarceva ® .
Dietary phytate, zinc and hidden zinc deficiency.
Sandstead, Harold H; Freeland-Graves, Jeanne H
2014-10-01
Epidemiological data suggest at least one in five humans are at risk of zinc deficiency. This is in large part because the phytate in cereals and legumes has not been removed during food preparation. Phytate, a potent indigestible ligand for zinc prevents it's absorption. Without knowledge of the frequency of consumption of foods rich in phytate, and foods rich in bioavailable zinc, the recognition of zinc deficiency early in the illness may be difficult. Plasma zinc is insensitive to early zinc deficiency. Serum ferritin concentration≤20μg/L is a potential indirect biomarker. Early effects of zinc deficiency are chemical, functional and may be "hidden". The clinical problem is illustrated by 2 studies that involved US Mexican-American children, and US premenopausal women. The children were consuming home diets that included traditional foods high in phytate. The premenopausal women were not eating red meat on a regular basis, and their consumption of phytate was mainly from bran breakfast cereals. In both studies the presence of zinc deficiency was proven by functional responses to controlled zinc treatment. In the children lean-mass, reasoning, and immunity were significantly affected. In the women memory, reasoning, and eye-hand coordination were significantly affected. A screening self-administered food frequency questionnaire for office might help caregiver's identify patients at risk of zinc deficiency. Copyright © 2014 Elsevier GmbH. All rights reserved.
NASA Astrophysics Data System (ADS)
Bogdanovich, M. P.
1996-10-01
We have grown films of magnesium, lithium, zinc, and nickel-zinc ferrites, varying in thickness from 0.5 to 8 μm on polycrystalline sapphiresubstrates by coating the surface of the substrate with an aqueous nitric acid solution of salts of the elements which compose the ferrite. The lattice parameter of the ferrite film increases with the film thickness and becomes constant at thicknesses greater than 8 μm. We have determined the ratio of the theoretical strength limit to the macroscopic one in the film based on the change in the interplanar distance d 220 and the lattice parameter calculated from it, under the assumption that the change Δa(h)=a ∞=a(h) results from macroscopic stresses in the film. This ratio shows that when h=1 μm the microstresses in the film are an order of magnitude smaller than the theoretical strength limit. At larger film thicknesses this macroscopic stress becomes even lower, and at the external surface of thick films it goes completely to zero.
NASA Astrophysics Data System (ADS)
Najafi, Navid; Rozati, S. M.
2018-03-01
Fluorine-doped zinc oxide (FZO) (ZnO:F) thin films were manufactured by atmospheric pressure chemical vapor deposition (APCVD) on glass substrates using zinc acetate dihydrate [C4H6O4Zn·2H2O, ZnAc] and ammonium fluoride (NH4F) as the source of fluorine with deposition duration of only 120 s for each sample. The effects of different amounts of fluorine as the dopant on the structural, electrical and optical properties of FZO thin films were investigated. The results show a polycrystalline structure at higher temperatures compared to amorphous structure at lower temperatures. The x-ray diffraction patterns of the polycrystalline films were identified as a hexagonal wurtzite structure of zinc oxide (ZnO) with the (002) preferred orientation. Also, the sheet resistance decreased from 17.8 MΩ/□ to 28.9 KΩ/□ for temperatures 325°C to 450°C, respectively. In order to further decrease the sheet resistance of the undoped ZnO thin films, fluorine was added using NH4F as the precursor, and again a drastic change in sheet resistance of only 17.7 Ω/□ was obtained. Based on the field emission scanning electron microscopy images, the fluorine concentration in CVD source is an important factor affecting the grain size and modifies electrical parameters. Ultraviolet-visible measurements revealed reduction of transparency of the layers with increasing fluorine as the dopant.
NASA Astrophysics Data System (ADS)
Mohsin Al-Hayali, Sarah Kadhim; Hadi Al-Janabi, Abdul
2018-07-01
We report on the generation of a triple-wavelength passively Q-switched ytterbium-doped fibre laser using a saturable absorber (SA) based on zinc oxide nanoparticles (ZnO NPs) film. The SA was fabricated by embedding ZnO NPs powder into a polyvinyl alcohol as a host polymer. By properly adjusting the pump power and the polarization state, single-, dual- and triple-wavelength Q-switching are stably generated without additional components (such as optical filter, or fibre grating). For the triple wavelength operation, the fibre laser generates a maximum pulse repetition of 87.9 kHz with the shortest pulse duration of 2.7 μs. To the best of authors' knowledge, it's the first demonstration of triple-wavelength passively Q-switching fibre laser using ZnO NPs as a SA. Our results suggest that ZnO is a promising SA for multi-wavelength laser operation.
Krausmann, Jan; Sanctis, Shawn; Engstler, Jörg; Luysberg, Martina; Bruns, Michael; Schneider, Jörg J
2018-06-20
The influence of the composition within multilayered heterostructure oxide semiconductors has a critical impact on the performance of thin-film transistor (TFT) devices. The heterostructures, comprising alternating polycrystalline indium oxide and zinc oxide layers, are fabricated by a facile atomic layer deposition (ALD) process, enabling the tuning of its electrical properties by precisely controlling the thickness of the individual layers. This subsequently results in enhanced TFT performance for the optimized stacked architecture after mild thermal annealing at temperatures as low as 200 °C. Superior transistor characteristics, resulting in an average field-effect mobility (μ sat. ) of 9.3 cm 2 V -1 s -1 ( W/ L = 500), an on/off ratio ( I on / I off ) of 5.3 × 10 9 , and a subthreshold swing of 162 mV dec -1 , combined with excellent long-term and bias stress stability are thus demonstrated. Moreover, the inherent semiconducting mechanism in such multilayered heterostructures can be conveniently tuned by controlling the thickness of the individual layers. Herein, devices comprising a higher In 2 O 3 /ZnO ratio, based on individual layer thicknesses, are predominantly governed by percolation conduction with temperature-independent charge carrier mobility. Careful adjustment of the individual oxide layer thicknesses in devices composed of stacked layers plays a vital role in the reduction of trap states, both interfacial and bulk, which consequently deteriorates the overall device performance. The findings enable an improved understanding of the correlation between TFT performance and the respective thin-film composition in ALD-based heterostructure oxides.
Holland, Tai C; Killilea, David W; Shenvi, Swapna V; King, Janet C
2015-12-01
A coordinated network of zinc transporters and binding proteins tightly regulate cellular zinc levels. Canonical responses to zinc availability are thought to be mediated by changes in gene expression of key zinc transporters. We investigated the temporal relationships of actual zinc uptake with patterns of gene expression in membrane-bound zinc transporters in the human immortalized T lymphocyte Jurkat cell line. Cellular zinc levels were elevated or reduced with exogenous zinc sulfate or N,N,N',N-tetrakis(2-pyridylmethyl)ethylenediamine (TPEN), respectively. Excess zinc resulted in a rapid 44 % decrease in the rate of zinc uptake within 10 min. After 120 min, the expression of metallothionein (positive control) increased, as well as the zinc exporter, ZnT1; however, the expression of zinc importers did not change during this time period. Zinc chelation with TPEN resulted in a rapid twofold increase in the rate of zinc uptake within 10 min. After 120 min, the expression of ZnT1 decreased, while again the expression of zinc importers did not change. Overall, zinc transporter gene expression kinetics did not match actual changes in cellular zinc uptake with exogenous zinc or TPEN treatments. This suggests zinc transporter regulation may be the initial response to changes in zinc within Jurkat cells.
Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Li, Chih-Wei; Li, Jyun-Yi; Lin, Chih-Chien
2018-05-01
In this study, zinc indium tin oxide thin-film transistors (ZITO TFTs) were fabricated by the radio frequency (RF) sputtering deposition method. Adding indium cations to ZnO by co-sputtering allows the development of ZITO TFTs with improved performance. Material characterization revealed that ZITO TFTs have a threshold voltage of 0.9 V, a subthreshold swing of 0.294 V/decade, a field-effect mobility of 5.32 cm2/Vs, and an on-off ratio of 4.7 × 105. Furthermore, an investigation of the photosensitivity of the fabricated devices was conducted by an illumination test. The responsivity of ZITO TFTs was 26 mA/W, with 330-nm illumination and a gate bias of -1 V. The UV-to-visible rejection ratio for ZITO TFTs was 2706. ZITO TFTs were observed to have greater UV light sensitivity than that of ZnO TFTs. We believe that these results suggest a significant step toward achieving high photosensitivity. In addition, the ZITO semiconductor system could be a promising candidate for use in high performance transparent TFTs, as well as further sensing applications.
ERIC Educational Resources Information Center
Bertini, I.; And Others
1985-01-01
Discusses the role of zinc in various enzymes concerned with hydration, hydrolysis, and redox reactions. The binding of zinc to protein residues, properties of noncatalytic zinc(II) and catalytic zinc, and the reactions catalyzed by zinc are among the topics considered. (JN)
Nanostructured PdO Thin Film from Langmuir-Blodgett Precursor for Room-Temperature H2 Gas Sensing.
Choudhury, Sipra; Betty, C A; Bhattacharyya, Kaustava; Saxena, Vibha; Bhattacharya, Debarati
2016-07-06
Nanoparticulate thin films of PdO were prepared using the Langmuir-Blodgett (LB) technique by thermal decomposition of a multilayer film of octadecylamine (ODA)-chloropalladate complex. The stable complex formation of ODA with chloropalladate ions (present in subphase) at the air-water interface was confirmed by the surface pressure-area isotherm and Brewster angle microscopy. The formation of nanocrystalline PdO thin film after thermal decomposition of as-deposited LB film was confirmed by X-ray diffraction and Raman spectroscopy. Nanocrystalline PdO thin films were further characterized by using UV-vis and X-ray photoelectron spectroscopic (XPS) measurements. The XPS study revealed the presence of prominent Pd(2+) with a small quantity (18%) of reduced PdO (Pd(0)) in nanocrystalline PdO thin film. From the absorption spectroscopic measurement, the band gap energy of PdO was estimated to be 2 eV, which was very close to that obtained from specular reflectance measurements. Surface morphology studies of these films using atomic force microscopy and field-emission scanning electron microscopy indicated formation of nanoparticles of size 20-30 nm. These PdO film when employed as a chemiresistive sensor showed H2 sensitivity in the range of 30-4000 ppm at room temperature. In addition, PdO films showed photosensitivity with increase in current upon shining of visible light.
Temporal and voltage stress stability of high performance indium-zinc-oxide thin film transistors
NASA Astrophysics Data System (ADS)
Song, Yang; Katsman, Alexander; Butcher, Amy L.; Paine, David C.; Zaslavsky, Alexander
2017-10-01
Thin film transistors (TFTs) based on transparent oxide semiconductors, such as indium zinc oxide (IZO), are of interest due to their improved characteristics compared to traditional a-Si TFTs. Previously, we reported on top-gated IZO TFTs with an in-situ formed HfO2 gate insulator and IZO active channel, showing high performance: on/off ratio of ∼107, threshold voltage VT near zero, extracted low-field mobility μ0 = 95 cm2/V·s, and near-perfect subthreshold slope at 62 mV/decade. Since device stability is essential for technological applications, in this paper we report on the temporal and voltage stress stability of IZO TFTs. Our devices exhibit a small negative VT shift as they age, consistent with an increasing carrier density resulting from an increasing oxygen vacancy concentration in the channel. Under gate bias stress, freshly annealed TFTs show a negative VT shift during negative VG gate bias stress, while aged (>1 week) TFTs show a positive VT shift during negative VG stress. This indicates two competing mechanisms, which we identify as the field-enhanced generation of oxygen vacancies and the field-assisted migration of oxygen vacancies, respectively. A simplified kinetic model of the vacancy concentration evolution in the IZO channel under electrical stress is provided.
Effect of TiCl4 treatment on the refractive index of nanoporous TiO2 films
NASA Astrophysics Data System (ADS)
Lee, Jeeyoung; Lee, Myeongkyu
2015-12-01
We investigate the effect of TiCl4 treatment on the refractive index of a nanoporous TiO2 film. A nanoparticulate TiO2 film prepared on a glass substrate was immersed in a TiCl4 aqueous solution. The subsequent reaction of TiCl4 with H2O produces TiO2 and thus modifies the density and the refractive index of the film. With increasing TiCl4 concentration, the refractive index initially increased and then declined after being maximized (n = 2.02 at 633 nm) at 0.08 M concentration. A refractive index change as large as 0.45 could be obtained with the TiCl4 treatment, making it possible to achieve diffraction efficiency exceeding 80% in a diffraction grating-embedded TiO2 film. For high TiCl4 concentrations of 0.32 M and 0.64 M, the refractive index remained nearly unchanged. This was attributed to the limited permeability of high-viscosity TiCl4 solutions into the nanoporous films. The measured pore size distributions were in good agreement with the results of a diffraction analysis and refractive index measurement.
Near band edge emission characteristics of sputtered nano-crystalline ZnO films
NASA Astrophysics Data System (ADS)
Kunj, Saurabh; Sreenivas, K.
2016-05-01
Sputtered zinc oxide (ZnO) thin films deposited on unheated glass substrate under different sputtering gas mixtures (Ar+O2) have been investigated using X-ray diffraction and photo luminescence spectroscopy. Earlier reported studies on ZnO films prepared by different techniques exhibit either a sharp/broad near band edge (NBE) emission peak depending on the crystalline quality of the film. In the present study zinc oxide films, grown on unheated substrates, are seen to possess a preferred (002) orientation with a microstructure consisting of clustered nano-sized crystallites. The splitting in the near band edge emission (NBE) into three characteristic peaks is attributed to quantum confinement effect, and is observed specifically under an excitation of 270 nm. Deep level emission (DLE) in the range 400 to 700 nm is not observed indicating absence of deep level radiative defects.
... Using toothpastes containing zinc, with or without an antibacterial agent, appears to prevent plaque and gingivitis. Some ... is some evidence that zinc has some antiviral activity against the herpes virus. Low zinc levels can ...
Kim, Hak-Jun; Hwang, In-Ju; Kim, Youn-Jea
2014-12-01
The current transparent oxide semiconductors (TOSs) technology provides flexibility and high performance. In this study, multi-stack nano-layers of TOSs were designed for three-dimensional analysis of amorphous indium-gallium-zinc-oxide (a-IGZO) based thin film transistors (TFTs). In particular, the effects of torsional and compressive stresses on the nano-sized active layers such as the a-IGZO layer were investigated. Numerical simulations were carried out to investigate the structural integrity of a-IGZO based TFTs with three different thicknesses of the aluminum oxide (Al2O3) insulator (δ = 10, 20, and 30 nm), respectively, using a commercial code, COMSOL Multiphysics. The results are graphically depicted for operating conditions.
NASA Astrophysics Data System (ADS)
Jang, Jaeman; Kim, Dae Geun; Kim, Dong Myong; Choi, Sung-Jin; Lim, Jun-Hyung; Lee, Je-Hun; Kim, Yong-Sung; Ahn, Byung Du; Kim, Dae Hwan
2014-10-01
The quantitative analysis of mechanism on negative bias illumination stress (NBIS)-induced instability of amorphous indium-tin-zinc-oxide thin-film transistor (TFT) was suggested along with the effect of equivalent oxide thickness (EOT) of gate insulator. The analysis was implemented through combining the experimentally extracted density of subgap states and the device simulation. During NBIS, it was observed that the thicker EOT causes increase in both the shift of threshold voltage and the variation of subthreshold swing as well as the hump-like feature in a transfer curve. We found that the EOT-dependence of NBIS instability can be clearly explicated with the donor creation model, in which a larger amount of valence band tail states is transformed into either the ionized oxygen vacancy VO2+ or peroxide O22- with the increase of EOT. It was also found that the VO2+-related extrinsic factor accounts for 80%-92% of the total donor creation taking place in the valence band tail states while the rest is taken by the O22- related intrinsic factor. The ratio of extrinsic factor compared to the total donor creation also increased with the increase of EOT, which could be explained by more prominent oxygen deficiency. The key founding of our work certainly represents that the established model should be considered very effective for analyzing the instability of the post-indium-gallium-zinc-oxide (IGZO) ZnO-based compound semiconductor TFTs with the mobility, which is much higher than those of a-IGZO TFTs.
Choi, Jinhyang; Ko, Eunjung; Chung, Hye-Kyung; Lee, Jae Hee; Ju, Eun Jin; Lim, Hyun Kyung; Park, Intae; Kim, Kab-Sig; Lee, Joo-Hwan; Son, Woo-Chan; Lee, Jung Shin; Jung, Joohee; Jeong, Seong-Yun; Song, Si Yeol; Choi, Eun Kyung
2015-01-01
Nanoparticulation of insoluble drugs improves dissolution rate, resulting in increased bioavailability that leads to increased stability, better efficacy, and reduced toxicity of drugs. Docetaxel (DTX), under the trade name Taxotere™, is one of the representative anticancer chemotherapeutic agents of this era. However, this highly lipophilic and insoluble drug has many adverse effects. Our novel and widely applicable nanoparticulation using fat and supercritical fluid (NUFS™) technology enabled successful nanoscale particulation of DTX (Nufs-DTX). Nufs-DTX showed enhanced dissolution rate and increased aqueous stability in water. After confirming the preserved mechanism of action of DTX, which targets microtubules, we showed that Nufs-DTX exhibited similar effects in proliferation and clonogenic assays using A549 cells. Interestingly, we observed that Nufs-DTX had a greater in vivo tumor growth delay effect on an A549 xenograft model than Taxotere™, which was in agreement with the improved drug accumulation in tumors according to the biodistribution result, and was caused by the enhanced permeability and retention (EPR) effect. Although both Nufs-DTX and Taxotere™ showed negative results for our administration dose in the hematologic toxicity test, Nufs-DTX showed much less toxicity than Taxotere™ in edema, paralysis, and paw-withdrawal latency on a hot plate analysis that are regarded as indicators of fluid retention, peripheral neuropathy, and thermal threshold, respectively, for toxicological tests. In summary, compared with Taxotere™, Nufs-DTX, which was generated by our new platform technology using lipid, supercritical fluid, and carbon dioxide (CO2), maintained its biochemical properties as a cytotoxic agent and had better tumor targeting ability, better in vivo therapeutic effect, and less toxicity, thereby overcoming the current hurdles of traditional drugs.
Xie, Jingwei; Jiang, Jiang; Davoodi, Pooya; Srinivasan, M. P.; Wang, Chi-Hwa
2014-01-01
Electrohydrodynamic atomization (EHDA), also called electrospray technique, has been studied for more than one century. However, since 1990s it has begun to be used to produce and process micro-/nanostructured materials. Owing to the simplicity and flexibility in EHDA experimental setup, it has been successfully employed to generate particulate materials with controllable compositions, structures, sizes, morphologies, and shapes. EHDA has also been used to deposit micro- and nanoparticulate materials on surfaces in a well-controlled manner. All these attributes make EHDA a fascinating tool for preparing and assembling a wide range of micro- and nanostructured materials which have been exploited for use in pharmaceutics, food, and healthcare to name a few. Our goal is to review this field, which allows scientists and engineers to learn about the EHDA technique and how it might be used to create, process, and assemble micro-/nanoparticulate materials with unique and intriguing properties. We begin with a brief introduction to the mechanism and setup of EHDA technique. We then discuss issues critical to successful application of EHDA technique, including control of composition, size, shape, morphology, structure of particulate materials and their assembly. We also illustrate a few of the many potential applications of particulate materials, especially in the area of drug delivery and regenerative medicine. Next, we review the simulation and modeling of Taylor cone-jet formation for a single and co-axial nozzle. The mathematical modeling of particle transport and deposition is presented to provide a deeper understanding of the effective parameters in the preparation, collection and pattering processes. We conclude this article with a discussion on perspectives and future possibilities in this field. PMID:25684778
Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates
NASA Astrophysics Data System (ADS)
Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.
2012-11-01
The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.
An investigation on the In doping of ZnO thin films by spray pyrolysis
NASA Astrophysics Data System (ADS)
Mahesh, Devika; Kumar, M. C. Santhosh
2018-04-01
Indium doped zinc oxide (IGZO)thin films are gaining much interest owing to its commercial application as transparent conductive oxide thin films. In the current study thin films indium doped ZnO thin films have been deposited on glass substrates by chemical spray pyrolysis technique with an indium concentration of 1, 2.5 and 4% in Zinc source. The films show a peak shift in the X-Ray Diffraction patterns with varying indium doping concentration. The (101) peak was enhanced for the 2.5 % indium doped films and variation in grain size with the different doping levels was studied. The as-deposited films are uniform and shown high transparency (>90%) in the visible region. Average thicknesses of films are found to be 800nm, calculated using the envelope method. The film with 2.5 % of indium content was found to be highly conducting than the rest, since for the lower and higher concentrations the conductivity was possibly halted by the limit in carrier concentration and indium segregation in the grain boundaries respectively. The enhancement of mobility and carrier concentration was clearly seen in the optimum films.
Izadifar, Mohammad; Haddadi, Azita; Chen, Xiongbiao; Kelly, Michael E
2015-01-09
Development of smart bioactive scaffolds is of importance in tissue engineering, where cell proliferation, differentiation and migration within scaffolds can be regulated by the interactions between cells and scaffold through the use of growth factors (GFs) and extra cellular matrix peptides. One challenge in this area is to spatiotemporally control the dose, sequence and profile of release of GFs so as to regulate cellular fates during tissue regeneration. This challenge would be addressed by rate-programming of nano-particulate delivery systems, where the release of GFs via polymeric nanoparticles is controlled by means of the methods of, such as externally-controlled and physicochemically/architecturally-modulated so as to mimic the profile of physiological GFs. Identifying and understanding such factors as the desired release profiles, mechanisms of release, physicochemical characteristics of polymeric nanoparticles, and externally-triggering stimuli are essential for designing and optimizing such delivery systems. This review surveys the recent studies on the desired release profiles of GFs in various tissue engineering applications, elucidates the major release mechanisms and critical factors affecting release profiles, and overviews the role played by the mathematical models for optimizing nano-particulate delivery systems. Potentials of stimuli responsive nanoparticles for spatiotemporal control of GF release are also presented, along with the recent advances in strategies for spatiotemporal control of GF delivery within tissue engineered scaffolds. The recommendation for the future studies to overcome challenges for developing sophisticated particulate delivery systems in tissue engineering is discussed prior to the presentation of conclusions drawn from this paper.
Moche, Hélène; Chevalier, Dany; Barois, Nicolas; Lorge, Elisabeth; Claude, Nancy; Nesslany, Fabrice
2014-01-01
With the increasing human exposure to nanoparticles (NP), the evaluation of their genotoxic potential is of significant importance. However, relevance for NP of the routinely used in vitro genotoxicity assays is often questioned, and a nanoparticulate reference positive control would therefore constitute an important step to a better testing of NP, ensuring that test systems are really appropriate. In this study, we investigated the possibility of using tungsten carbide-cobalt (WC-Co) NP as reference positive control in in vitro genotoxicity assays, including 2 regulatory assays, the mouse lymphoma assay and the micronucleus assay, and in the Comet assay, recommended for the toxicological evaluation of nanomedicines by the French Agency of Human Health Products (Afssaps). Through these assays, we were able to study different genetic endpoints in 2 cell types commonly used in regulatory genotoxicity assays: the L5178Y mouse lymphoma cell line and primary cultures of human lymphocytes. Our results showed that the use of WC-Co NP as positive control in in vitro genotoxicity assays was conceivable, but that different parameters have to be considered, such as cell type and treatment schedule. L5178Y mouse lymphoma cells did not provide satisfactory results in the 3 performed tests. However, human lymphocytes were more sensitive to genotoxic effects induced by WC-Co NP, particularly after a 24-h treatment in the in vitro micronucleus assay and after a 4-h treatment in the in vitro Comet assay. Under such conditions, WC-Co could be used as a nanoparticulate reference positive control in these assays.
Photoluminescence of ZnS-SiO2:Ce Thin Films Deposited by Magnetron Sputtering
NASA Astrophysics Data System (ADS)
Mizuno, Masao
2011-12-01
Photoluminescent emissions of zinc sulfide-silica-cerium thin films deposited by magnetron sputtering were observed. The films consisted of ZnS nanocrystals embedded in amorphous SiO2 matrices. ZnS-SiO2:Ce films exhibited photoluminescence even without postannealing. Their emission spectra showed broad patterns in the visible range; the emitted colors depended on film composition.
Aluminum concentration and substrate temperature in chemical sprayed ZnO:Al thin solid films
NASA Astrophysics Data System (ADS)
Lozada, Erick Velázquez; Castañeda, L.; Aguilar, E. Austria
2018-02-01
The continuous interest in the synthesis and properties study of materials has permitted the development of semiconductor oxides. Zinc oxide (ZnO) with hexagonal wurzite structure is a wide band gap n-type semiconductor and interesting material over a wide range. Chemically sprayed aluminium-doped zinc oxide thin films (ZnO:Al) were deposited on soda-lime glass substrates starting from zinc pentanedionate and aluminium pentanedionate. The influence of both the dopant concentration in the starting solution and the substrate temperature on the composition, morphology, and transport properties of the ZnO:Al thin films were studied. The structure of all the ZnO:Al thin films was polycrystalline, and variation in the preferential growth with the aluminium content in the solution was observed: from an initial (002) growth in films with low Al content, switching to a predominance of (101) planes for heavily dopant regime. The crystallite size was found to decrease with doping concentration and range from 33 to 20 nm. First-order Raman scattering from ZnO:Al, all having the wurtzite structure. The assignments of the E2 mode in ZnO:Al differ from previous investigations. The film composition and the dopant concentration were determined by Auger Electron Spectroscopy (AES); these results showed that the films are almost stoichiometric ZnO. The optimum deposition conditions leading to conductive and transparent ZnO:Al thin films were also found. In this way a resistivity of 0.03 Ω-cm with a (002) preferential growth, were obtained in optimized ZnO:Al thin films.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jo, Kwang-Won; Cho, Won-Ju, E-mail: chowj@kw.ac.kr
In this study, we evaluated the effects of microwave irradiation (MWI) post-deposition-annealing (PDA) treatment on the gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) and compared the results with a conventional thermal annealing PDA treatment. The MWI-PDA-treated a-IGZO TFTs exhibited enhanced electrical performance as well as improved long-term stability with increasing microwave power. The positive turn-on voltage shift (ΔV{sub ON}) as a function of stress time with positive bias and varying temperature was precisely modeled on a stretched-exponential equation, suggesting that charge trapping is a dominant mechanism in the instability of MWI-PDA-treated a-IGZO TFTs. The characteristicmore » trapping time and average effective barrier height for electron transport indicate that the MWI-PDA treatment effectively reduces the defects in a-IGZO TFTs, resulting in a superior resistance against gate bias stress.« less
de Cassan, Dominik; Sydow, Steffen; Schmidt, Nadeschda; Behrens, Peter; Roger, Yvonne; Hoffmann, Andrea; Hoheisel, Anna Lena; Glasmacher, Birgit; Hänsch, Robert; Menzel, Henning
2018-03-01
Electrospun poly(ε-caprolactone) (PCL) fiber mats are modified using a chitosan grafted with PCL (CS-g-PCL), to improve the biological performance and to enable further modifications. The graft copolymer is immobilized by the crystallization of the PCL grafts on the PCL fiber surface as binding mechanism. In this way, the surface of the fibers is covered with chitosan bearing cationic amino groups, which allow adsorption of oppositely charged nanoparticulate drug-delivery systems. The modification of the fiber mats and the attachment of the drug delivery systems are easy and scalable dip processes. The process is also versatile; it is possible to attach different polymeric and inorganic nanoparticulate drug-release systems of cationic or anionic nature. The modifications are verified using scanning electron microscopy (SEM) and confocal laser scanning microscopy (CLSM). As proof of principle, the release of ciprofloxacin from silica nanoparticles attached to the modified fiber mats is shown; however, the method is also suited for other biologically active substances including growth factors. The initial cellular attachment and proliferation as well as vitality of the cells is improved by the modification with CS-g-PCL and is further influenced by the type of the drug delivery system attached. Hence, this method can be used to transfer PCL fiber mats into bioactive implants for in-situ tissue engineering applications. Copyright © 2018 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Ghosh, A.; Majumder, S. B.
2017-07-01
Iso-butane (i-C4H10) is one of the major components of liquefied petroleum gas which is used as fuel in domestic and industrial applications. Developing chemi-resistive selective i-C4H10 thin film sensors remains a major challenge. Two strategies were undertaken to differentiate carbon monoxide, hydrogen, and iso-butane gases from the measured conductance transients of cobalt doped zinc oxide thin films. Following the first strategy, the response and recovery transients of conductances in these gas environments are fitted using the Langmuir adsorption kinetic model to estimate the heat of adsorption, response time constant, and activation energies for adsorption (response) and desorption (recovery). Although these test gases have seemingly different vapor densities, molecular diameters, and reactivities, analyzing the estimated heat of adsorption and activation energies (for both adsorption and desorption), we could not differentiate these gases unequivocally. However, we have found that the lower the vapor density, the faster the response time irrespective of the test gas concentration. As a second strategy, we demonstrated that feature extraction of conductance transients (using fast Fourier transformation) in conjunction with the pattern recognition algorithm (principal component analysis) is more fruitful to address the cross-sensitivity of Co doped ZnO thin film sensors. We have found that although the dispersion among different concentrations of hydrogen and carbon monoxide could not be avoided, each of these three gases forms distinct clusters in the plot of principal component 2 versus 1 and therefore could easily be differentiated.
[Improvement in zinc nutrition due to zinc transporter-targeting strategy].
Kambe, Taiho
2016-07-01
Adequate intake of zinc from the daily diet is indispensable to maintain health. However, the dietary zinc content often fails to fulfill the recommended daily intake, leading to zinc deficiency and also increases the risk of developing chronic diseases, particularly in elderly individuals. Therefore, increased attention is required to overcome zinc deficiency and it is important to improve zinc nutrition in daily life. In the small intestine, the zinc transporter, ZIP4, functions as a component that is essential for zinc absorption. In this manuscript, we present a brief overview regarding zinc deficiency. Moreover, we review a novel strategy, called "ZIP4-targeting", which has the potential to enable efficient zinc absorption from the diet. ZIP4-targeting strategy is possibly a major step in preventing zinc deficiency and improving human health.
Murr, L. E.; Soto, K. F.; Garza, K. M.; Guerrero, P. A.; Martinez, F.; Esquivel, E. V.; Ramirez, D. A.; Shi, Y.; Bang, J. J.; Venzor, J.
2006-01-01
In this paper we report on the collection of fine (PM1) and ultrafine (PM0.1), or nanoparticulate, carbonaceous materials using thermophoretic precipitation onto silicon monoxide/formvar-coated 3 mm grids which were examined in the transmission electron microscope (TEM). We characterize and compare diesel particulate matter (DPM), tire particulate matter (TPM), wood burning particulate matter, and other soot (or black carbons (BC)) along with carbon nanotube and related fullerene nanoparticle aggregates in the outdoor air, as well as carbon nanotube aggregates in the indoor air; and with reference to specific gas combustion sources. These TEM investigations include detailed microstructural and microdiffraction observations and comparisons as they relate to the aggregate morphologies as well as their component (primary) nanoparticles. We have also conducted both clinical surveys regarding asthma incidence and the use of gas cooking stoves as well as random surveys by zip code throughout the city of El Paso. In addition, we report on short term (2 day) and longer term (2 week) in vitro assays for black carbon and a commercial multiwall carbon nanotube aggregate sample using a murine macrophage cell line, which demonstrate significant cytotoxicity; comparable to a chrysotile asbestos nanoparticulate reference. The multi-wall carbon nanotube aggregate material is identical to those collected in the indoor and outdoor air, and may serve as a surrogate. Taken together with the plethora of toxic responses reported for DPM, these findings prompt concerns for airborne carbonaceous nanoparticulates in general. The implications of these preliminary findings and their potential health effects, as well as directions for related studies addressing these complex issues, will also be examined. PMID:16823077
Electron transporting water-gated thin film transistors
NASA Astrophysics Data System (ADS)
Al Naim, Abdullah; Grell, Martin
2012-10-01
We demonstrate an electron-transporting water-gated thin film transistor, using thermally converted precursor-route zinc-oxide (ZnO) intrinsic semiconductors with hexamethyldisilazene (HMDS) hydrophobic surface modification. Water gated HMDS-ZnO thin film transistors (TFT) display low threshold and high electron mobility. ZnO films constitute an attractive alternative to organic semiconductors for TFT transducers in sensor applications for waterborne analytes. Despite the use of an electrolyte as gate medium, the gate geometry (shape of gate electrode and distance between gate electrode and TFT channel) is relevant for optimum performance of water-gated TFTs.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kim, Dongha; Park, Hyungjin; Bae, Byeong-Soo, E-mail: bsbae@kaist.ac.kr
In order to improve the reliability of TFT, an Al{sub 2}O{sub 3} insulating layer is inserted between active fluorine doped indium zinc oxide (IZO:F) thin films to form a sandwiched triple layer. All the thin films were fabricated via low-cost sol-gel process. Due to its large energy bandgap and high bonding energy with oxygen atoms, the Al{sub 2}O{sub 3} layer acts as a photo-induced positive charge blocking layer that effectively blocks the migration of both holes and V {sub o}{sup 2+} toward the interface between the gate insulator and the semiconductor. The inserted Al{sub 2}O{sub 3} triple layer exhibits amore » noticeably low turn on voltage shift of −0.7 V under NBIS as well as the good TFT performance with a mobility of 10.9 cm{sup 2}/V ⋅ s. We anticipate that this approach can be used to solve the stability issues such as NBIS, which is caused by inescapable oxygen vacancies.« less
Characterization of conductive Al-doped ZnO thin films for plasmonic applications
NASA Astrophysics Data System (ADS)
Masouleh, F. F.; Sinno, I.; Buckley, R. G.; Gouws, G.; Moore, C. P.
2018-02-01
Highly conductive and transparent Al-doped zinc oxide films were produced by RF magnetron sputtering for plasmonic applications in the infrared region of the spectrum. These films were characterized using Fourier transform infrared spectroscopy, the Hall effect, Rutherford backscattering spectroscopy and spectral data analysis. Analysis of the results shows a carrier concentration of up to 2.6 × 1020 cm-3, as well as transmission over 80% near the plasma frequency where plasmonic properties are expected. The plasma frequency was calculated from the spectroscopy measurements and subsequent data analysis, and was in agreement with the results from the Hall effect measurements and the free electron gas (Drude) model. Based on these results, the Al-doped zinc oxide thin films are well-suited for plasmonic applications in the infrared region.
NASA Astrophysics Data System (ADS)
Bessudnova, Nadezda O.; Bilenko, David I.; Zakharevich, Andrey M.
2012-03-01
In this study the methodology of biological sample preparation for dental research using SEM/EDX has been elaborated. (1)The original cutting equipment supplied with 3D user-controlled sample fixation and an adjustable cooling system has been designed and evaluated. (2) A new approach to the root dentine drying procedure has been developed to preserve structure peculiarities of root dentine. (3) A novel adhesive system with embedded X-Ray nanoparticulate markers has been designed. (4)The technique allowing for visualization of bonding resins, interfaces and intermediate layers between tooth hard tissues and restorative materials of endodontically treated teeth using the X-ray nano-particulate markers has been developed and approved. These methods and approaches were used to compare the objective depth of penetration of adhesive systems of different generations in root dentine. It has been shown that the depth of penetration in dentine is less for adhesive systems of generation VI in comparison with bonding resins of generation V, which is in agreement with theoretical evidence. The depth of penetration depends on the correlation between the direction of dentinal tubules, bonding resin delivery and gravity.
Improved zinc electrode and rechargeable zinc-air battery
Ross, P.N. Jr.
1988-06-21
The invention comprises an improved rechargeable zinc-air cell/battery having recirculating alkaline electrolyte and a zinc electrode comprising a porous foam support material which carries the active zinc electrode material. 5 figs.
NASA Astrophysics Data System (ADS)
Shan, Dongfang; Han, Dedong; Huang, Fuqing; Tian, Yu; Zhang, Suoming; Qi, Lin; Cong, Yingying; Zhang, Shengdong; Zhang, Xing; Wang, Yi
2014-01-01
Fully transparent aluminum-doped zinc oxide (AZO) thin-film transistors (TFTs) were successfully fabricated on glass substrates at room temperature. Superior properties, such as a high saturation mobility of 59.3 cm2 V-1 s-1, a positive threshold voltage of 1.3 V, a steep subthreshold swing of 122.9 mV/dec, an off-state current on the order of 10-12 A, and an on/off ratio of 2.7 × 108, were obtained. The electrical properties of the AZO TFTs were successively studied within a period of six months. Small property degenerations could be observed from the test results obtained within the study period, which proved the high-performance and high-stability characteristics of AZO TFTs. Furthermore, hysteresis loop scanning of AZO TFTs was performed, and a small hysteresis could be detected in the scanning curves, which suggested the superior properties of a dielectric and a channel-insulator interface. Lastly, we succeeded in manufacturing an organic LED (OLED) flat panel display panel driven by AZO TFTs and obtained an excellent display effect from it. We believe that AZO TFTs are a promising candidate successor to Si-based TFTs in next-generation flat panel displays.
NASA Astrophysics Data System (ADS)
Khalil, Salah; Tazarki, Helmi; Souli, Mehdi; Guasch, Cathy; Jamoussi, Bassem; Kamoun, Najoua
2017-11-01
Novel 4-Tetra-4-Tolylsulfonyl:zinc phthalocyanine and simple zinc phthalocyanine were synthesized. Our materials were grown on glass substrates by spin coating technique. Thin films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electronic micrograph (SEM), atomic force microscopy (AFM), spectrophotometer and Hall effect measurement. X-ray spectra reveal that 4-Tetra-4-Tolylsulfonyl:zinc phthalocyanine (4T4TS:ZnPc) and zinc phthalocyanine (ZnPc) thin films have a monoclinic crystalline structure in β phase. The surface properties and chemical composition were detailed using XPS measurement. SEM were used to investigate the surface morphology for 4T4TS:ZnPc and ZnPc thin films. Atomic force microscopy images have shown a decrease in surface roughness after substitution. Optical properties were investigated by measuring transmission and reflection spectra. Electrical properties were studied and the different electrical parameters was measured and compared on glass, silicon and tin dioxide substrates by Hall Effect technique. All obtained results indicate an improvement in physical properties of 4T4TS:ZnPc which allows used it in optoelectronic applications.
Li, Chun-Ting; Chang, Hung-Yu; Li, Yu-Yan; Huang, Yi-June; Tsai, Yu-Lin; Vittal, R; Sheng, Yu-Jane; Ho, Kuo-Chuan
2015-12-30
Highly efficient zinc compounds (Zn3N2, ZnO, ZnS, and ZnSe) have been investigated as low-cost electrocatalysts for the counter electrodes (CE) of dye-sensitized solar cells (DSSCs). Among them, Zn3N2 and ZnSe are introduced for the first time in DSSCs. The zinc compounds were separately mixed with a conducting binder, poly(3,4-ethylene-dioxythiophene):poly(styrenesulfonate) ( PSS), and thereby four composite films of Zn3N2/PEDOT:PSS, ZnO/PEDOT:PSS, ZnS/PEDOT:PSS, and ZnSe/ PSS were coated on the tin-doped indium oxide (ITO) substrates through a simple drop-coating process. In the composite film, nanoparticles of the zinc compound form active sites for the electrocatalytic reduction of triiodide ions, and PSS provides a continuous conductive matrix for fast electron transfer. By varying the weight percentage (5-20 wt %) of a zinc compound with respect to the weight of the PSS, the optimized concentration of a zinc compound was found to be 10 wt % in all four cases, based on the photovoltaic performances of the corresponding DSSCs. At this concentration (10 wt %), the composites films with Zn3N2 (Zn3N2-10), ZnO (ZnO-10), ZnS (ZnS-10), and ZnSe (ZnSe-10) rendered, for their DSSCs, power conversion efficiencies (η) of 8.73%, 7.54%, 7.40%, and 8.13%, respectively. The difference in the power conversion efficiency is explained based on the electrocatalytic abilities of those composite films as determined by cyclic voltammetry (CV), Tafel polarization plots, and electrochemical impedance spectroscopy (EIS) techniques. The energy band gaps of the zinc compounds, obtained by density functional theory (DFT) calculations, were used to explain the electrocatalytic behaviors of the compounds. Among all the zinc-based composites, the one with Zn3N2-10 showed the best electrocatalytic ability and thereby rendered for its DSSC the highest η of 8.73%, which is even higher than that of the cell with the traditional Pt CE (8.50%). Therefore, Zn3N2 can be considered as a promising
Polylactic acid/zinc oxide biocomposite films for food packaging application.
Marra, Antonella; Silvestre, Clara; Duraccio, Donatella; Cimmino, Sossio
2016-07-01
Although PLA is much more expensive than polyolefins, such as PP and PE, there is a great interest to propose PLA based material as alternative films for food packaging being PLA derivable from natural source, compostable and biodegradable. For this purpose the research has the task to investigate and propose PLA materials with enhanced properties to be effectively and efficiently alternative to polyolefin films for food packaging application. In this contribution, biocomposite films of PLA with 1, 3 and 5wt% of ZnO have been investigated to determine mechanical, barrier and antimicrobial (against Escherichia coli) properties. It is found that the biocomposite films are characterized by a good dispersion of the ZnO particles in PLA matrix, although no previous treatment was performed on ZnO particles, such as silanization, to decrease its incompatibility with the polymer. The biocomposite films have shown good mechanical properties, decrease of permeability to CO2 and O2, and only a slight increase to water vapour. Particularly important is that, for the biocomposite with 5wt% of ZnO, the % Reduction for E. Coli test reached the value of 99.99 already after 24h. Copyright © 2016 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Pathak, Trilok Kumar; Kumar, Vinod; Swart, H. C.; Purohit, L. P.
2016-03-01
Undoped, doped and codoped ZnO thin films were synthesized on glass substrates using a spin coating technique. Zinc acetate dihydrate, ammonium acetate and aluminum nitrate were used as precursor for zinc, nitrogen and aluminum, respectively. X-ray diffraction shows that the thin films have a hexagonal wurtzite structure for the undoped, doped and co-doped ZnO. The transmittance of the films was above 80% and the band gap of the film varied from 3.20 eV to 3.24 eV for undoped and doped ZnO. An energy band diagram to describe the photoluminescence from the thin films was also constructed. This diagram includes the various defect levels and possible quasi-Fermi levels. A minimum resistivity of 0.0834 Ω-cm was obtained for the N and Al codoped ZnO thin films with p-type carrier conductivity. These ZnO films can be used as a window layer in solar cells and in UV lasers.
Varaprasad, Kokkarachedu; Pariguana, Manuel; Raghavendra, Gownolla Malegowd; Jayaramudu, Tippabattini; Sadiku, Emmanuel Rotimi
2017-01-01
The present investigation describes the development of metal-oxide polymer nanocomposite films from biodegradable poly-ε-caprolactone, disposed poly(ethylene terephthalate) oil bottles monomer and zinc oxide-copper oxide nanoparticles. The terephthalic acid and zinc oxide-copper oxide nanoparticles were synthesized by using a temperature-dependent precipitation technique and double precipitation method, respectively. The terephthalic acid synthesized was confirmed by FTIR analysis and furthermore, it was characterized by thermal analysis. The as-prepared CuO-ZnO nanoparticles structure was confirmed by XRD analysis and its morphology was analyzed by SEM/EDS and TEM. Furthermore, the metal-oxide polymer nanocomposite films have excellent mechanical properties, with tensile strength and modulus better than pure films. The metal-oxide polymer nanocomposite films that were successfully developed show a relatively brighter colour when compared to CuO film. These new metal-oxide polymer nanocomposite films can replace many non-degradable plastics. The new metal-oxide polymer nanocomposite films developed are envisaged to be suitable for use in industrial and domestic packaging applications. Copyright © 2016 Elsevier B.V. All rights reserved.
Thin transparent W-doped indium-zinc oxide (WIZO) layer on glass.
Lee, Young-Jun; Lim, Byung-Wook; Kim, Joo-Hyung; Kim, Tae-Won; Oh, Byeong-Yun; Heo, Gi-Seok; Kim, Kwang-Young
2012-07-01
Annealing effect on structural and electrical properties of W-doped IZO (WIZO) films for thin film transistors (TFT) was studied under different process conditions. Thin WIZO films were deposited on glass substrates by RF magnetron co-sputtering technique using indium zinc oxide (10 wt.% ZnO-doped In2O3) and WO3 targets in room temperature. The post annealing temperature was executed from 200 degrees C to 500 degrees C under various O2/Ar ratios. We could not find any big difference from the surface observation of as grown films while it was found that the carrier density and sheet resistance of WIZO films were controlled by O2/Ar ratio and post annealing temperature. Furthermore, the crystallinity of WIZO film was changed as annealing temperature increased, resulting in amorphous structure at the annealing temperature of 200 degrees C, while clear In2O3 peak was observed for the annealed over 300 degrees C. The transmittance of as-grown films over 89% in visible range was obtained. As an active channel layer for TFT, it was found that the variation of resistivity, carrier density and mobility concentration of WIZO film decreased by annealing process.
Kessels, Jana Elena; Wessels, Inga; Haase, Hajo; Rink, Lothar; Uciechowski, Peter
2016-09-01
The distribution of intracellular zinc, predominantly regulated through zinc transporters and zinc binding proteins, is required to support an efficient immune response. Epigenetic mechanisms such as DNA methylation are involved in the expression of these genes. In demethylation experiments using 5-Aza-2'-deoxycytidine (AZA) increased intracellular (after 24 and 48h) and total cellular zinc levels (after 48h) were observed in the myeloid cell line HL-60. To uncover the mechanisms that cause the disturbed zinc homeostasis after DNA demethylation, the expression of human zinc transporters and zinc binding proteins were investigated. Real time PCR analyses of 14 ZIP (solute-linked carrier (SLC) SLC39A; Zrt/IRT-like protein), and 9 ZnT (SLC30A) zinc transporters revealed significantly enhanced mRNA expression of the zinc importer ZIP1 after AZA treatment. Because ZIP1 protein was also enhanced after AZA treatment, ZIP1 up-regulation might be the mediator of enhanced intracellular zinc levels. The mRNA expression of ZIP14 was decreased, whereas zinc exporter ZnT3 mRNA was also significantly increased; which might be a cellular reaction to compensate elevated zinc levels. An enhanced but not significant chromatin accessibility of ZIP1 promoter region I was detected by chromatin accessibility by real-time PCR (CHART) assays after demethylation. Additionally, DNA demethylation resulted in increased mRNA accumulation of zinc binding proteins metallothionein (MT) and S100A8/S100A9 after 48h. MT mRNA was significantly enhanced after 24h of AZA treatment also suggesting a reaction of the cell to restore zinc homeostasis. These data indicate that DNA methylation is an important epigenetic mechanism affecting zinc binding proteins and transporters, and, therefore, regulating zinc homeostasis in myeloid cells. Copyright © 2016 Elsevier GmbH. All rights reserved.
Buonsanti, Raffaella; Llordes, Anna; Aloni, Shaul; Helms, Brett A; Milliron, Delia J
2011-11-09
Plasmonic nanocrystals have been attracting a lot of attention both for fundamental studies and different applications, from sensing to imaging and optoelectronic devices. Transparent conductive oxides represent an interesting class of plasmonic materials in addition to metals and vacancy-doped semiconductor quantum dots. Herein, we report a rational synthetic strategy of high-quality colloidal aluminum-doped zinc oxide nanocrystals. The presence of substitutional aluminum in the zinc oxide lattice accompanied by the generation of free electrons is proved for the first time by tunable surface plasmon absorption in the infrared region both in solution and in thin films.
Zinc Oxide Thin-Film Transistors
NASA Astrophysics Data System (ADS)
Fortunato, E.; Barquinha, P.; Pimentel, A.; Gonçalves, A.; Marques, A.; Pereira, L.; Martins, R.
ZnO thin film transistors (ZnO-TFT) have been fabricated by rf magnetron sputtering at room temperature with a bottom-gate configuration. The ZnO-TFT operates in the enhancement mode with a threshold voltage of 21 V, a field effect mobility of 20 cm2/Vs, a gate voltage swing of 1.24 V/decade and an on/off ratio of 2×105. The ZnO-TFT present an average optical transmission (including the glass substrate) of 80 % in the visible part of the spectrum. The combination of transparency, high channel mobility and room temperature processing makes the ZnO-TFT a very promising low cost optoelectronic device for the next generation of invisible and flexible electronics. Moreover, the processing technology used to fabricate this device is relatively simple and it is compatible with inexpensive plastic/flexible substrate technology.
Method of capturing or trapping zinc using zinc getter materials
DOE Office of Scientific and Technical Information (OSTI.GOV)
Hunyadi Murph, Simona E.; Korinko, Paul S.
2017-07-11
A method of trapping or capturing zinc is disclosed. In particular, the method comprises a step of contacting a zinc vapor with a zinc getter material. The zinc getter material comprises nanoparticles and a metal substrate.
NASA Astrophysics Data System (ADS)
Stoldt, Conrad R.; Bright, Victor M.
2006-05-01
A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wibowo, Singgih, E-mail: singgih@st.fisika.undip.ac.id; Sutanto, Heri, E-mail: herisutanto@undip.ac.id
2016-02-08
Double layer (DL) thin films of zinc oxide and silver-doped zinc oxide (ZnO/ZnO:Ag) were deposited on glass substrate by sol-gel spray coating technique. The prepared thin films were subjected for optical and photocatalytic studies. UV-visible transmission spectra shows that the subtitution of Ag in ZnO leads to band gap reduction. The influence of Ag doping on the photocatalytic activity of ZnO for the degradation of methylene blue dye was studied under solar radiation. The light absorption over an extended visible region by Ag ion doping in ZnO film contributed equally to improve the photocatalytic activity up to 98.29%.
Optical materials and films applied in industrial lasers
NASA Astrophysics Data System (ADS)
Zhang, Peng; Liu, Shengyong
1999-09-01
Optical materials and films are often used in industrial lasers. Most of industrial lasers work at visible spectrum and near-infrared spectrum. Only CO2 laser works at far- infrared region (10.6 micrometers ). The optical materials and films are categorized in this article, and the properties of the materials and films are related. From visible to infrared spectrum, many optical materials can be used: K9 glass, fused silica, germanium, gallium arsenide, zinc selenide, silicon, copper, and so on. Optical films for lasers include reflection coating, antireflection coating, edge filter, VRM (variable reflectance mirror) coating and polarizer. The characteristic and application of them will be introduced.
Effect of intrinsic zinc oxide coating on the properties of Al-doped zinc oxide nanorod arrays
NASA Astrophysics Data System (ADS)
Saidi, S. A.; Mamat, M. H.; Ismail, A. S.; Malek, M. F.; Yusoff, M. M.; Sin, N. D. Md.; Zoolfakar, A. S.; Khusaimi, Z.; Rusop, M.
2018-05-01
The aim of this study was to explore the influence of intrinsic zinc oxide (ZnO) coating fabricated by a simple immersion method. X-ray powder diffraction (XRD) analysis indicated that the Al-doped ZnO nanorod arrays films had a hexagonal wurtzite structure, similar to that of an intrinsic ZnO coating. Structural properties of the samples were characterised using field emission scanning electron microscopy (FESEM; JEOL JSM-7600F) and optical properties using X-ray diffraction (XRD). The XRD results showed that all films were crystallized under hexagonal wurtzite structure and presented a preferential orientation along the c-axis (002) was obtained. The XRD results showed that the intrinsic ZnO coating material had a strong orientation, whereas the ZnO was randomly oriented. Overall these results indicate that intrinsic ZnO coating are pontetial for the creation of functional materials such as barrier protection, optoelectronic devices, humidity sensor and ultraviolet photoconductive sensor.
Review of Zinc Oxide Thin Films
2014-12-23
decomposition. Chemical stability of ZnO improves significantly with addition of dopants (such as Al, Ga, fluorine (F), Cobalt (Co)). The best...films. However, it is achieved by producing them with a non-stoichiometric composition or by introducing appropriate dopants which decrease the...non‐stoichiometric composition or by introducing appropriate dopants which decrease the resistivity while retaining a good transparency [3
Photoelectrochemical properties of highly mobilized Li-doped ZnO thin films.
Shinde, S S; Bhosale, C H; Rajpure, K Y
2013-03-05
Li-doped ZnO thin films with preferred (002) orientation have been prepared by spray pyrolysis technique in aqueous medium on to the corning glass substrates. The effect of Li-doping on to the photoelectrochemical, structural, morphological, optical, luminescence, electrical and thermal properties has been investigated. XRD and Raman study indicates that the films have hexagonal crystal structure. The transmittance, reflectance, refractive index, extinction coefficient and bandgap have been analyzed by optical study. PL spectra consist of a near band edge and visible emission due to the electronic defects, which are related to deep level emissions, such as oxide antisite (OZn), interstitial zinc (Zni), interstitial oxygen (Oi) and zinc vacancy (VZn). The Li-doped ZnO films prepared for 1at% doping possesses the highest electron mobility of 102cm(2)/Vs and carrier concentration of 3.62×10(19)cm(-3). Finally, degradation of 2,4,6-Trinitrotoluene using Li-doped ZnO thin films has been reported. Copyright © 2013 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Nag, Manoj; Bhoolokam, Ajay; Steudel, Soeren; Chasin, Adrian; Myny, Kris; Maas, Joris; Groeseneken, Guido; Heremans, Paul
2014-11-01
We report on the impact of source/drain (S/D) metal (molybdenum) etch and the final passivation (SiO2) layer on the bias-stress stability of back-channel-etch (BCE) configuration based amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). It is observed that the BCE configurations TFTs suffer poor bias-stability in comparison to etch-stop-layer (ESL) TFTs. By analysis with transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS), as well as by a comparative analysis of contacts formed by other metals, we infer that this poor bias-stability for BCE transistors having Mo S/D contacts is associated with contamination of the back channel interface, which occurs by Mo-containing deposits on the back channel during the final plasma process of the physical vapor deposited SiO2 passivation.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lai, Hsin-Cheng; Pei, Zingway, E-mail: zingway@dragon.nchu.edu.tw; Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung 40227, Taiwan
In this study, the Al{sub 2}O{sub 3} nanoparticles were incorporated into polymer as a nono-composite dielectric for used in a flexible amorphous Indium-Gallium-Zinc Oxide (a-IGZO) thin-film transistor (TFT) on a polyethylene naphthalate substrate by solution process. The process temperature was well below 100 °C. The a-IGZO TFT exhibit a mobility of 5.13 cm{sup 2}/V s on the flexible substrate. After bending at a radius of 4 mm (strain = 1.56%) for more than 100 times, the performance of this a-IGZO TFT was nearly unchanged. In addition, the electrical characteristics are less altered after positive gate bias stress at 10 V for 1500 s. Thus, this technology ismore » suitable for use in flexible displays.« less
Zinc in human health: effect of zinc on immune cells.
Prasad, Ananda S
2008-01-01
Although the essentiality of zinc for plants and animals has been known for many decades, the essentiality of zinc for humans was recognized only 40 years ago in the Middle East. The zinc-deficient patients had severe immune dysfunctions, inasmuch as they died of intercurrent infections by the time they were 25 years of age. In our studies in an experimental human model of zinc deficiency, we documented decreased serum testosterone level, oligospermia, severe immune dysfunctions mainly affecting T helper cells, hyperammonemia, neurosensory disorders, and decreased lean body mass. It appears that zinc deficiency is prevalent in the developing world and as many as two billion subjects may be growth retarded due to zinc deficiency. Besides growth retardation and immune dysfunctions, cognitive impairment due to zinc deficiency also has been reported recently. Our studies in the cell culture models showed that the activation of many zinc-dependent enzymes and transcription factors were adversely affected due to zinc deficiency. In HUT-78 (T helper 0 [Th(0)] cell line), we showed that a decrease in gene expression of interleukin-2 (IL-2) and IL-2 receptor alpha(IL-2Ralpha) were due to decreased activation of nuclear factor-kappaB (NF-kappaB) in zinc deficient cells. Decreased NF-kappaB activation in HUT-78 due to zinc deficiency was due to decreased binding of NF-kappaB to DNA, decreased level of NF-kappaB p105 (the precursor of NF-kappaB p50) mRNA, decreased kappaB inhibitory protein (IkappaB) phosphorylation, and decreased Ikappa kappa. These effects of zinc were cell specific. Zinc also is an antioxidant and has anti-inflammatory actions. The therapeutic roles of zinc in acute infantile diarrhea, acrodermatitis enteropathica, prevention of blindness in patients with age-related macular degeneration, and treatment of common cold with zinc have been reported. In HL-60 cells (promyelocytic leukemia cell line), zinc enhances the up-regulation of A20 mRNA, which, via TRAF
Zinc oxide nanowire networks for macroelectronic devices
NASA Astrophysics Data System (ADS)
Unalan, Husnu Emrah; Zhang, Yan; Hiralal, Pritesh; Dalal, Sharvari; Chu, Daping; Eda, Goki; Teo, K. B. K.; Chhowalla, Manish; Milne, William I.; Amaratunga, Gehan A. J.
2009-04-01
Highly transparent zinc oxide (ZnO) nanowire networks have been used as the active material in thin film transistors (TFTs) and complementary inverter devices. A systematic study on a range of networks of variable density and TFT channel length was performed. ZnO nanowire networks provide a less lithographically intense alternative to individual nanowire devices, are always semiconducting, and yield significantly higher mobilites than those achieved from currently used amorphous Si and organic TFTs. These results suggest that ZnO nanowire networks could be ideal for inexpensive large area electronics.
Solution-processed zinc oxide field-effect transistors based on self-assembly of colloidal nanorods.
Sun, Baoquan; Sirringhaus, Henning
2005-12-01
Colloidal zinc oxide (ZnO) nanocrystals are attractive candidates for a low-temperature and solution-processible semiconductor for high-performance thin-film field-effect transistors (TFTs). Here we show that by controlling the shape of the nanocrystals from spheres to rods the semiconducting properties of spin-coated ZnO films can be much improved as a result of increasing particle size and self-alignment of the nanorods along the substrate. Postdeposition hydrothermal growth in an aqueous zinc ion solution has been found to further enhance grain size and connectivity and improve device performance. TFT devices made from 65-nm-long and 10-nm-wide nanorods deposited by spin coating have been fabricated at moderate temperatures of 230 degrees C with mobilities of 0.61 cm(2)V(-1)s(-1) and on/off ratios of 3 x 10(5) after postdeposition growth, which is comparable to the characteristics of TFTs fabricated by traditional sputtering methods.
Zeyada, H M; Makhlouf, M M; El-Nahass, M M
2015-09-05
In this work, we report on the effect of γ-ray irradiation and annealing temperature on the optical properties of metal-free tetraphenylporphyrin, H2TPP, and zinc tetraphenylporphyrin, ZnTPP, thin films. Thin films of H2TPP and ZnTPP were successfully prepared by the thermal evaporation technique. The optical properties of H2TPP and ZnTPP films were investigated using spectrophotometric measurements of the transmittance and reflectance at normal incidence of light in the wavelength range from 200 to 2500 nm. The absorption spectra of H2TPP showed four absorption bands, namely the Q, B, N and M bands. The effect of inserting Zn atom into the cavity of porphyrin macrocycle in ZnTPP molecule distorted the Q and B bands, reduced the width of absorption region and influenced the optical constants and dispersion parameters. In all conditions, the type of electron transition is indirect allowed transition. Anomalous dispersion is observed in the absorption region but normal dispersion occurs in the transparent region of spectra. We adopted multi-oscillator model and the single oscillator model to interpret the anomalous and normal dispersion, respectively. We have found that the annealing temperature has mostly the opposite effect of γ-ray irradiation on absorption and dispersion characteristics of these films. Copyright © 2015 Elsevier B.V. All rights reserved.
Geiser, Jim; De Lisle, Robert C.; Andrews, Glen K.
2013-01-01
Background ZIP5 localizes to the baso-lateral membranes of intestinal enterocytes and pancreatic acinar cells and is internalized and degraded coordinately in these cell-types during periods of dietary zinc deficiency. These cell-types are thought to control zinc excretion from the body. The baso-lateral localization and zinc-regulation of ZIP5 in these cells are unique among the 14 members of the Slc39a family and suggest that ZIP5 plays a role in zinc excretion. Methods/Principal Findings We created mice with floxed Zip5 genes and deleted this gene in the entire mouse or specifically in enterocytes or acinar cells and then examined the effects on zinc homeostasis. We found that ZIP5 is not essential for growth and viability but total knockout of ZIP5 led to increased zinc in the liver in mice fed a zinc-adequate (ZnA) diet but impaired accumulation of pancreatic zinc in mice fed a zinc-excess (ZnE) diet. Loss-of-function of enterocyte ZIP5, in contrast, led to increased pancreatic zinc in mice fed a ZnA diet and increased abundance of intestinal Zip4 mRNA. Finally, loss-of-function of acinar cell ZIP5 modestly reduced pancreatic zinc in mice fed a ZnA diet but did not impair zinc uptake as measured by the rapid accumulation of 67zinc. Retention of pancreatic 67zinc was impaired in these mice but the absence of pancreatic ZIP5 sensitized them to zinc-induced pancreatitis and exacerbated the formation of large cytoplasmic vacuoles containing secretory protein in acinar cells. Conclusions These studies demonstrate that ZIP5 participates in the control of zinc excretion in mice. Specifically, they reveal a paramount function of intestinal ZIP5 in zinc excretion but suggest a role for pancreatic ZIP5 in zinc accumulation/retention in acinar cells. ZIP5 functions in acinar cells to protect against zinc-induced acute pancreatitis and attenuate the process of zymophagy. This suggests that it may play a role in autophagy. PMID:24303081
Nabulo, Grace; Oryem-Origa, Hannington; Diamond, Miriam
2006-05-01
The relationship between traffic density and trace metal concentrations in roadside soils, surface films, and a selected vegetable weed, Amaranthus dubius Mart. Ex Thell., was determined in 11 farming sites along major highways around Kampala City in Uganda. Surface soil, atmospherically deposited surface films on windows, and leaves of Amaranthus dubius were sampled at known distances from the roads and analyzed for lead (Pb), zinc (Zn), and cadmium (Cd) using flame atomic absorption spectrophotometry. Atmospherically deposited trace metal particulates were sampled using window glass as an inert, passive collector. Total trace metal concentrations in soils ranged from 30.0+/-2.3 to 64.6+/-11.7 mg/kg Pb, 78.4+/-18.4 to 265.6+/-63.2 mg/kg Zn, and 0.8+/-0.13 to 1.40+/-0.16 mg/kg Cd. Total trace metal levels in soil decreased rapidly with distance from the road. Total Pb decreased with distance up to 30 m from the road, where it reached a background soil concentration of 28 mg/kg dry weight. The study found background values of 50 and 1.4 mg/kg for Zn and Cd in roadside soils, respectively. Similarly, Pb concentration in Amaranthus dubius leaves decreased with increasing distance from the road edge. The dominant pathway for Pb contamination was from atmospheric deposition, which was consistent with Pb concentrations in surface films. The mean Pb concentrations in leaves of roadside crops were higher than those in their respective roots, with the highest leaf-to-root ratio observed in the Brassica oleraceae acephala group. The lowest Pb and Zn concentrations were found in the fruit compared to the leaves of the same crops. Leaves of roadside vegetables were therefore considered a potential source of heavy metal contamination to farmers and consumers in urban areas. It is recommended that leafy vegetables should be grown 30 m from roads in high-traffic, urban areas.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nabulo, Grace; Oryem-Origa, Hannington; Diamond, Miriam
2006-05-15
The relationship between traffic density and trace metal concentrations in roadside soils, surface films, and a selected vegetable weed, Amaranthus dubius Mart. Ex Thell., was determined in 11 farming sites along major highways around Kampala City in Uganda. Surface soil, atmospherically deposited surface films on windows, and leaves of Amaranthus dubius were sampled at known distances from the roads and analyzed for lead (Pb), zinc (Zn), and cadmium (Cd) using flame atomic absorption spectrophotometry. Atmospherically deposited trace metal particulates were sampled using window glass as an inert, passive collector. Total trace metal concentrations in soils ranged from 30.0{+-}2.3 to 64.6{+-}11.7more » mg/kg Pb, 78.4{+-}18.4 to 265.6{+-}63.2 mg/kg Zn, and 0.8{+-}0.13 to 1.40{+-}0.16 mg/kg Cd. Total trace metal levels in soil decreased rapidly with distance from the road. Total Pb decreased with distance up to 30 m from the road, where it reached a background soil concentration of 28 mg/kg dry weight. The study found background values of 50 and 1.4 mg/kg for Zn and Cd in roadside soils, respectively. Similarly, Pb concentration in Amaranthus dubius leaves decreased with increasing distance from the road edge. The dominant pathway for Pb contamination was from atmospheric deposition, which was consistent with Pb concentrations in surface films. The mean Pb concentrations in leaves of roadside crops were higher than those in their respective roots, with the highest leaf-to-root ratio observed in the Brassica oleraceae acephala group. The lowest Pb and Zn concentrations were found in the fruit compared to the leaves of the same crops. Leaves of roadside vegetables were therefore considered a potential source of heavy metal contamination to farmers and consumers in urban areas. It is recommended that leafy vegetables should be grown 30 m from roads in high-traffic, urban areas.« less
Structural, morphological and optical properties of ZnSe quantum dot thin films.
Zedan, I T; Azab, A A; El-Menyawy, E M
2016-02-05
ZnSe powder was prepared via hydrothermal technique using zinc acetate and sodium selenite as source materials. The prepared ZnSe powder was used for preparing film with different thickness values (95, 135 and 230 nm) via thermal evaporation technique. X-ray diffraction showed that the prepared powder has cubic zinc-blende structure with a space group, F43m. The high resolution transmittance electron microscope results show that the films are composed of spherical-shaped nanoparticles with a diameter in the range of 2-8 nm. The optical properties of ZnSe films with differing thicknesses are investigated by means of spectrophotometric measurements of the photoluminescence, transmittance and reflectance. The absorption coefficient of the films is calculated and the optical band gap is estimated. The refractive index of the films is determined and its normal dispersion behavior is analyzed on the basis of a single oscillator model, in which oscillator energy, dispersion energy and dielectric constant at high frequency are evaluated. Drude model is also applied to determine the lattice dielectric constant and the ratio of the carriers' concentration to their effective mass. Copyright © 2015 Elsevier B.V. All rights reserved.
Photoelectrochemical behaviour of anatase nanoporous films: effect of the nanoparticle organization
NASA Astrophysics Data System (ADS)
Lana-Villarreal, Teresa; Mao, Yuanbing; Wong, Stanislaus S.; Gómez, Roberto
2010-09-01
The photoelectrochemical behaviour of anatase thin films with different nanoarchitectures and the same active surface area (or thickness) has been studied in acidic media in the absence and in the presence of formic acid. The electrodes were composed of either wire-like nanocrystal aggregates or commercial TiO2 nanoparticles. Cyclic voltammetry in the dark reveals a larger trap concentration in the band gap for the nanoparticulate (NP) electrodes, which can be ascribed to a larger number of intergrain boundaries. Also under illumination, the behaviour for both types of anatase structures significantly differs: water photooxidation arises at more negative potentials for the nanocolumnar (NC) electrodes. In the presence of an efficient hole acceptor such as HCOOH, significantly larger photocurrents were noted for the NC films as compared with those for the NP electrodes, with the photocurrent onset also shifted towards more positive potentials for the latter. These results point to a diminished electron recombination, which can be related with a smaller concentration of intergrain boundaries, together with a more efficient HCOOH hole transfer for the wire-like nanocrystal aggregate architecture. In addition, the oxygen reduction reaction is also favoured in the case of NC electrodes.The photoelectrochemical behaviour of anatase thin films with different nanoarchitectures and the same active surface area (or thickness) has been studied in acidic media in the absence and in the presence of formic acid. The electrodes were composed of either wire-like nanocrystal aggregates or commercial TiO2 nanoparticles. Cyclic voltammetry in the dark reveals a larger trap concentration in the band gap for the nanoparticulate (NP) electrodes, which can be ascribed to a larger number of intergrain boundaries. Also under illumination, the behaviour for both types of anatase structures significantly differs: water photooxidation arises at more negative potentials for the nanocolumnar
AZO nanorods thin films by sputtering method
NASA Astrophysics Data System (ADS)
Rosli, A. B.; Shariffudin, S. S.; Awang, Z.; Herman, S. H.
2018-05-01
Al-doped zinc oxide (AZO) nanorods thin film were deposited on Au catalyst using RF sputtering at 300 °C. The 15 nm thickness Au catalyst were deposited on glass substrates by sputtering method followed by annealing for 15 min at 500 °C to form Au nanostructures on the glass substrate. The AZO thin films were then deposited on Au catalyst at different RF power ranging from 50 - 200 W. The morphology of AZO was characterized using Field Emission Scanning Electron Microscopy while X-ray Diffraction was used to examine crystallinity of AZO thin films. From this work, the AZO nanorods was found grow at 200 W RF power.
Dual-step synthesis of 3-dimensional niobium oxide - Zinc oxide
NASA Astrophysics Data System (ADS)
Rani, Rozina Abdul; Zoolfakar, Ahmad Sabirin; Rusop, M.
2018-05-01
A facile fabrication process for constructing 3-dimensional (3D) structure of Niobium oxide - Zinc oxide (Nb2O5-ZnO) consisting of branched ZnO microrods on top of nanoporous Nb2O5 films was developed based on dual-step synthesis approach. The preliminary procedure was anodization of sputtered niobium metal on Fluorine doped Tin Oxide (FTO) to produce nanoporous Nb2O5, and continued with the growth of branched microrods of ZnO by hydrothermal process. This approach offers insight knowledge on the development of novel 3D metal oxide films via dual-step synthesis process, which might potentially use for multi-functional applications ranging from sensing to photoconversion.
Zinc pharmacokinetic parameters in the determination of body zinc status in children.
Vale, S H L; Leite, L D; Alves, C X; Dantas, M M G; Costa, J B S; Marchini, J S; França, M C; Brandão-Neto, J
2014-02-01
Serum or tissue zinc concentrations are often used to assess body zinc status. However, all of these methods are relatively inaccurate. Thus, we investigated three different kinetic methods for the determination of zinc clearance to establish which of these could detect small changes in the body zinc status of children. Forty apparently healthy children were studied. Renal handling of zinc was investigated during intravenous zinc administration (0.06537 mg Zn/kg of body weight), both before and after oral zinc supplementation (5 mg Zn/day for 3 months). Three kinetic methods were used to determine zinc clearance: CZn-Formula A and CZn-Formula B were both used to calculate systemic clearance; the first is a general formula and the second is used for the specific analysis of a single-compartment model; CZn-Formula C is widely used in medical practices to analyze kinetic routine. Basal serum zinc values, which were within the reference range for healthy children, increased significantly after oral zinc supplementation. The three formulas used gave different results for zinc clearance both before and after oral zinc supplementation. CZn-Formula B showed a positive correlation with basal serum zinc concentration after oral supplementation (R2=0.1172, P=0.0306). In addition, CZn-Formula B (P=0.0002) was more effective than CZn-Formula A (P=0.6028) and CZn-Formula C (P=0.0732) in detecting small variations in body zinc status. All three of the formulas used are suitable for studying zinc kinetics; however, CZn-Formula B is particularly effective at detecting small changes in body zinc status in healthy children.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jeong, Ho-young; LG Display R and D Center, 245 Lg-ro, Wollong-myeon, Paju-si, Gyeonggi-do 413-811; Lee, Bok-young
We report thermally stable coplanar amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with heavily doped n{sup +} a-IGZO source/drain regions. Doping is through He plasma treatment in which the resistivity of the a-IGZO decreases from 2.98 Ω cm to 2.79 × 10{sup −3} Ω cm after treatment, and then it increases to 7.92 × 10{sup −2} Ω cm after annealing at 300 °C. From the analysis of X-ray photoelectron spectroscopy, the concentration of oxygen vacancies in He plasma treated n{sup +}a-IGZO does not change much after thermal annealing at 300 °C, indicating thermally stable n{sup +} a-IGZO, even for TFTs with channel length L = 4 μm. Field-effect mobility of the coplanar a-IGZO TFTsmore » with He plasma treatment changes from 10.7 to 9.2 cm{sup 2}/V s after annealing at 300 °C, but the performance of the a-IGZO TFT with Ar or H{sub 2} plasma treatment degrades significantly after 300 °C annealing.« less
Morphology control of zinc regeneration for zinc-air fuel cell and battery
NASA Astrophysics Data System (ADS)
Wang, Keliang; Pei, Pucheng; Ma, Ze; Xu, Huachi; Li, Pengcheng; Wang, Xizhong
2014-12-01
Morphology control is crucial both for zinc-air batteries and for zinc-air fuel cells during zinc regeneration. Zinc dendrite should be avoided in zinc-air batteries and zinc pellets are yearned to be formed for zinc-air fuel cells. This paper is mainly to analyze the mechanism of shape change and to control the zinc morphology during charge. A numerical three-dimensional model for zinc regeneration is established with COMSOL software on the basis of ionic transport theory and electrode reaction electrochemistry, and some experiments of zinc regeneration are carried out. The deposition process is qualitatively analyzed by the kinetics Monte Carlo method to study the morphological change from the electrocrystallization point of view. Morphological evolution of deposited zinc under different conditions of direct currents and pulse currents is also investigated by simulation. The simulation shows that parametric variables of the flowing electrolyte, the surface roughness and the structure of the electrode, the charging current and mode affect morphological evolution. The uniform morphology of deposited zinc is attained at low current, pulsating current or hydrodynamic electrolyte, and granular morphology is obtained by means of an electrode of discrete columnar structure in combination with high current and flowing electrolyte.
Zhao, Fuli; Yao, Dan; Guo, Ruiwei; Deng, Liandong; Dong, Anjie; Zhang, Jianhua
2015-01-01
Due to their unique structures and properties, three-dimensional hydrogels and nanostructured particles have been widely studied and shown a very high potential for medical, therapeutic and diagnostic applications. However, hydrogels and nanoparticulate systems have respective disadvantages that limit their widespread applications. Recently, the incorporation of nanostructured fillers into hydrogels has been developed as an innovative means for the creation of novel materials with diverse functionality in order to meet new challenges. In this review, the fundamentals of hydrogels and nanoparticles (NPs) were briefly discussed, and then we comprehensively summarized recent advances in the design, synthesis, functionalization and application of nanocomposite hydrogels with enhanced mechanical, biological and physicochemical properties. Moreover, the current challenges and future opportunities for the use of these promising materials in the biomedical sector, especially the nanocomposite hydrogels produced from hydrogels and polymeric NPs, are discussed. PMID:28347111
NASA Astrophysics Data System (ADS)
Migliorato, Piero; Delwar Hossain Chowdhury, Md; Gwang Um, Jae; Seok, Manju; Jang, Jin
2012-09-01
The analysis of current-voltage (I-V) and capacitance-voltage (C-V) characteristics for amorphous indium gallium zinc oxide Thin film transistors as a function of active layer thickness shows that negative bias under illumination stress (NBIS) is quantitatively explained by creation of a bulk double donor, with a shallow singly ionized state ɛ(0/+) > EC-0.073 eV and a deep doubly ionized state ɛ(++/+) < EC-0.3 eV. The gap density of states, extracted from the capacitance-voltage curves, shows a broad peak between EC-E = 0.3 eV and 1.0 eV, which increases in height with NBIS stress time and corresponds to the broadened transition energy between singly and doubly ionized states. We propose that the center responsible is an oxygen vacancy and that the presence of a stable singly ionized state, necessary to explain our experimental results, could be due to the defect environment provided by the amorphous network.
Liuzzi, Juan P.; Guo, Liang; Yoo, Changwon; Stewart, Tiffanie S
2014-01-01
Autophagy is a highly conserved degradative process through which cells overcome stressful conditions. Inasmuch as faulty autophagy has been associated with aging, neuronal degeneration disorders, diabetes, and fatty liver, autophagy is regarded as a potential therapeutic target. This review summarizes the present state of knowledge concerning the role of zinc in the regulation of autophagy, the role of autophagy in zinc metabolism, and the potential role of autophagy as a mediator of the protective effects of zinc. Data from in vitro studies consistently support the notion that zinc is critical for early and late autophagy. Studies have shown inhibition of early and late autophagy in cells cultured in medium treated with zinc chelators. Conversely, excess zinc added to the medium has shown to potentiate the stimulation of autophagy by tamoxifen, H2O2, ethanol and dopamine. The potential role of autophagy in zinc homeostasis has just begun to be investigated.Increasing evidence indicates that autophagy dysregulation causes significant changes in cellular zinc homeostasis. Autophagy may mediate the protective effect of zinc against lipid accumulation, apoptosis and inflammation by promoting degradation of lipid droplets, inflammasomes, p62/SQSTM1 and damaged mitochondria.Studies with humans and animal models are necessary to determine whether autophagy is influenced by zinc intake. PMID:25012760
A dynamic model for predicting growth in zinc-deficient stunted infants given supplemental zinc.
Wastney, Meryl E; McDonald, Christine M; King, Janet C
2018-05-01
Zinc deficiency limits infant growth and increases susceptibility to infections, which further compromises growth. Zinc supplementation improves the growth of zinc-deficient stunted infants, but the amount, frequency, and duration of zinc supplementation required to restore growth in an individual child is unknown. A dynamic model of zinc metabolism that predicts changes in weight and length of zinc-deficient, stunted infants with dietary zinc would be useful to define effective zinc supplementation regimens. The aims of this study were to develop a dynamic model for zinc metabolism in stunted, zinc-deficient infants and to use that model to predict the growth response when those infants are given zinc supplements. A model of zinc metabolism was developed using data on zinc kinetics, tissue zinc, and growth requirements for healthy 9-mo-old infants. The kinetic model was converted to a dynamic model by replacing the rate constants for zinc absorption and excretion with functions for these processes that change with zinc intake. Predictions of the dynamic model, parameterized for zinc-deficient, stunted infants, were compared with the results of 5 published zinc intervention trials. The model was then used to predict the results for zinc supplementation regimes that varied in the amount, frequency, and duration of zinc dosing. Model predictions agreed with published changes in plasma zinc after zinc supplementation. Predictions of weight and length agreed with 2 studies, but overpredicted values from a third study in which other nutrient deficiencies may have been growth limiting; the model predicted that zinc absorption was impaired in that study. The model suggests that frequent, smaller doses (5-10 mg Zn/d) are more effective for increasing growth in stunted, zinc-deficient 9-mo-old infants than are larger, less-frequent doses. The dose amount affects the duration of dosing necessary to restore and maintain plasma zinc concentration and growth.
Lifetime estimates for sterilizable silver-zinc battery separators
NASA Technical Reports Server (NTRS)
Cuddihy, E. F.; Walmsley, D. E.; Moacanin, J.
1972-01-01
The lifetime of separator membranes currently employed in the electrolyte environment of silver-zinc batteries was estimated at 3 to 5 years. The separator membranes are crosslinked polyethylene film containing grafted poly (potassium acrylate)(PKA), the latter being the hydrophilic agent which promotes electrolyte ion transport. The lifetime was estimated by monitoring the rate of loss of PKA from the separators, caused by chemical attack of the electrolyte, and relating this loss rate to a known relationship between battery performance and PKA concentration in the separators.
Effect of substrates on Zinc Oxide thin films fabrication using sol-gel method
NASA Astrophysics Data System (ADS)
Kadir, Rosmalini Ab; Taib, Nurmalina Mohd; Ahmad, Wan Rosmaria Wan; Aziz, Anees Abdul; Sabirin Zoolfakar, Ahmad
2018-03-01
The properties of ZnO thin films were deposited on three different substrates via dip coating method was investigated. The films were prepared on glass, ITO and p-type silicon. Characterization of the film revealed that the properties of the dip coated ZnO thin films were influenced by the type of substrates. The grains on ITO and glass were ∼10 nm in size while the grains on wafer agglomerate together to form a denser film. Studies of the optical properties using UV-VIS-NIR of the fabricated films demonstrated that glass has the highest transmittance compared to ITO.
Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD
NASA Astrophysics Data System (ADS)
Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi
2013-03-01
Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.
Leishmaniasis: focus on the design of nanoparticulate vaccine delivery systems.
Doroud, Delaram; Rafati, Sima
2012-01-01
Although mass vaccination of the entire population of an endemic area would be the most cost-effective tool to diminish Leishmania burden, an effective vaccine is not yet commercially available. Practically, vaccines have failed to achieve the required level of protection, possibly owing to the lack of an appropriate adjuvant and/or delivery system. Therefore, there is still an imperative demand for an improved, safe and efficient delivery system to enhance the immunogenicity of available vaccine candidates. Nanoparticles are proficient in boosting the quality and magnitude of immune responses in a predictable fashion. Herein, we discuss how nanoparticulate vaccine delivery systems can be used to induce appropriate immune responses against leishmaniasis by controlling physicochemical properties of the vaccine. Stability, production reproducibility, low cost per dose and low risk-benefit ratios are desirable characteristics of an ideal vaccine formulation and solid lipid nanoparticles may serve as one of the most promising practical strategies to help to achieve such a leishmanial vaccine, at least in canine species in the developing world.
NASA Astrophysics Data System (ADS)
Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao
2011-11-01
Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.
Lim, Karkeng; Abdul Hamid, Muhammad Azmi; Shamsudin, Roslinda; Al-Hardan, N.H.; Mansor, Ishak; Chiu, Weesiong
2016-01-01
In this paper, we address the synthesis of nano-coalesced microstructured zinc oxide thin films via a simple thermal evaporation process. The role of synthesis temperature on the structural, morphological, and optical properties of the prepared zinc oxide samples was deeply investigated. The obtained photoluminescence and X-ray photoelectron spectroscopy outcomes will be used to discuss the surface structure defects of the prepared samples. The results indicated that the prepared samples are polycrystalline in nature, and the sample prepared at 700 °C revealed a tremendously c-axis oriented zinc oxide. The temperature-driven morphological evolution of the zinc oxide nano-coalesced microstructures was perceived, resulting in transformation of quasi-mountain chain-like to pyramidal textured zinc oxide with increasing the synthesis temperature. The results also impart that the sample prepared at 500 °C shows a higher percentage of the zinc interstitial and oxygen vacancies. Furthermore, the intensity of the photoluminescence emission in the ultraviolet region was enhanced as the heating temperature increased from 500 °C to 700 °C. Lastly, the growth mechanism of the zinc oxide nano-coalesced microstructures is discussed according to the reaction conditions. PMID:28773425
Cooper, J.F.
1996-11-26
Uniform zinc pellets are formed for use in batteries having a stationary or moving slurry zinc particle electrode. The process involves the cathodic deposition of zinc in a finely divided morphology from battery reaction product onto a non-adhering electrode substrate. The mossy zinc is removed from the electrode substrate by the action of gravity, entrainment in a flowing electrolyte, or by mechanical action. The finely divided zinc particles are collected and pressed into pellets by a mechanical device such as an extruder, a roller and chopper, or a punch and die. The pure zinc pellets are returned to the zinc battery in a pumped slurry and have uniform size, density and reactivity. Applications include zinc-air fuel batteries, zinc-ferricyanide storage batteries, and zinc-nickel-oxide secondary batteries. 6 figs.
Cooper, John F.
1996-01-01
Uniform zinc pellets are formed for use in batteries having a stationary or moving slurry zinc particle electrode. The process involves the cathodic deposition of zinc in a finely divided morphology from battery reaction product onto a non-adhering electrode substrate. The mossy zinc is removed from the electrode substrate by the action of gravity, entrainment in a flowing electrolyte, or by mechanical action. The finely divided zinc particles are collected and pressed into pellets by a mechanical device such as an extruder, a roller and chopper, or a punch and die. The pure zinc pellets are returned to the zinc battery in a pumped slurry and have uniform size, density and reactivity. Applications include zinc-air fuel batteries, zinc-ferricyanide storage batteries, and zinc-nickel-oxide secondary batteries.
Properties of planar structures based on Policluster films of diamond and AlN
NASA Astrophysics Data System (ADS)
Belyanin, A. F.; Luchnikov, A. P.; Nalimov, S. A.; Bagdasarian, A. S.
2018-01-01
AlN films doped with zinc were grown on Si substrates by RF magnetron reactive sputtering of a compound target. Policluster films of diamond doped with boron were formed on layered Si/AlN substrates from the gas phase hydrogen and methane, activated arc discharge. By electron microscopy, X-ray diffraction and Raman spectroscopy the composition and structure of synthetic policluster films of diamond and AlN films were studied. Photovoltaic devices based on the AlN/PFD layered structure are presented.
Maywald, Martina; Wessels, Inga; Rink, Lothar
2017-10-24
Zinc homeostasis is crucial for an adequate function of the immune system. Zinc deficiency as well as zinc excess result in severe disturbances in immune cell numbers and activities, which can result in increased susceptibility to infections and development of especially inflammatory diseases. This review focuses on the role of zinc in regulating intracellular signaling pathways in innate as well as adaptive immune cells. Main underlying molecular mechanisms and targets affected by altered zinc homeostasis, including kinases, caspases, phosphatases, and phosphodiesterases, will be highlighted in this article. In addition, the interplay of zinc homeostasis and the redox metabolism in affecting intracellular signaling will be emphasized. Key signaling pathways will be described in detail for the different cell types of the immune system. In this, effects of fast zinc flux, taking place within a few seconds to minutes will be distinguish from slower types of zinc signals, also designated as "zinc waves", and late homeostatic zinc signals regarding prolonged changes in intracellular zinc.
Maywald, Martina; Wessels, Inga; Rink, Lothar
2017-01-01
Zinc homeostasis is crucial for an adequate function of the immune system. Zinc deficiency as well as zinc excess result in severe disturbances in immune cell numbers and activities, which can result in increased susceptibility to infections and development of especially inflammatory diseases. This review focuses on the role of zinc in regulating intracellular signaling pathways in innate as well as adaptive immune cells. Main underlying molecular mechanisms and targets affected by altered zinc homeostasis, including kinases, caspases, phosphatases, and phosphodiesterases, will be highlighted in this article. In addition, the interplay of zinc homeostasis and the redox metabolism in affecting intracellular signaling will be emphasized. Key signaling pathways will be described in detail for the different cell types of the immune system. In this, effects of fast zinc flux, taking place within a few seconds to minutes will be distinguish from slower types of zinc signals, also designated as “zinc waves”, and late homeostatic zinc signals regarding prolonged changes in intracellular zinc. PMID:29064429
Zinc in Cellular Regulation: The Nature and Significance of "Zinc Signals".
Maret, Wolfgang
2017-10-31
In the last decade, we witnessed discoveries that established Zn 2+ as a second major signalling metal ion in the transmission of information within cells and in communication between cells. Together with Ca 2+ and Mg 2+ , Zn 2+ covers biological regulation with redox-inert metal ions over many orders of magnitude in concentrations. The regulatory functions of zinc ions, together with their functions as a cofactor in about three thousand zinc metalloproteins, impact virtually all aspects of cell biology. This article attempts to define the regulatory functions of zinc ions, and focuses on the nature of zinc signals and zinc signalling in pathways where zinc ions are either extracellular stimuli or intracellular messengers. These pathways interact with Ca 2+ , redox, and phosphorylation signalling. The regulatory functions of zinc require a complex system of precise homeostatic control for transients, subcellular distribution and traffic, organellar homeostasis, and vesicular storage and exocytosis of zinc ions.
Althagafi, Talal M; Algarni, Saud A; Al Naim, Abdullah; Mazher, Javed; Grell, Martin
2015-12-14
We significantly improved the performance of precursor-route semiconducting zinc oxide (ZnO) films in electrolyte-gated thin film transistors (TFTs). We find that the organic precursor to ZnO, zinc acetate (ZnAc), dissolves more readily in a 1 : 1 mixture of ethanol (EtOH) and acetone than in pure EtOH, pure acetone, or pure isopropanol. XPS and SEM characterisation show improved morphology of ZnO films converted from a mixed solvent cast ZnAc precursor compared to the EtOH cast precursor. When gated with a biocompatible electrolyte, phosphate buffered saline (PBS), ZnO thin film transistors (TFTs) derived from mixed solvent cast ZnAc give 4 times larger field effect current than similar films derived from ZnAc cast from pure EtOH. The sheet resistance at VG = VD = 1 V is 30 kΩ □(-1), lower than for any organic TFT, and lower than for any electrolyte-gated ZnO TFT reported to date.
Zinc and Wound Healing: A Review of Zinc Physiology and Clinical Applications.
Kogan, Samuel; Sood, Aditya; Garnick, Mark S
2017-04-01
Our understanding of the role of zinc in normal human physiology is constantly expanding, yet there are major gaps in our knowledge with regard to the function of zinc in wound healing. This review aims to provide the clinician with sufficient understanding of zinc biology and an up-to-date perspective on the role of zinc in wound healing. Zinc is an essential ion that is crucial for maintenance of normal physiology, and zinc deficiency has many manifestations ranging from delayed wound healing to immune dysfunction and impairment of multiple sensory systems. While consensus has been reached regarding the detrimental effects of zinc deficiency on wound healing, there is considerable discord in the literature on the optimal methods and true benefits of zinc supplementation.
... Guidelines for Americans and the U.S. Department of Agriculture's MyPlate . Where can I find out more about ... on food sources of zinc: U.S. Department of Agriculture's (USDA’s) National Nutrient Database Nutrient List for zinc ( ...
Piezoelectric and dielectric properties of nanoporous polyvinylidence fluoride (PVDF) films
NASA Astrophysics Data System (ADS)
Zhao, Ping; Wang, Shifa; Kadlec, Alec
2016-04-01
A nanoporous polyvinylidene Fluoride (PVDF) thin film was developed for applications in energy harvesting, medical surgeries, and industrial robotics. This sponge-like nanoporous PVDF structure dramatically enhanced the piezoelectric effect because it yielded considerably large deformation under a small force. A casting-etching method was adopted to make films, which is effective to control the porosity, flexibility, and thickness of the film. The films with various Zinc Oxide (ZnO) mass fractions ranging from 10 to 50% were fabricated to investigate the porosity effect. The piezoelectric coefficient d33 as well as dielectric constant and loss of the films were characterized. The results were analyzed and the optimal design of the film with the right amount of ZnO nanoparticles was determined.
Nucleation and growth in alkaline zinc electrodeposition An Experimental and Theoretical study
NASA Astrophysics Data System (ADS)
Desai, Divyaraj
The current work seeks to investigate the nucleation and growth of zinc electrodeposition in alkaline electrolyte, which is of commercial interest to alkaline zinc batteries for energy storage. The morphology of zinc growth places a severe limitation on the typical cycle life of such batteries. The formation of mossy zinc leads to a progressive deterioration of battery performance while zinc dendrites are responsible for sudden catastrophic battery failure. The problems are identified as the nucleation-controlled formation of mossy zinc and the transport-limited formation of dendritic zinc. Consequently, this thesis work seeks to investigate and accurately simulate the conditions under which such morphologies are formed. The nucleation and early-stage growth of Zn electrodeposits is studied on carbon-coated TEM grids. At low overpotentials, the morphology develops by aggregation at two distinct length scales: ~5 nm diameter monocrystalline nanoclusters form ~50nm diameter polycrystalline aggregates, and second, the aggregates form a branched network. Epitaxial (0002) growth above a critical overpotential leads to the formation of hexagonal single-crystals. A kinetic model is provided using the rate equations of vapor solidification to simulate the evolution of the different morphologies. On solving these equations, we show that aggregation is attributed to cluster impingement and cluster diffusion while single-crystal formation is attributed to direct attachment. The formation of dendritic zinc is investigated using in-operando transmission X-ray microscopy which is a unique technique for imaging metal electrodeposits. The nucleation density of zinc nuclei is lowered using polyaniline films to cover the active nucleation sites. The effect of overpotential is investigated and the morphology shows beautiful in-operando formation of symmetric zinc crystals. A linear perturbation model was developed to predict the growth and formation of these crystals to first
Hyperaccumulation of zinc by zinc-depleted Candida utilis grown in chemostat culture.
Lawford, H G; Pik, J R; Lawford, G R; Williams, T; Kligerman, A
1980-01-01
The steady-state levels of zinc in Candida utilis yeast grown in continuous culture under conditions of zinc limitations are <1nmol Zn2+/mg dry weight of cells. Unlike carbon-limited cells, zinc-depleted cells from a zinc-limited chemostat possess the capacity to accumulate and store zinc at levels far in excess of the steady-state level of 4 nmol/mg dry biomass observed in carbon-limited chemostat cultures. Zinc uptake is energy-dependent and apparently undirectional since accumulated 65Zn neither exists from preloaded cells nor exchanges with cold Zn2+. The transport system exhibits a high affinity for Zn2+ (Km =.36micrM) with a Vmaxof 2.2 nmol per minute per milligram dry weight of cells. Growth during the period of the uptake assay is responsible for the apparent plateau level of 35 nmol Zn2+/mg dry weight of cells achieved after 20-30 min in the presence of 65Zn at pH 4.5 and 30 degrees C. Inhibition of growth during the uptake assay by cycloheximide results in a biphasic linear pattern of zinc accumulation where the cellular zinc is about 60 nmol/mg dry weight after 1 h. The enhanced level of accumulated zinc is not inhibtory to growth. Zinc-depleted C. utilis contains elevated amounts of polyphosphate and this anionic evidence does not allow discrimination between possible regulation of zinc homestasis either by inhibitions of zinc efflux through control of the membrane carrier or by control of the synthesis of a cytoplasmic zinc-sequestering macromolecule.
Monodispersed Zinc Oxide Nanoparticle-Dye Dyads and Triads
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gladfelter, Wayne L.; Blank, David A.; Mann, Kent R.
The overall energy conversion efficiency of photovoltaic cells depends on the combined efficiencies of light absorption, charge separation and charge transport. Dye-sensitized solar cells are photovoltaic devices in which a molecular dye absorbs light and uses this energy to initiate charge separation. The most efficient dye-sensitized solar cells (DSSCs) use nanocrystal titanium dioxide films to which are attached ruthenium complexes. Numerous studies have provided valuable insight into the dynamics of these and analogous photosystems, but the lack of site homogeneity in binding dye molecules to metal oxide films and nanocrystals (NCs) is a significant impediment to extracting fundamental details aboutmore » the electron transfer across the interface. Although zinc oxide is emerging as a potential semiconducting component in DSSCs, there is less known about the factors controlling charge separation across the dye/ZnO interface. Zinc oxide crystallizes in the wurtzite lattice and has a band gap of 3.37 eV. One of the features that makes ZnO especially attractive is the remarkable ability to control the morphology of the films. Using solution deposition processes, one can prepare NCs, nanorods and nanowires having a variety of shapes and dimensions. This project solved problems associated with film heterogeneity through the use of dispersible sensitizer/ZnO NC ensembles. The overarching goal of this research was to study the relationship between structure, energetics and dynamics in a set of synthetically controlled donor-acceptor dyads and triads. These studies provided access to unprecedented understanding of the light absorption and charge transfer steps that lie at the heart of DSSCs, thus enabling significant future advances in cell efficiencies. The approach began with the construction of well-defined dye-NC dyads that were sufficiently dispersible to allow the use of state of the art pulsed laser spectroscopic and kinetic methods to understand the charge
Solomons, Noel W
2013-01-01
Zinc has become a prominent nutrient of clinical and public health interest in the new millennium. Functions and actions for zinc emerge as increasingly ubiquitous in mammalian anatomy, physiology and metabolism. There is undoubtedly an underpinning in fundamental biology for all of the aspects of zinc in human health (clinical and epidemiological) in pediatric and public health practice. Unfortunately, basic science research may not have achieved a full understanding as yet. As a complement to the applied themes in the companion articles, a selection of recent advances in the domains homeostatic regulation and transport of zinc is presented; they are integrated, in turn, with findings on genetic expression, intracellular signaling, immunity and host defense, and bone growth. The elements include ionic zinc, zinc transporters, metallothioneins, zinc metalloenzymes and zinc finger proteins. In emerging basic research, we find some plausible mechanistic explanations for delayed linear growth with zinc deficiency and increased infectious disease resistance with zinc supplementation. Copyright © 2013 S. Karger AG, Basel.
Zinc at glutamatergic synapses.
Paoletti, P; Vergnano, A M; Barbour, B; Casado, M
2009-01-12
It has long been known that the mammalian forebrain contains a subset of glutamatergic neurons that sequester zinc in their synaptic vesicles. This zinc may be released into the synaptic cleft upon neuronal activity. Extracellular zinc has the potential to interact with and modulate many different synaptic targets, including glutamate receptors and transporters. Among these targets, NMDA receptors appear particularly interesting because certain NMDA receptor subtypes (those containing the NR2A subunit) contain allosteric sites exquisitely sensitive to extracellular zinc. The existence of these high-affinity zinc binding sites raises the possibility that zinc may act both in a phasic and tonic mode. Changes in zinc concentration and subcellular zinc distribution have also been described in several pathological conditions linked to glutamatergic transmission dysfunctions. However, despite intense investigation, the functional significance of vesicular zinc remains largely a mystery. In this review, we present the anatomy and the physiology of the glutamatergic zinc-containing synapse. Particular emphasis is put on the molecular and cellular mechanisms underlying the putative roles of zinc as a messenger involved in excitatory synaptic transmission and plasticity. We also highlight the many controversial issues and unanswered questions. Finally, we present and compare two widely used zinc chelators, CaEDTA and tricine, and show why tricine should be preferred to CaEDTA when studying fast transient zinc elevations as may occur during synaptic activity.
NASA Astrophysics Data System (ADS)
Daza, L. G.; Canché-Caballero, V.; Chan y Díaz, E.; Castro-Rodríguez, R.; Iribarren, A.
2017-11-01
CdTe films with transversal morphology in form of tilted nanocolumns were obtained by sublimation method using a rotating vapour source combined with the oblique angle deposition technique. The tilt angles of the nanocolumnar structures increases as the substrate inclination also increase. CdTe films exhibited cubic zinc blend lattice under compressive strain. Morphological and x-ray diffractometry analysis indicated that the nanocolumns are grains stacked in the nanocolumn preferential growth direction, except for the films with non-inclined substrate. We found an interesting dependence of band gap energy and the refractive index as functions of the microstrain distribution due to the nanocolumn tilt in the films from 0° to about 25°. These facts evidence the possibility of carried out film strain engineering for optimizing optoelectronics devices as we propose for the case of thin-film solar cells.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kumar, Vipin, E-mail: vipinkumar28@yahoo.co.in; Sharma, D. K.; Agrawal, Sonalika
Cd{sub 1-X}Zn{sub X}S thin films (X = 0.2, 0.4, 0.6, 0.8) have been grown on glass substrate by spray pyrolysis technique using equimolar concentration aqueous solution of cadmium chloride, zinc acetate and thiourea. Prepared thin films have been characterized by UV-VIS spectrophotometer. The optical band gap of the films has been studied by transmission spectra in wavelength range 325-600nm. It has been observed that optical band gap increases with increasing zinc concentration. The optical band gap of these thin films varies from 2.59 to 3.20eV with increasing Zn content.
The stability and half-metallicity of (001) surface and (001) interface based on zinc blende MnAs
NASA Astrophysics Data System (ADS)
Han, Hongpei; Feng, Tuanhui; Zhang, Chunli; Feng, Zhibo; Li, Ming; Yao, K. L.
2018-06-01
Motivated by the growth of MnAs/GaAs thin films in many experimental researches, we investigate the electronic and magnetic properties of bulk, (001) surfaces and (001) interfaces for zinc blende MnAs by means of first-principle calculations. It is confirmed that zinc blende MnAs is a nearly half-metallic ferromagnet with 4.00 μB magnetic moment. The calculated density of states show that the half-metallicity exists in As-terminated (001) surface while it is lost in Mn-terminated (001) surface. For the (001) interfaces of MnAs with semiconductor GaAs, it is found that As-Ga and Mn-As interfaces not only have higher spin polarization but also are more stable among the four considered interfaces. Our results would be helpful to grow stable and high polarized thin films or multilayers for the practical applications of spintronic devices.
Zinc and Zinc Transporters: Novel Regulators of Ventricular Myocardial Development.
Lin, Wen; Li, Deqiang
2018-06-01
Ventricular myocardial development is a well-orchestrated process involving different cardiac structures, multiple signal pathways, and myriad proteins. Dysregulation of this important developmental event can result in cardiomyopathies, such as left ventricle non-compaction, which affect the pediatric population and the adults. Human and mouse studies have shed light upon the etiology of some cardiomyopathy cases and highlighted the contribution of both genetic and environmental factors. However, the regulation of ventricular myocardial development remains incompletely understood. Zinc is an essential trace metal with structural, enzymatic, and signaling function. Perturbation of zinc homeostasis has resulted in developmental and physiological defects including cardiomyopathy. In this review, we summarize several mechanisms by which zinc and zinc transporters can impact the regulation of ventricular myocardial development. Based on our review, we propose that zinc deficiency and mutations of zinc transporters may underlie some cardiomyopathy cases especially those involving ventricular myocardial development defects.
Vishwas, M; Narasimha Rao, K; Arjuna Gowda, K V; Chakradhar, R P S
2012-09-01
Tin (Sn) doped zinc oxide (ZnO) thin films were synthesized by sol-gel spin coating method using zinc acetate di-hydrate and tin chloride di-hydrate as the precursor materials. The films were deposited on glass and silicon substrates and annealed at different temperatures in air ambient. The agglomeration of grains was observed by the addition of Sn in ZnO film with an average grain size of 60 nm. The optical properties of the films were studied using UV-VIS-NIR spectrophotometer. The optical band gap energies were estimated at different concentrations of Sn. The MOS capacitors were fabricated using Sn doped ZnO films. The capacitance-voltage (C-V), dissipation vs. voltage (D-V) and current-voltage (I-V) characteristics were studied and the electrical resistivity and dielectric constant were estimated. The porosity and surface area of the films were increased with the doping of Sn which makes these films suitable for opto-electronic applications. Copyright © 2012 Elsevier B.V. All rights reserved.
Nanostructured ZnO Films for Room Temperature Ammonia Sensing
NASA Astrophysics Data System (ADS)
Dhivya Ponnusamy; Sridharan Madanagurusamy
2014-09-01
Zinc oxide (ZnO) thin films have been deposited by a reactive dc magnetron sputtering technique onto a thoroughly cleaned glass substrate at room temperature. X-ray diffraction revealed that the deposited film was polycrystalline in nature. The field emission scanning electron micrograph (FE-SEM) showed the uniform formation of a rugby ball-shaped ZnO nanostructure. Energy dispersive x-ray analysis (EDX) confirmed that the film was stoichiometric and the direct band gap of the film, determined using UV-Vis spectroscopy, was 3.29 eV. The ZnO nanostructured film exhibited better sensing towards ammonia (NH3) at room temperature (˜30°C). The fabricated ZnO film based sensor was capable of detecting NH3 at as low as 5 ppm, and its parameters, such as response, selectivity, stability, and response/recovery time, were also investigated.
Thin film devices used as oxygen partial pressure sensors
NASA Technical Reports Server (NTRS)
Canady, K. S.; Wortman, J. J.
1970-01-01
Electrical conductivity of zinc oxide films to be used in an oxygen partial pressure sensor is measured as a function of temperature, oxygen partial pressure, and other atmospheric constituents. Time response following partial pressure changes is studied as a function of temperature and environmental changes.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr
We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasingmore » temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Um, Jae Gwang; Mativenga, Mallory; Jang, Jin, E-mail: jjang@khu.ac.kr
2015-06-21
We have investigated the dependence of Negative-Bias-illumination-Stress (NBIS) upon channel length, in amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The negative shift of the transfer characteristic associated with NBIS decreases for increasing channel length and is practically suppressed in devices with L = 100-μm. The effect is consistent with creation of donor defects, mainly in the channel regions adjacent to source and drain contacts. Excellent agreement with experiment has been obtained by an analytical treatment, approximating the distribution of donors in the active layer by a double exponential with characteristic length L{sub D} ∼ L{sub n} ∼ 10-μm, the latter being the electron diffusion length. The model alsomore » shows that a device with a non-uniform doping distribution along the active layer is in all equivalent, at low drain voltages, to a device with the same doping averaged over the active layer length. These results highlight a new aspect of the NBIS mechanism, that is, the dependence of the effect upon the relative magnitude of photogenerated holes and electrons, which is controlled by the device potential/band profile. They may also provide the basis for device design solutions to minimize NBIS.« less
Film transfer enabled by nanosheet seed layers on arbitrary sacrificial substrates
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dral, A. P.; Nijland, M.; Koster, G.
An approach for film transfer is demonstrated that makes use of seed layers of nanosheets on arbitrary sacrificial substrates. Epitaxial SrTiO{sub 3}, SrRuO{sub 3}, and BiFeO{sub 3} films were grown on Ca{sub 2}Nb{sub 3}O{sub 10} nanosheet seed layers on phlogopite mica substrates. Cleavage of the mica substrates enabled film transfer to flexible polyethylene terephthalate substrates. Electron backscatter diffraction, X-ray diffraction, and atomic force microscopy confirmed that crystal orientation and film morphology remained intact during transfer. The generic nature of this approach is illustrated by growing films on zinc oxide substrates with a nanosheet seed layer. Film transfer to a flexiblemore » substrate was accomplished via acid etching.« less
Transparent conducting thin films for spacecraft applications
NASA Technical Reports Server (NTRS)
Perez-Davis, Marla E.; Malave-Sanabria, Tania; Hambourger, Paul; Rutledge, Sharon K.; Roig, David; Degroh, Kim K.; Hung, Ching-Cheh
1994-01-01
Transparent conductive thin films are required for a variety of optoelectronic applications: automotive and aircraft windows, and solar cells for space applications. Transparent conductive coatings of indium-tin-oxide (ITO)-magnesium fluoride (MgF2) and aluminum doped zinc oxide (AZO) at several dopant levels are investigated for electrical resistivity (sheet resistance), carrier concentration, optical properties, and atomic oxygen durability. The sheet resistance values of ITO-MgF2 range from 10(exp 2) to 10(exp 11) ohms/square, with transmittance of 75 to 86 percent. The AZO films sheet resistances range from 10(exp 7) to 10(exp 11) ohms/square with transmittances from 84 to 91 percent. It was found that in general, with respect to the optical properties, the zinc oxide (ZnO), AZO, and the high MgF2 content ITO-MgF2 samples, were all durable to atomic oxygen plasma, while the low MgF2 content of ITO-MgF2 samples were not durable to atomic oxygen plasma exposure.
Transparent conducting thin films for spacecraft applications
DOE Office of Scientific and Technical Information (OSTI.GOV)
Perez-Davis, M.E.; Malave-Sanabria, T.; Hambourger, P.
1994-01-01
Transparent conductive thin films are required for a variety of optoelectronic applications: automotive and aircraft windows, and solar cells for space applications. Transparent conductive coatings of indium-tin-oxide (ITO)-magnesium fluoride (MgF2) and aluminum doped zinc oxide (AZO) at several dopant levels are investigated for electrical resistivity (sheet resistance), carrier concentration, optical properties, and atomic oxygen durability. The sheet resistance values of ITO-MgF2 range from 10[sup 2] to 10[sup 11] ohms/square, with transmittance of 75 to 86 percent. The AZO films sheet resistances range from 10[sup 7] to 10[sup 11] ohms/square with transmittances from 84 to 91 percent. It was found thatmore » in general, with respect to the optical properties, the zinc oxide (ZnO), AZO, and the high MgF2 content ITO-MgF2 samples, were all durable to atomic oxygen plasma, while the low MgF2 content of ITO-MgF2 samples were not durable to atomic oxygen plasma exposure.« less
Zinc Biochemistry: From a Single Zinc Enzyme to a Key Element of Life12
Maret, Wolfgang
2013-01-01
The nutritional essentiality of zinc for the growth of living organisms had been recognized long before zinc biochemistry began with the discovery of zinc in carbonic anhydrase in 1939. Painstaking analytical work then demonstrated the presence of zinc as a catalytic and structural cofactor in a few hundred enzymes. In the 1980s, the field again gained momentum with the new principle of “zinc finger” proteins, in which zinc has structural functions in domains that interact with other biomolecules. Advances in structural biology and a rapid increase in the availability of gene/protein databases now made it possible to predict zinc-binding sites from metal-binding motifs detected in sequences. This procedure resulted in the definition of zinc proteomes and the remarkable estimate that the human genome encodes ∼3000 zinc proteins. More recent developments focus on the regulatory functions of zinc(II) ions in intra- and intercellular information transfer and have tantalizing implications for yet additional functions of zinc in signal transduction and cellular control. At least three dozen proteins homeostatically control the vesicular storage and subcellular distribution of zinc and the concentrations of zinc(II) ions. Novel principles emerge from quantitative investigations on how strongly zinc interacts with proteins and how it is buffered to control the remarkably low cellular and subcellular concentrations of free zinc(II) ions. It is fair to conclude that the impact of zinc for health and disease will be at least as far-reaching as that of iron. PMID:23319127
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chowdhury, Md Delwar Hossain; Um, Jae Gwang; Jang, Jin, E-mail: jjang@khu.ac.kr
We have studied the effect of long time post-fabrication annealing on negative bias illumination stress (NBIS) of amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film-transistors. Annealing for 100 h at 250 °C increased the field effect mobility from 14.7 cm{sup 2}/V s to 17.9 cm{sup 2}/V s and reduced the NBIS instability remarkably. Using X-ray photoelectron spectroscopy, the oxygen vacancy and OH were found to exist at the interfaces of a-IGZO with top and bottom SiO{sub 2}. Long time annealing helps to decrease the vacancy concentration and increase the metal-oxygen bonds at the interfaces; this leads to increase in the free carrier concentrations in a-IGZO and field-effect mobility.more » X-ray reflectivity measurement indicated the increment of a-IGZO film density of 5.63 g cm{sup −3} to 5.83 g cm{sup −3} (3.4% increase) by 100 h annealing at 250 °C. The increase in film density reveals the decrease of O vacancy concentration and reduction of weak metal-oxygen bonds in a-IGZO, which substantially helps to improve the NBIS stability.« less
Tracing of Zinc Nanocrystals in the Anterior Pituitary of Zinc-Deficient Wistar Rats.
Kuldeep, Anjana; Nair, Neena; Bedwal, Ranveer Singh
2017-06-01
The aim of this study was to trace zinc nanocrystals in the anterior pituitary of zinc-deficient Wistar rats by using autometallographic technique. Male Wistar rats (30-40 days of age, pre-pubertal period) of 40-50 g body weight were divided into the following: the ZC (zinc control) group-fed with 100 ppm zinc in diet, the ZD (zinc-deficient) group-fed with zinc-deficient (1.00 ppm) diet and the PF (pair-fed) group-received 100 ppm zinc in diet. The experiments were set for 2 and 4 weeks. Pituitary was removed and processed for the autometallographic technique. The control and pair-fed groups retained their normal morphological features. However, male Wistar rats fed on zinc-deficient diet for 2 and 4 weeks displayed a wide range of symptoms such as significant (P < 0.05) decrease in diet consumption, body weight and pituitary weight and decrease in gradation of intensity of zinc nanocrystals in the nuclei. The present findings suggest that the dietary zinc deficiency causes decreased intensity of zinc nanocrystals localization and their distribution in the pituitary thereby contributing to the dysfunction of the pituitary of the male Wistar rats. The severity of zinc deficiency symptoms progressed after the second week of the experiment. Decreased intensity of zinc nanocrystals attenuates the pituitary function which would exert its affect on other endocrine organs impairing their functions indicating that the metabolic regulation of pituitary is mediated to a certain extent by zinc and/or hypothalamus-hypophysial system which also reflects its essentiality during the period of growth.
Origin of stress in radio frequency magnetron sputtered zinc oxide thin films
NASA Astrophysics Data System (ADS)
Menon, Rashmi; Gupta, Vinay; Tan, H. H.; Sreenivas, K.; Jagadish, C.
2011-03-01
Highly c-axis oriented ZnO thin films have been deposited on silicon substrates by planar rf magnetron sputtering under varying pressure (10-50 mTorr) and oxygen percentage (50-100%) in the reactive gas (Ar + O2) mixture. The as-grown films were found to be stressed over a wide range from -1 × 1011 to -2 × 108 dyne/cm2 that in turn depends strongly on the processing conditions, and the film becomes stress free at a unique combination of sputtering pressure and reactive gas composition. Raman spectroscopy and photoluminescence (PL) analyses identified the origin of stress as lattice distortion due to defects introduced in the ZnO thin film. FTIR study reveals that Zn-O bond becomes stronger with the increase in oxygen fraction in the reactive gas mixture. The lattice distortion or stress depends on the type of defects introduced during deposition. PL spectra show the formation of a shoulder in band emission with an increase in the processing pressure and are related to the presence of stress. The ratio of band emission to defect emission decreases with the increase in oxygen percentage from 50 to 100%. The studies show a correlation of stress with the structural, vibrational, and photoluminescence properties of the ZnO thin film. The systematic study of the stress will help in the fabrication of efficient devices based on ZnO film.
Microstructure of ZnO Thin Films Deposited by High Power Impulse Magnetron Sputtering (Postprint)
2015-03-01
AFRL-RX-WP-JA-2015-0185 MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON SPUTTERING (POSTPRINT) A. N. Reed...COVERED (From – To) 29 January 2013 – 16 February 2015 4. TITLE AND SUBTITLE MICROSTRUCTURE OF ZNO THIN FILMS DEPOSITED BY HIGH POWER IMPULSE MAGNETRON...ABSTRACT High power impulse magnetron sputtering was used to deposit thin (~100 nm) zinc oxide (ZnO) films from a ceramic ZnO target onto substrates
Electrical properties of undoped zinc oxide nanostructures at different annealing temperature
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nasir, M. F., E-mail: babaibaik2002@yahoo.com; Zainol, M. N., E-mail: nizarzainol@yahoo.com; Hannas, M., E-mail: mhannas@gmail.com
This project has been focused on the electrical and optical properties respectively on the effect of Undoped zinc oxide (ZnO) thin films at different annealing temperature which is varied 400 °C, 450 °C, 500 °C, and 550 °C.Undoped ZnO solutions were deposited onto the glass substrates using sol-gel spin coating method. This project was involved with three phases, which are thin films preparation, deposition and characterization. The thin films were characterized using Current Voltage (I-V) measurement and UV-vis-NIR spectrophotometer for electrical properties and optical properties. The electrical properties show that the resistivity is the lowest at 500 °C which itsmore » resistivity is 5.36 × 10{sup 4} Ωcm{sup −1}. The absorption coefficient spectrum obtained from UV-Vis-NIR spectrophotometer measurement shows all films exhibit very low absorption in the visible (400-800 nm) and near infrared (NIR) (>800 nm) range but exhibit high absorption in the UV range.« less
Contribution of Zinc Solubilizing Bacteria in Growth Promotion and Zinc Content of Wheat.
Kamran, Sana; Shahid, Izzah; Baig, Deeba N; Rizwan, Muhammad; Malik, Kauser A; Mehnaz, Samina
2017-01-01
Zinc is an imperative micronutrient required for optimum plant growth. Zinc solubilizing bacteria are potential alternatives for zinc supplementation and convert applied inorganic zinc to available forms. This study was conducted to screen zinc solubilizing rhizobacteria isolated from wheat and sugarcane, and to analyze their effect on wheat growth and development. Fourteen exo-polysaccharides producing bacterial isolates of wheat were identified and characterized biochemically as well as on the basis of 16S rRNA gene sequences. Along these, 10 identified sugarcane isolates were also screened for zinc solubilizing ability on five different insoluble zinc sources. Out of 24, five strains, i.e., EPS 1 ( Pseudomonas fragi) , EPS 6 ( Pantoea dispersa) , EPS 13 ( Pantoea agglomerans) , PBS 2 ( E. cloacae) and LHRW1 ( Rhizobium sp.) were selected (based on their zinc solubilizing and PGP activities) for pot scale plant experiments. ZnCO 3 was used as zinc source and wheat seedlings were inoculated with these five strains, individually, to assess their effect on plant growth and development. The effect on plants was analyzed based on growth parameters and quantifying zinc content of shoot, root and grains using atomic absorption spectroscopy. Plant experiment was performed in two sets. For first set of plant experiments (harvested after 1 month), maximum shoot and root dry weights and shoot lengths were noted for the plants inoculated with Rhizobium sp. (LHRW1) while E. cloacae (PBS 2) increased both shoot and root lengths. Highest zinc content was found in shoots of E. cloacae (PBS 2) and in roots of P. agglomerans (EPS 13) followed by zinc supplemented control. For second set of plant experiment, when plants were harvested after three months, Pantoea dispersa (EPS 6), P. agglomerans (EPS 13) and E. cloacae (PBS 2) significantly increased shoot dry weights. However, significant increase in root dry weights and maximum zinc content was recorded for Pseudomonas fragi (EPS
One-stage pulsed laser deposition of conductive zinc oxysulfide layers
NASA Astrophysics Data System (ADS)
Bereznev, Sergei; Kocharyan, Hrachya; Maticiuc, Natalia; Naidu, Revathi; Volobujeva, Olga; Tverjanovich, Andrey; Kois, Julia
2017-12-01
Zinc oxysulfide - Zn(O,S) is one of the prospective materials for substitution of conventional CdS buffer layer in complete optoelectronic devices due to its optimal bandgap and low toxicity. In this work Zn(O,S) thin films have been prepared by one-step pulsed laser deposition technique. The films with a thickness of 650 nm were deposited onto the FTO/glass substrates at different substrate temperatures from room temperature to 400 °C. Zn(O,S) layers were characterized by means of scanning electron microscopy, energy dispersive spectroscopy, Raman, X-ray diffraction, UV-vis spectroscopy and Van der Pauw technique. It was found, that obtained Zn(O,S) layers are mainly polycrystalline, highly uniform, transparent, electrically conductive and demonstrate good adhesion to the FTO/glass substrates. In addition, we show that elemental composition of PLD Zn(O,S) films depends on the substrate temperature. For the first time high quality single phase conductive Zn(O,S) layers were prepared by one stage PLD in high vacuum at relatively low temperature 200 °C without any post treatment. The properties of prepared Zn(O,S) films suggest that these films can be applied as buffer layer in optoelectronic devices.
USDA-ARS?s Scientific Manuscript database
Zinc was recognized as an essential trace metal for humans during the studies of Iranian adolescent dwarfs in the early 1960s. Zinc metal existing as Zn2+ is a strong electron acceptor in biological systems without risks of oxidant damage to cells. Zn2+ functions in the structure of proteins and is ...
Aging effects of the precursor solutions on the properties of spin coated Ga-doped ZnO thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Serrao, Felcy Jyothi, E-mail: jyothiserrao@gmail.com; Dharmaprakash, S. M.
2015-06-24
In this study, gallium doped zinc oxide thin films (GZO) were grown on a glass substrate by a simple sol-gel process and spin coating technique using zinc acetate and gallium nitrate (3at%) as precursors for Zn and Ga ions respectively. The effects of aging time of the precursor solution on the structural and optical properties of the GZO films were investigated. The surface morphology, grain size, film thickness and optical properties of the GZO films were found to depend directly on the sol aging time. XRD studies reveal that the films are polycrystalline with a hexagonal wurtzite structure and showmore » the c-axis grain orientation. Optical transmittance spectra of all the films exhibited transmittance higher than about 82% within the visible wavelength region. A sharp fundamental absorption edge with a slight blue shifting was observed with an increase in sol aging time which can be explained by Burstein-Moss effect. The result indicates that an appropriate aging time of the sol is important for the improvement of the structural and optical properties of GZO thin films derived from sol-gel method.« less
Zinc and Chlamydia trachomatis
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sugarman, B.; Epps, L.R.
1985-07-01
Zinc was noted to have significant effects upon the infection of McCoy cells by each of two strains of Chlamydia trachomatis. With a high or low Chlamydia inoculant, the number of infected cells increased up to 200% utilizing supplemental zinc (up to 1 x 10/sup -4/ M) in the inoculation media compared with standard Chlamydia cultivation media (8 x 10/sup -6/ M zinc). Ferric chloride and calcium chloride did not effect any such changes. Higher concentrations of zinc, after 2 hr of incubation with Chlamydia, significantly decreased the number of inclusions. This direct effect of zinc on the Chlamydia remainedmore » constant after further repassage of the Chlamydia without supplemental zinc, suggesting a lethal effect of the zinc. Supplemental zinc (up to 10/sup -4/ M) may prove to be a useful addition to inoculation media to increase the yield of culturing for Chlamydia trachomatis. Similarly, topical or oral zinc preparations used by people may alter their susceptibility to Chamydia trachomatis infections.« less
Wilson, Rebecca L.; Grieger, Jessica A.; Bianco-Miotto, Tina; Roberts, Claire T.
2016-01-01
Adequate zinc stores in the body are extremely important during periods of accelerated growth. However, zinc deficiency is common in developing countries and low maternal circulating zinc concentrations have previously been associated with pregnancy complications. We reviewed current literature assessing circulating zinc and dietary zinc intake during pregnancy and the associations with preeclampsia (PE); spontaneous preterm birth (sPTB); low birthweight (LBW); and gestational diabetes (GDM). Searches of MEDLINE; CINAHL and Scopus databases identified 639 articles and 64 studies were reviewed. In 10 out of 16 studies a difference was reported with respect to circulating zinc between women who gave birth to a LBW infant (≤2500 g) and those who gave birth to an infant of adequate weight (>2500 g), particularly in populations where inadequate zinc intake is prevalent. In 16 of our 33 studies an association was found between hypertensive disorders of pregnancy and circulating zinc; particularly in women with severe PE (blood pressure ≥160/110 mmHg). No association between maternal zinc status and sPTB or GDM was seen; however; direct comparisons between the studies was difficult. Furthermore; only a small number of studies were based on women from populations where there is a high risk of zinc deficiency. Therefore; the link between maternal zinc status and pregnancy success in these populations cannot be established. Future studies should focus on those vulnerable to zinc deficiency and include dietary zinc intake as a measure of zinc status. PMID:27754451
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lupan, O.; Department of Physics, University of Central Florida, 4000 Central Florida Blvd., Orlando, FL 32816-2385; Chow, L.
2009-01-08
Nanostructured ZnO thin films have been deposited using a successive chemical solution deposition method. The structural, morphological, electrical and sensing properties of the films were studied for different concentrations of Al-dopant and were analyzed as a function of rapid photothermal processing temperatures. The films were investigated by X-ray diffraction, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray photoelectron and micro-Raman spectroscopy. Electrical and gas sensitivity measurements were conducted as well. The average grain size is 240 and 224 A for undoped ZnO and Al-doped ZnO films, respectively. We demonstrate that rapid photothermal processing is an efficient method for improving themore » quality of nanostructured ZnO films. Nanostructured ZnO films doped with Al showed a higher sensitivity to carbon dioxide than undoped ZnO films. The correlations between material compositions, microstructures of the films and the properties of the gas sensors are discussed.« less
Nanoparticulate, sub-micron and micron sized particles emanating from hydrothermal vents
NASA Astrophysics Data System (ADS)
Luther, G. W., III; Gartman, A.; Findlay, A.; Yucel, M.; Chan, C. S. Y.
2015-12-01
Recent data from Geotraces cruises over the MAR and SEPR indicate dissolved and particulate Fe enrichment in waters 1000 and 4000 km from their vent sources, respectively. Deep-sea hydrothermal vents and the waters in the reactive mixing zone above vent orifices have been suggested to be an important source of fine material that can pass through normal filters (0.2 and 0.4 μm). In this work, nanoparticles are defined operationally as that which can pass through a 0.2 μm filter. We investigated two vent sites (Lau Basin and the MAR). Chimneys from both vent sites have fluids that can be sulfide rich or metal rich. We also present chemical and physical chemical data (SEM-EDS, TEM, XRD, EELS) showing some of the materials found in these (nano)particulate phases including pyrite, metal sulfides, silicate and aluminosilicate material. Enrichment of Mg and K in the latter suggest that reverse weathering may occur in the waters within 1-2 meters of the vent orifice where vent waters mix with cold oxygenated bottom waters.
NASA Astrophysics Data System (ADS)
Kumar, K. Deva Arun; Valanarasu, S.; Ganesh, V.; Shkir, Mohd.; Kathalingam, A.; AlFaify, S.
2018-02-01
Aluminum-doped zinc oxide (Al:ZnO) thin films were deposited on glass substrates by successive ion layer adsorption and reaction (SILAR) method using different precursors. This inexpensive SILAR method involves dipping of substrate sequentially in zinc solution, de-ionized water and ethylene glycol in multiple cycles. Prepared films were investigated by x-ray diffraction (XRD), scanning electron microscope (SEM), atomic force microscope (AFM), optical absorption, photoluminescence (PL), Raman spectroscopy and electrical studies. XRD study confirmed incorporation of aluminum in ZnO lattice with a polycrystalline hexagonal wurtzite structure of the films. The crystallite size determined by the Scherrer equation showed an increase from 28 nm to 35 nm for samples S1 to S4, respectively. SEM study showed smooth morphology with homogeneous distribution of particles. From the AFM images, the surface roughness was found to change according to precursors. For the optical analysis, the zinc chloride precursor showed high optical transmittance of about 90% in the visible range with a band gap value 3.15 eV. The room-temperature PL spectra exhibited a stronger violet emission peak at 420 nm for all the prepared samples. The Raman spectra showed a peak around 435 cm-1 which could be assigned to non-polar optical phonons (E2-high) mode AZO films of a ZnO wurtzite structure. Hall effect measurements showed n-type conductivity with low resistivity ( ρ) and high carrier concentrations ( n) of 2.39 × 10-3 Ω-cm and 8.96 × 1020 cm-3, respectively, for the film deposited using zinc chloride as precursor. The above properties make the prepared AZO film to be regarded as a very promising electrode material for fabrication of optoelectronic devices.
Zhang, Q B; Abbott, Andrew P; Yang, C
2015-06-14
Nanoporous copper films were fabricated by a facile electrochemical alloying/dealloying process without the need of a template. A deep eutectic solvent made from choline chloride (ChCl) and urea was used with zinc oxide as the metal salt. Cyclic voltammetry was used to characterise the electrochemical reduction of zinc and follow Cu-Zn alloy formation on the copper substrate at elevated temperatures from 353 to 393 K. The alloy formation was confirmed by X-ray diffraction spectra. 3D, open and bicontinuous nanoporous copper films were obtained by in situ electrochemically etching (dealloying) of the zinc component in the Cu-Zn surface alloys at an appropriate potential (-0.4 V vs. Ag). This dealloying process was found to be highly temperature dependent and surface diffusion controlled, which involved the self-assembly of copper atoms at the alloy/electrolyte interface. Additionally, the effects of the deposition parameters, including deposition temperature, current density as well as total charge density on resulting the microstructure were investigated by scanning electron microscopy, and atomic force microscope.
Lee, Ching-Ting; Chen, Chia-Chi; Lee, Hsin-Ying
2018-03-05
The three dimensional inverters were fabricated using novel complementary structure of stacked bottom n-type aluminum-doped zinc oxide (Al:ZnO) thin-film transistor and top p-type nickel oxide (NiO) thin-film transistor. When the inverter operated at the direct voltage (V DD ) of 10 V and the input voltage from 0 V to 10 V, the obtained high performances included the output swing of 9.9 V, the high noise margin of 2.7 V, and the low noise margin of 2.2 V. Furthermore, the high performances of unskenwed inverter were demonstrated by using the novel complementary structure of the stacked n-type Al:ZnO thin-film transistor and p-type nickel oxide (NiO) thin-film transistor.
NASA Astrophysics Data System (ADS)
Jamali-Sheini, Farid; Cheraghizade, Mohsen; Yousefi, Ramin
2018-06-01
In this study, electrodeposition technique was applied to deposit un-, lead (Pb), and zinc (Zn)-doped SnSe films. X-ray diffraction (XRD) patterns of the films showed a polycrystalline SnSe phase with orthorhombic crystalline lattice. SEM images revealed ball-shaped, rod-shaped, and wire-shaped morphologies for SnSe films. Moreover, optical measurements indicated incorporation of dopant in the crystalline lattice of films by varying the optical energy band gap. Electrical characterization of Pb- and Zn-doped SnSe films showed their p-type nature. Finally, the solar cell device fabricated using the Zn-doped SnSe films reveal a higher efficiency because of their higher carrier concentration.
NASA Astrophysics Data System (ADS)
Jeong, Chan-Yong; Kim, Hee-Joong; Hong, Sae-Young; Song, Sang-Hun; Kwon, Hyuck-In
2017-08-01
In this study, we show that the two-stage unified stretched-exponential model can more exactly describe the time-dependence of threshold voltage shift (ΔV TH) under long-term positive-bias-stresses compared to the traditional stretched-exponential model in amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). ΔV TH is mainly dominated by electron trapping at short stress times, and the contribution of trap state generation becomes significant with an increase in the stress time. The two-stage unified stretched-exponential model can provide useful information not only for evaluating the long-term electrical stability and lifetime of the a-IGZO TFT but also for understanding the stress-induced degradation mechanism in a-IGZO TFTs.
Transformation of zinc hydroxide chloride monohydrate to crystalline zinc oxide.
Moezzi, Amir; Cortie, Michael; McDonagh, Andrew
2016-04-25
Thermal decomposition of layered zinc hydroxide double salts provides an interesting alternative synthesis for particles of zinc oxide. Here, we examine the sequence of changes occurring as zinc hydroxide chloride monohydrate (Zn5(OH)8Cl2·H2O) is converted to crystalline ZnO by thermal decomposition. The specific surface area of the resultant ZnO measured by BET was 1.3 m(2) g(-1). A complicating and important factor in this process is that the thermal decomposition of zinc hydroxide chloride is also accompanied by the formation of volatile zinc-containing species under certain conditions. We show that this volatile compound is anhydrous ZnCl2 and its formation is moisture dependent. Therefore, control of atmospheric moisture is an important consideration that affects the overall efficiency of ZnO production by this process.
2013-01-01
Background Zinc is key to the function of many proteins, but the process of dietary zinc absorption is not well clarified. Current knowledge about dietary zinc absorption is fragmented, and mostly derives from incomplete mammalian studies. To gain a comprehensive picture of this process, we systematically characterized all zinc transporters (that is, the Zip and ZnT family members) for their possible roles in dietary zinc absorption in a genetically amenable model organism, Drosophila melanogaster. Results A set of plasma membrane-resident zinc transporters was identified to be responsible for absorbing zinc from the lumen into the enterocyte and the subsequent exit of zinc to the circulation. dZip1 and dZip2, two functionally overlapping zinc importers, are responsible for absorbing zinc from the lumen into the enterocyte. Exit of zinc to the circulation is mediated through another two functionally overlapping zinc exporters, dZnT1, and its homolog CG5130 (dZnT77C). Somewhat surprisingly, it appears that the array of intracellular ZnT proteins, including the Golgi-resident dZnT7, is not directly involved in dietary zinc absorption. By modulating zinc status in different parts of the body, we found that regulation of dietary zinc absorption, in contrast to that of iron, is unresponsive to bodily needs or zinc status outside the gut. The zinc transporters that are involved in dietary zinc absorption, including the importers dZip1 and dZip2, and the exporter dZnT1, are respectively regulated at the RNA and protein levels by zinc in the enterocyte. Conclusions Our study using the model organism Drosophila thus starts to reveal a comprehensive sketch of dietary zinc absorption and its regulatory control, a process that is still incompletely understood in mammalian organisms. The knowledge gained will act as a reference for future mammalian studies, and also enable an appreciation of this important process from an evolutionary perspective. PMID:24063361
Luminescence study of ZnSe/PVA (polyvinyl alcohol) composite film
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lahariya, Vikas
The ZnSe nanocrystals have been prepared into poly vinyl alcohol(PVA) polymer matrix on glass using ZnCl2 and Na2SeSO3 as zinc and selenium source respectively. Poly vinyl Alcohol (PVA) used as polymer matrix cum capping agent due to their high viscosity and water solubility. It is transparent for visible region and prevents Se- ions to photo oxidation. The ZnSe/PVA composite film was deposited on glass substrate. The film was characterized by X Ray Diffraction (XRD) and UV-Visible absorption Spectroscopy and Photoluminescence. The X Ray Diffraction (XRD) study confirms the nanometer size (10 nm) particle formation within PVA matrix with cubic zinc blendmore » crystal structure. The UV-Visible Absorption spectrum of ZnSe/PVA composite film shown blue shift in absorption edge indicating increased band gap due to quantum confinement. The calculated energy band gap from the absorption edge using Tauc relation is 3.4 eV. From the Photoluminescence study a broad peak at 435 nm has been observed in violet blue region due to recombination of surface states.« less
2016-03-30
wavelength where n = k) is 1605 nm from the film (f). Figure 1 XRD patterns of the AZO films on quartz substrate Figure 2 UV-Vis-NIR...71.6 1605 9.87 x10 -4 Figure 3 Refractive index n (left) and extinction coefficient k of (right) the AZO films. 4. Conclusions AZO films were
Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S.
Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3},more » while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.« less
Extracellular proteins limit the dispersal of biogenic nanoparticles
Moreau, J.W.; Weber, P.K.; Martin, M.C.; Gilbert, B.; Hutcheon, I.D.; Banfield, J.F.
2007-01-01
High-spatial-resolution secondary ion microprobe spectrometry, synchrotron radiation-based Fourier-transform infrared spectroscopy, and polyacrylamide gel analysis demonstrated the intimate association of proteins with spheroidal aggregates of biogenic zinc sulfide nanocrystals, an example of extracellular biomineralization. Experiments involving synthetic zinc sulfide nanoparticles and representative amino acids indicated a driving role for cysteine in rapid nanoparticle aggregation. These findings suggest that microbially derived extracellular proteins can limit the dispersal of nanoparticulate metal-bearing phases, such as the mineral products of bioremediation, that may otherwise be transported away from their source by subsurface fluid flow.
Absence of systemic toxicity in mouse model towards BaTiO3 nanoparticulate based eluate treatment.
Dubey, Ashutosh Kumar; Thrivikraman, Greeshma; Basu, Bikramjit
2015-02-01
One of the existing issues in implant failure of orthopedic biomaterials is the toxicity induced by the fine particles released during long term use in vivo, leading to acute inflammatory response. In developing a new class of piezobiocomposite to mimic the integrated electrical and mechanical properties of bone, bone-mimicking physical properties as well as in vitro cytocompatibility properties have been achieved with spark plasma sintered hydroxyapatite (HA)-barium titanate (BaTiO3) composites. However, the presence of BaTiO3 remains a concern towards the potential toxicity effect. To address this issue, present work reports the first result to conclusively confirm the non-toxic effect of HA-BaTiO3 piezobiocomposite nanoparticulates, in vivo. Twenty BALB/c mice were intra-articularly injected at their right knee joints with different concentrations of HA-BaTiO3 composite of up to 25 mg/ml. The histopathological examination confirmed the absence of any trace of injected particles or any sign of inflammatory reaction in the vital organs, such as heart, spleen, kidney and liver at 7 days post-exposure period. Rather, the injected nanoparticulates were found to be agglomerated in the vicinity of the knee joint, surrounded by macrophages. Importantly, the absence of any systemic toxicity response in any of the vital organs in the treated mouse model, other than a mild local response at the site of delivery, was recorded. The serum biochemical analyses using proinflammatory cytokines (TNF-α and IL-1β) also complimented to the non-immunogenic response to injected particulates. Altogether, the absence of any inflammatory/adverse reaction will open up myriad of opportunities for BaTiO3 based piezoelectric implantable devices in biomedical applications.
Growth and characterization of zinc oxide and PZT films for micromachined acoustic wave devices
NASA Astrophysics Data System (ADS)
Yoon, Sang Hoon
The ability to detect the presence of low concentrations of harmful substances, such as biomolecular agents, warfare agents, and pathogen cells, in our environment and food chain would greatly advance our safety, provide more sensitive tools for medical diagnostics, and protect against terrorism. Acoustic wave (AW) devices have been widely studied for such applications due to several attractive properties, such as rapid response, reliability, portability, ease of use, and low cost. The principle of these sensors is based on a fundamental feature of the acoustic wave that is generated and detected by a piezoelectric material. The performance of the device, therefore, greatly depends on the properties of piezoelectric thin film. The required properties include a high piezoelectric coefficient and high electromechanical coefficients. The surface roughness and the mechanical properties, such as Young's modulus and hardness, are also factors that can affect the wave propagation of the device. Since the film properties are influenced by the structure of the material, understanding thin film structure is very important for the design of high-performance piezoelectric MEMS devices for biosensor applications. In this research, two piezoelectric thin film materials were fabricated and investigated. ZnO films were fabricated by CSD (Chemical Solution Deposition) and sputtering, and PZT films were fabricated by CSD only. The process parameters for solution derived ZnO and PZT films, such as the substrate type, the effect of the chelating agent, and heat treatment, were studied to find the relationship between process parameters and thin film structure. In the case of the sputtered ZnO films, the process gas types and their ratio, heat treatment in situ, and post deposition were investigated. The key results of systematic experiments show that the combined influence of chemical modifiers and substrates in chemical solution deposition have an effect on the crystallographic
NASA Astrophysics Data System (ADS)
Yu, Kyeong Min; Moon, Hye Ji; Ryu, Min Ki; Cho, Kyoung Ik; Yun, Eui-Jung; Bae, Byung Seong
2012-09-01
Under white light illumination, amorphous indium-gallium-zinc oxide (a-IGZO)-based thin-film transistors (TFTs) showed a large negative shift of threshold voltage of more than -15 V depending on the process conditions. We investigated the influences of both gate bias and white light illumination on device properties of IGZO-based TFTs untreated and treated with high-energy electron beam irradiation (HEEBI). The TFTs were treated with HEEBI in air at room temperature (RT), electron beam energy of 0.8 MeV, and a dose of 1×1014 electrons/cm2. The HEEBI-treated TFTs showed an improved stability under negative bias illumination stress (NBIS) and positive bias illumination stress (PBIS) compared with non-HEEBI-treated TFTs, suggesting that the acceptor-like defects might be generated by HEEBI treatment near the valence band edge.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Niang, K. M.; Flewitt, A. J., E-mail: ajf@eng.cam.ac.uk; Barquinha, P. M. C.
Thin film transistors (TFTs) employing an amorphous indium gallium zinc oxide (a-IGZO) channel layer exhibit a positive shift in the threshold voltage under the application of positive gate bias stress (PBS). The time and temperature dependence of the threshold voltage shift was measured and analysed using the thermalization energy concept. The peak energy barrier to defect conversion is extracted to be 0.75 eV and the attempt-to-escape frequency is extracted to be 10{sup 7} s{sup −1}. These values are in remarkable agreement with measurements in a-IGZO TFTs under negative gate bias illumination stress (NBIS) reported recently (Flewitt and Powell, J. Appl. Phys.more » 115, 134501 (2014)). This suggests that the same physical process is responsible for both PBS and NBIS, and supports the oxygen vacancy defect migration model that the authors have previously proposed.« less
NASA Astrophysics Data System (ADS)
Ibrahim, Sk; Chakraborty, Koushik; Pal, Tanusri; Ghosh, Surajit
2017-12-01
Herein, we report the one pot single step solvothermal synthesis of reduced grapheme oxide-cadmium zinc sulfide (RGO-Cd0.5Zn0.5S) composite. The reduction in graphene oxide (GO), synthesis of Cd0.5Zn0.5S (mentioned as CdZnS in the text) nanorod and decoration of CdZnS nanorods onto RGO sheet were done simultaneously. The structural, morphological and optical properties were studied thoroughly by different techniques, such as XRD, TEM, UV-Vis and PL. The PL intensity of CdZnS nanorods quenches significantly after the attachment of RGO, which confirms photoinduced charge transformation from CdZnS nanorods to RGO sheet through the interface of RGO-CdZnS. An excellent photocurrent generation in RGO-CdZnS thin-film device has been observed under simulated solar light irradiation. The photocurrent as well as photosensitivity increases linearly with the solar light intensity for all the composites. Our study establishes that the synergistic effect of RGO and CdZnS in the composite is capable of getting promising applications in the field of optoelectronic devising.
Zinc triggers microglial activation.
Kauppinen, Tiina M; Higashi, Youichirou; Suh, Sang Won; Escartin, Carole; Nagasawa, Kazuki; Swanson, Raymond A
2008-05-28
Microglia are resident immune cells of the CNS. When stimulated by infection, tissue injury, or other signals, microglia assume an activated, "ameboid" morphology and release matrix metalloproteinases, reactive oxygen species, and other proinflammatory factors. This innate immune response augments host defenses, but it can also contribute to neuronal death. Zinc is released by neurons under several conditions in which microglial activation occurs, and zinc chelators can reduce neuronal death in animal models of cerebral ischemia and neurodegenerative disorders. Here, we show that zinc directly triggers microglial activation. Microglia transfected with a nuclear factor-kappaB (NF-kappaB) reporter gene showed a severalfold increase in NF-kappaB activity in response to 30 microm zinc. Cultured mouse microglia exposed to 15-30 microm zinc increased nitric oxide production, increased F4/80 expression, altered cytokine expression, and assumed the activated morphology. Zinc-induced microglial activation was blocked by inhibiting NADPH oxidase, poly(ADP-ribose) polymerase-1 (PARP-1), or NF-kappaB activation. Zinc injected directly into mouse brain induced microglial activation in wild-type mice, but not in mice genetically lacking PARP-1 or NADPH oxidase activity. Endogenous zinc release, induced by cerebral ischemia-reperfusion, likewise induced a robust microglial reaction, and this reaction was suppressed by the zinc chelator CaEDTA. Together, these results suggest that extracellular zinc triggers microglial activation through the sequential activation of NADPH oxidase, PARP-1, and NF-kappaB. These findings identify a novel trigger for microglial activation and a previously unrecognized mechanism by which zinc may contribute to neurological disorders.
Internal Stress and Microstructure of Zinc Oxide Films Sputter-Deposited with Carbon Dioxide Gas
NASA Astrophysics Data System (ADS)
Toru Ashida,; Kazuhiro Kato,; Hideo Omoto,; Atsushi Takamatsu,
2010-06-01
The internal stress and microstructure of ZnO films were investigated as a function of carbon dioxide (CO2) gas flow ratio [CO2/(O2+CO2)] during sputter deposition. The internal stress of the ZnO films decreased with increasing CO2 gas flow ratio. The carbon concentration in the films deposited using CO2 gas increased by up to 4.0 at. %. Furthermore, the ZnO films deposited without CO2 gas exhibited a preferred orientation of (002); however, the C-doped ZnO films exhibited random orientations. These findings suggest that the C atoms incorporated in the ZnO crystal lattice induce this random orientation, thereby relaxing the internal stress of C-doped ZnO films.
Bernardi, A; Bortoluzzi, E A; Felippe, W T; Felippe, M C S; Wan, W S; Teixeira, C S
2017-01-01
To evaluate nanoparticulate calcium carbonate (NPCC) using transmission electron microscopy and the effects of NPCC addition to MTA in regard to the setting time, dimensional change, compressive strength, solubility and pH. The experimental groups were G1 (MTA), G2 (MTA with 5% NPCC) and G3 (MTA with 10% NPCC). The tests followed ISO and ADA standards. The specimens in the dimensional change and compressive strength tests were measured immediately after setting, after 24 h and after 30 days. In the solubility test, rings filled with cement were weighed after setting and after 30 days. The pH was measured after 24 h and 30 days. The data were analysed with the ANOVA, Tukey's and Kruskal-Wallis tests (α = 5%). The setting time was reduced (P < 0.05) in samples from G2 and G3 compared to G1. After 24 h, the dimensional change was similar amongst the groups, and after 30 days, G2 was associated with less alteration than G1 and G3. There was a difference in the compressive strength (P < 0.001) after 24 h and 30 days (G1 > G2 > G3). The solubility test revealed a difference amongst the groups when the specimens were hydrated: G2 > G1 > G3 and dehydrated: G3 > G2 > G1. The pH of the groups was similar at 24 h with higher values in each group after 30 days (P < 0.05), and G2 and G3 had similar mean pH values but both were higher than G1. Nanoparticulate calcium carbonate had a cubic morphology with few impurities. The addition of nanoparticulate calcium carbonate to MTA accelerated the setting time, decreased compressive strength and, after 30 days, resulted in lower dimensional change (G2), higher solubility and a higher pH. © 2015 International Endodontic Journal. Published by John Wiley & Sons Ltd.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jang, Jun Tae; Kim, Dong Myong; Choi, Sung-Jin
The effect of direct current sputtering power of indium-gallium-zinc-oxide (IGZO) on the performance and stability of the corresponding thin-film transistor devices was studied. The field effect mobility increases as the IGZO sputter power increases, at the expense of device reliability under negative bias illumination stress (NBIS). Device simulation based on the extracted sub-gap density of states indicates that the field effect mobility is improved as a result of the number of acceptor-like states decreasing. The degradation by NBIS is suggested to be induced by the formation of peroxides in IGZO rather than charge trapping.
NASA Astrophysics Data System (ADS)
Jia, Junjun; Torigoshi, Yoshifumi; Suko, Ayaka; Nakamura, Shin-ichi; Kawashima, Emi; Utsuno, Futoshi; Shigesato, Yuzo
2017-02-01
Indium-tin-zinc oxide (ITZO) films were deposited at various nitrogen flow ratios using magnetron sputtering. At a nitrogen flow ratio of 40%, the structure of ITZO film changed from amorphous, with a short-range-ordered In2O3 phase, to a c-axis oriented InN polycrystalline phase, where InN starts to nucleate from an amorphous In2O3 matrix. Whereas, nitrogen addition had no obvious effect on the structure of indium-gallium-zinc oxide (IGZO) films even at a nitrogen flow ratio of 100%. Nitrogen addition also suppressed the formation of oxygen-related vacancies in ITZO films when the nitrogen flow ratio was less than 20%, and higher nitrogen addition led to an increase in carrier density. Moreover, a red-shift in the optical band edge was observed as the nitrogen flow ratio increased, which could be attributed to the generation of InN crystallites. We anticipate that the present findings demonstrating nitrogen-addition induced structural changes can help to understand the environment-dependent instability in amorphous IGZO or ITZO based thin-film transistors (TFTs).
NASA Astrophysics Data System (ADS)
Liu, Jianqiang; Qin, Yaowei; Zhang, Liangji; Xiao, Hongdi; Song, Jianye; Liu, Dehe; Leng, Mingzhe; Hou, Wanguo; Du, Na
2013-12-01
Mixed metal oxides (MMO) are always obtained from layered double hydroxide (LDH) by thermal decomposition. In the present work, a zinc titanium LDH with the zinc titanium molar ratio of 4.25 was prepared by urea method and ZnO-based mixed oxides were obtained by calcining at or over 500°C. The MMO was used as electrodes for dye sensitized solar cell (DSSC). The cells constructed by films of prepared composite materials using a N719 as dye were prepared. The efficiency values of these cells are 0.691%, 0.572% and 0.302% with MMO prepared at 500, 600 and 700°C, respectively.
Tran, Cuong D.; Gopalsamy, Geetha L.; Mortimer, Elissa K.; Young, Graeme P.
2015-01-01
It is well recognised that zinc deficiency is a major global public health issue, particularly in young children in low-income countries with diarrhoea and environmental enteropathy. Zinc supplementation is regarded as a powerful tool to correct zinc deficiency as well as to treat a variety of physiologic and pathologic conditions. However, the dose and frequency of its use as well as the choice of zinc salt are not clearly defined regardless of whether it is used to treat a disease or correct a nutritional deficiency. We discuss the application of zinc stable isotope tracer techniques to assess zinc physiology, metabolism and homeostasis and how these can address knowledge gaps in zinc supplementation pharmacokinetics. This may help to resolve optimal dose, frequency, length of administration, timing of delivery to food intake and choice of zinc compound. It appears that long-term preventive supplementation can be administered much less frequently than daily but more research needs to be undertaken to better understand how best to intervene with zinc in children at risk of zinc deficiency. Stable isotope techniques, linked with saturation response and compartmental modelling, also have the potential to assist in the continued search for simple markers of zinc status in health, malnutrition and disease. PMID:26035248
... for Eye Conditions Clinical Digest: Hepatitis C and Dietary Supplements Related Resources From Other Agencies Age-Related Eye Disease Study 2 (AREDS2) ( NEI ) Can Zinc Be Harmful? ( ODS ) Zinc ( ODS ) Follow NCCIH: Read our disclaimer ...
Zinc starvation induces autophagy in yeast
Kawamata, Tomoko; Horie, Tetsuro; Matsunami, Miou; Sasaki, Michiko; Ohsumi, Yoshinori
2017-01-01
Zinc is an essential nutrient for all forms of life. Within cells, most zinc is bound to protein. Because zinc serves as a catalytic or structural cofactor for many proteins, cells must maintain zinc homeostasis under severely zinc-deficient conditions. In yeast, the transcription factor Zap1 controls the expression of genes required for uptake and mobilization of zinc, but to date the fate of existing zinc-binding proteins under zinc starvation remains poorly understood. Autophagy is an evolutionarily conserved cellular degradation/recycling process in which cytoplasmic proteins and organelles are sequestered for degradation in the vacuole/lysosome. In this study, we investigated how autophagy functions under zinc starvation. Zinc depletion induced non-selective autophagy, which is important for zinc-limited growth. Induction of autophagy by zinc starvation was not directly related to transcriptional activation of Zap1. Instead, TORC1 inactivation directed zinc starvation-induced autophagy. Abundant zinc proteins, such as Adh1, Fba1, and ribosomal protein Rpl37, were degraded in an autophagy-dependent manner. But the targets of autophagy were not restricted to zinc-binding proteins. When cellular zinc is severely depleted, this non-selective autophagy plays a role in releasing zinc from the degraded proteins and recycling zinc for other essential purposes. PMID:28264932
NASA Astrophysics Data System (ADS)
Kumar, K. Deva Arun; Valanarasu, S.; Kathalingam, A.; Ganesh, V.; Shkir, Mohd.; AlFaify, S.
2017-12-01
Aluminum-doped zinc oxide (AZO) thin films were deposited by sol-gel spin coating technique onto the glass substrates using different solvents such as 2-methoxyethanol, methanol, ethanol and isopropanol. Prepared films were characterized by XRD, Raman spectrum, SEM, UV-visible spectrophotometer, photoluminescence (PL) and electrical studies. XRD studies showed that all the prepared films are hexagonal wurtzite structure with polycrystalline nature oriented along (002) direction. SEM images showed uniform particles of size around 60 nm distributed regularly on to the entire glass substrate. EDX analysis confirmed the composition of grown AZO film consisting of Al, Zn and O elements. The prepared films showed highest optical transmittance 94% in the visible range and band gap 3.30 eV. PL spectra for all AZO films showed a strong UV emission peak at 387 nm. The AZO films prepared using isopropanol solvent showed high carrier concentration and low resistivity values as 1.72 × 1020 cm-3 and 2.90 × 10-3 Ω cm, respectively, with high figure of merit ( ϕ) value 8.42 × 10-3 (Ω/sq)-1.
Effect of composition on SILAR deposited CdxZn1-xS thin films
NASA Astrophysics Data System (ADS)
Ashith V., K.; Gowrish Rao, K.
2018-04-01
In the group of II-VI compound semiconductor, cadmium zinc sulphide (CdxZn1-xS) thin films have broad application in photovoltaic, optoelectronic devices etc. For heterojunction aspects, CdxZn1-xS thin film can be used as heterojunction partner for CdTe as the absorber layer. In this work, CdZnS thin films prepared on glass substrates by Successive Ion Layer Adsorption and Reaction (SILAR) method by varying the composition. The XRD patterns of deposited films showed polycrystalline with the hexagonal phase. The crystallite size of the films was estimated from W-H plot. The bond length of the film varied w.r.to the composition of the CdxZn1-xS films. The urbach energy of the films was calcualted from absorbance data.
Anti-cancer activity of ZnO chips by sustained zinc ion release.
Moon, Seong-Hee; Choi, Won Jin; Choi, Sik-Won; Kim, Eun Hye; Kim, Jiyeon; Lee, Jeong-O; Kim, Seong Hwan
2016-01-01
We report anti-cancer activity of ZnO thin-film-coated chips by sustained release of zinc ions. ZnO chips were fabricated by precisely tuning ZnO thickness using atomic layer deposition, and their potential to release zinc ions relative to the number of deposition cycles was evaluated. ZnO chips exhibited selective cytotoxicity in human B lymphocyte Raji cells while having no effect on human peripheral blood mononuclear cells. Of importance, the half-maximal inhibitory concentration of the ZnO chip on the viability of Raji cells was 121.5 cycles, which was comparable to 65.7 nM of daunorubicin, an anti-cancer drug for leukemia. Molecular analysis of cells treated with ZnO chips revealed that zinc ions released from the chips increased cellular levels of reactive oxygen species, including hydrogen peroxide, which led to the down-regulation of anti-apoptotic molecules (such as HIF-1α, survivin, cIAP-2, claspin, p-53, and XIAP) and caspase-dependent apoptosis. Because the anti-cancer activity of ZnO chips and the mode of action were comparable to those of daunorubicin, the development and optimization of ZnO chips that gradually release zinc ions might have clinical anti-cancer potential. A further understanding of the biological action of ZnO-related products is crucial for designing safe biomaterials with applications in disease treatment.
NASA Astrophysics Data System (ADS)
Fockaert, L. I.; Taheri, P.; Abrahami, S. T.; Boelen, B.; Terryn, H.; Mol, J. M. C.
2017-11-01
Zirconium-based conversion treatment of zinc, aluminium and magnesium oxides have been studied in-situ using ATR-FTIR in a Kretschmann geometry. This set-up was coupled to an electrochemical cell, which allowed to obtain chemical and electrochemical information simultaneously as a function of conversion time. This elucidated the strong relation between physico-chemical surface properties and zirconium-based conversion kinetics. Whereas the surface hydroxyl density of zinc and aluminium increased during conversion, magnesium (hydr)oxide was shown to dissolve in the acid solution. Due to this dissolution, strong surface alkalization can be expected, explaining the rapid conversion kinetics. AES depth profiling was used to determine the final oxide thickness and elemental composition. This confirmed that magnesium is most active and forms a zirconium oxide layer approximately 10 times thicker than zinc. On the other hand, the presence of zirconium oxide on aluminium is very low and can be considered as not fully covering the metal oxide. Additionally, the converted oxide chemistry was related to the bonding mechanisms of amide functionalized molecules using ATR-FTIR and XPS. It was shown that inclusion of zirconium altered the acid-base properties, increasing the substrate proton donating capabilities in case of magnesium oxide and increasing hydrogen bonding and Bronsted interactions due to increased surface hydroxide fractions on zinc and aluminium substrates.
Perényi, Kristóf; Dienes, Lóránt; Kornafeld, Anna; Kovács, Balázs; Kiss, Huba J; Szepessy, Zsuzsanna; Nagy, Zoltán Z; Barsi, Árpád; Acosta, M Carmen; Gallar, Juana; Kovács, Illés
To evaluate the effect of tear supplementation with preservative free 0.15% zinc-hyaluronate on ocular surface sensations and corneal sensitivity in dry eye patients. Ocular surface sensations were assessed using the ocular surface disease index (OSDI) questionnaire and by recording ocular sensations during forced blinking in parallel with noninvasive tear film breakup time measurement in 20 eyes of 20 dry eye patients. Corneal sensitivity thresholds to selective stimulation of corneal mechano-, thermal- and chemical receptors were measured using the Belmonte gas esthesiometer. All baseline measurements were repeated after 1 month of treatment with 0.15% zinc-hyaluronate. After 1 month, a significant decrease in mean OSDI score (from 35.66 ± 12.36 to 15.03 ± 11.22; P < 0.001) and a significant improvement in tear film breakup time (from 3.83 ± 0.80 to 8.67 ± 4.50 s; P < 0.001) was observed compared to baseline. Sensory responses during the interblink period also significantly decreased after 1 month (P < 0.004). Corneal sensitivity thresholds to mechanical stimulation (90.61 ± 20.35 vs. 103.92 ± 17.97 mL/min; P < 0.025) and chemical stimulation (33.21 ± 0.51 vs. 33.58% ± 0.44% CO 2 ; P < 0.025) significantly increased after 1 month, however sensitivity thresholds to thermal stimulation remained unchanged compared to baseline (P > 0.05). Prolonged use of 0.15% zinc-hyaluronate results in an improvement of tear film stability and a decrease of dry eye complaints. The decrease in corneal mechano-and polymodal receptor excitability suggests that zinc-hyaluronate helps to recover normal corneal sensitivity, and thus might have a beneficial additional effect on reducing ocular surface complaints in dry eye patients.
Transparent conducting ZnO-CdO mixed oxide thin films grown by the sol-gel method.
Pathak, Trilok K; Rajput, Jeevitesh K; Kumar, Vinod; Purohit, L P; Swart, H C; Kroon, R E
2017-02-01
Mixed oxides of zinc and cadmium with different proportions were deposited on ordinary glass substrates using the sol-gel spin coating method under optimized deposition conditions using zinc acetate dihydrate and cadmium acetate dihydrate as precursors. X-ray diffraction patterns confirmed the polycrystalline nature of the films. A combination of cubic CdO and hexagonal wurtzite ZnO phases was observed. The oxidation states of Zn, Cd and O in the deposited films were determined by X-ray photoelectron spectroscopic studies. Surface morphology was studied by scanning electron microscopy and atomic force microscopy. The compositional analysis of the thin films was studied by secondary ion mass spectroscopy. The transmittance of the thin films was measured in the range 300-800nm and the optical bandgap was calculated using Tauc's plot method. The bandgap decreased from 3.15eV to 2.15eV with increasing CdO content. The light emission properties of the ZnO:CdO thin films were studied by photoluminescence spectra recorded at room temperature. The current-voltage characteristics were also assessed and showed ohmic behaviour. The resistance decreased with increasing CdO content. Copyright © 2016 Elsevier Inc. All rights reserved.
Studies on the bioavailability of zinc in humans: intestinal interaction of tin and zinc.
Solomons, N W; Marchini, J S; Duarte-Favaro, R M; Vannuchi, H; Dutra de Oliveira, J E
1983-04-01
Mineral/mineral interactions at the intestinal level are important in animal nutrition and toxicology, but only limited understanding of their extent or importance in humans has been developed. An inhibitory interaction of dietary tin on zinc retention has been recently described from human metabolic studies. We have explored the tin/zinc interaction using the change-in-plasma-zinc-concentration method with a standard dosage of 12.5 mg of zinc as zinc sulfate in 100 ml of Coca-Cola. Sn/Zn ratios of 2:1, 4:1, and 8:1, constituted by addition of 25, 50, and 100 mg of tin as stannous chloride, had no significant overall effect on zinc uptake. The 100-mg dose of tin produced noxious gastrointestinal symptoms. Addition of iron as ferrous sulfate to form ratios of Sn/Fe/Zn of 1:1:1 and 2:2:1 with the standard zinc solution and the appropriate doses of tin produced a reduction of zinc absorption not dissimilar from that seen previously with zinc and iron alone, and addition of picolinic acid did not influence the uptake of zinc from the solution with the 2:2:1 Sn/Fe/Zn ratio.
Suppression of zinc dendrites in zinc electrode power cells
NASA Technical Reports Server (NTRS)
Damjanovic, A.; Diggle, J. W.
1970-01-01
Addition of various tetraalkyl quarternary ammonium salts, to alkaline zincate electrolyte of cell, prevents formation of zinc dendrites during charging of zinc electrode. Electrode capacity is not impaired and elimination of dendrites prolongs cell life.
Zinc triggers microglial activation
Kauppinen, Tiina M.; Higashi, Youichirou; Suh, Sang Won; Escartin, Carole; Nagasawa, Kazuki; Swanson, Raymond A.
2009-01-01
Microglia are resident immune cells of the central nervous system. When stimulated by infection, tissue injury, or other signals, microglia assume an activated, “amoeboid” morphology and release matrix metalloproteinases, reactive oxygen species, and other pro-inflammatory factors. This innate immune response augments host defenses, but it can also contribute to neuronal death. Zinc is released by neurons under several conditions in which microglial activation occurs, and zinc chelators can reduce neuronal death in animal models of cerebral ischemia and neurodegenerative disorders. Here we show that zinc directly triggers microglial activation. Microglia transfected with an NF-kB reporter gene showed a several-fold increase in NF-kB activity in response to 30 μM zinc. Cultured mouse microglia exposed to 15 – 30 μM zinc increased nitric oxide production, increased F4/80 expression, altered cytokine expression, and assumed the activated morphology. Zinc-induced microglial activation was blocked by inhibiting NADPH oxidase, poly(ADP-ribose) polymerase-1 (PARP-1), or NF-κB activation. Zinc injected directly into mouse brain induced microglial activation in wild-type mice, but not in mice genetically lacking PARP-1 or NADPH oxidase activity. Endogenous zinc release, induced by cerebral ischemia-reperfusion, likewise induced a robust microglial reaction, and this reaction was suppressed by the zinc chelator CaEDTA. Together, these results suggest that extracellular zinc triggers microglial activation through the sequential activation of NADPH oxidase, PARP-1, and NF-κB. These findings identify a novel trigger for microglial activation and a previously unrecognized mechanism by which zinc may contribute to neurological disorders. PMID:18509044
NASA Astrophysics Data System (ADS)
Khurana, Jatin
Gemcitabine is a nucleoside analogue, used in various carcinomas such as non small cell lung cancer, pancreatic cancer, ovarian cancer and breast cancer. The major setbacks to the conventional therapy with gemcitabine include its short half-life and highly hydrophilic nature. The objectives of this investigation were to develop and evaluate the physiochemical properties, drug loading and entrapment efficiency, in vitro release, cytotoxicity, and cellular uptake of polymeric nano-particulate formulations containing gemcitabine hydrochloride. The study also entailed development and validation of a high performance liquid chromatography (HPLC) method for the analysis of gemcitabine hydrochloride. A reverse phase HPLC method using a C18 Luna column was developed and validated. Alginate and Poly lactide co glycolide/Poly-epsilon-caprolactone (PLGA:PCL 80:20) nanoparticles were prepared by multiple emulsion-solvent evaporation methodology. An aqueous solution of low viscosity alginate containing gemcitabine was emulsified into 10% solution of dioctyl-sulfosuccinate in dichloro methane (DCM) by sonication. The primary emulsion was then emulsified in 0.5% (w/v) aqueous solution of polyvinyl alcohol (PVA). Calcium chloride solution (60% w/v) was used to cause cross linking of the polymer. For PLGA:PCL system, the polymer mix was dissolved in dichloromethane (DCM) and an aqueous gemcitabine (with and without sodium chloride) was emulsified under ultrasonic conditions (12-watts; 1-min). This primary emulsion was further emulsified in 2% (w/v) PVA under ultrasonic conditions (24-watts; 3-min) to prepare a multiple-emulsion (w/o/w). In both cases DCM, the organic solvent was evaporated (20- hours, magnetic-stirrer) prior to ultracentrifugation (10000-rpm for PLGA:PCL; 25000-rpm for alginate). The pellet obtained was washed thrice with de-ionized water to remove PVA and any free drug and re-centrifuged. The particles were re-suspended in de-ionized water and then lyophilized to
Zinc starvation induces autophagy in yeast.
Kawamata, Tomoko; Horie, Tetsuro; Matsunami, Miou; Sasaki, Michiko; Ohsumi, Yoshinori
2017-05-19
Zinc is an essential nutrient for all forms of life. Within cells, most zinc is bound to protein. Because zinc serves as a catalytic or structural cofactor for many proteins, cells must maintain zinc homeostasis under severely zinc-deficient conditions. In yeast, the transcription factor Zap1 controls the expression of genes required for uptake and mobilization of zinc, but to date the fate of existing zinc-binding proteins under zinc starvation remains poorly understood. Autophagy is an evolutionarily conserved cellular degradation/recycling process in which cytoplasmic proteins and organelles are sequestered for degradation in the vacuole/lysosome. In this study, we investigated how autophagy functions under zinc starvation. Zinc depletion induced non-selective autophagy, which is important for zinc-limited growth. Induction of autophagy by zinc starvation was not directly related to transcriptional activation of Zap1. Instead, TORC1 inactivation directed zinc starvation-induced autophagy. Abundant zinc proteins, such as Adh1, Fba1, and ribosomal protein Rpl37, were degraded in an autophagy-dependent manner. But the targets of autophagy were not restricted to zinc-binding proteins. When cellular zinc is severely depleted, this non-selective autophagy plays a role in releasing zinc from the degraded proteins and recycling zinc for other essential purposes. © 2017 by The American Society for Biochemistry and Molecular Biology, Inc.
An Atomistic View of the Incipient Growth of Zinc Oxide Nanolayers
Chu, Manh Hung; Tian, Liang; Chaker, Ahmad; ...
2016-08-09
The growth of zinc oxide thin films by atomic layer deposition is believed to proceed through an embryonic step in which three-dimensional nanoislands form and then coalesce to trigger a layer-by-layer growth mode. This transient initial state is characterized by a poorly ordered atomic structure, which may be inaccessible by X-ray diffraction techniques. Here in this work, we apply X-ray absorption spectroscopy in situ to address the local structure of Zn after each atomic layer deposition cycle, using a custom-built reactor mounted at a synchrotron beamline, and we shed light on the atomistic mechanisms taking place during the first stagesmore » of the growth. We find that such mechanisms are surprisingly different for zinc oxide growth on amorphous (silica) and crystalline (sapphire) substrate. Ab initio simulations and quantitative data analysis allow the formulation of a comprehensive growth model, based on the different effects of surface atoms and grain boundaries in the nanoscale islands, and the consequent induced local disorder. From a comparison of these spectroscopy results with those from X-ray diffraction reported recently, we observe that the final structure of the zinc oxide nanolayers depends strongly on the mechanisms taking place during the initial stages of growth. Finally, the approach followed here for the case of zinc oxide will be of general interest for characterizing and optimizing the growth and properties of more complex nanostructures.« less
An Atomistic View of the Incipient Growth of Zinc Oxide Nanolayers
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chu, Manh Hung; Tian, Liang; Chaker, Ahmad
The growth of zinc oxide thin films by atomic layer deposition is believed to proceed through an embryonic step in which three-dimensional nanoislands form and then coalesce to trigger a layer-by-layer growth mode. This transient initial state is characterized by a poorly ordered atomic structure, which may be inaccessible by X-ray diffraction techniques. Here in this work, we apply X-ray absorption spectroscopy in situ to address the local structure of Zn after each atomic layer deposition cycle, using a custom-built reactor mounted at a synchrotron beamline, and we shed light on the atomistic mechanisms taking place during the first stagesmore » of the growth. We find that such mechanisms are surprisingly different for zinc oxide growth on amorphous (silica) and crystalline (sapphire) substrate. Ab initio simulations and quantitative data analysis allow the formulation of a comprehensive growth model, based on the different effects of surface atoms and grain boundaries in the nanoscale islands, and the consequent induced local disorder. From a comparison of these spectroscopy results with those from X-ray diffraction reported recently, we observe that the final structure of the zinc oxide nanolayers depends strongly on the mechanisms taking place during the initial stages of growth. Finally, the approach followed here for the case of zinc oxide will be of general interest for characterizing and optimizing the growth and properties of more complex nanostructures.« less
Nian, Qiong; Callahan, Michael; Saei, Mojib; Look, David; Efstathiadis, Harry; Bailey, John; Cheng, Gary J.
2015-01-01
A new method combining aqueous solution printing with UV Laser crystallization (UVLC) and post annealing is developed to deposit highly transparent and conductive Aluminum doped Zinc Oxide (AZO) films. This technique is able to rapidly produce large area AZO films with better structural and optoelectronic properties than most high vacuum deposition, suggesting a potential large-scale manufacturing technique. The optoelectronic performance improvement attributes to UVLC and forming gas annealing (FMG) induced grain boundary density decrease and electron traps passivation at grain boundaries. The physical model and computational simulation developed in this work could be applied to thermal treatment of many other metal oxide films. PMID:26515670
Behaviour of a ZnO thin film as MSG for biosensing material in sub-wavelength regime
NASA Astrophysics Data System (ADS)
Iftimie, N.; Steigmann, R.; Danila, N. A.; Iacomi, F.; Faktorova, D.; Savin, A.
2016-11-01
Zinc oxide nanostructured materials, such as films and nanoparticles, could provide a suitable platform for development of high performance biosensing material due to their unique fundamental material properties. In this study, the enzyme biosensing consisting of a zinc oxide (ZnO) nanoparticles were grown on SiO2/Si substrates by vacuum thermal evaporation method and their sensing characteristics are examined in air and investigated. The film morphology is characterized by X-ray diffraction (XRD) the film crystalline quality and by scanning electron microscopy (SEM). Also, the interest in surface waves appeared due to evanescent waves in the metallic strip grating structure (MSG-Ag/ZnO/SiO2/Si) in sub-wavelength regime. Before testing the sensor with metamaterials (MMs) lens in the sub-wavelength regime, a simulation of the evanescent wave's formation has been performed at the edge of Ag strips, with thicknesses in the range of micrometers.
NASA Astrophysics Data System (ADS)
Lee, J.; Gao, W.; Li, Z.; Hodgson, M.; Metson, J.; Gong, H.; Pal, U.
2005-05-01
Zinc oxide thin films were prepared by dc (direct current) and rf (radio frequency) magnetron sputtering on glass substrates. ZnO films produced by dc sputtering have a high resistance, while the films produced using rf sputtering are significantly more conductive. While the conductive films have a compact nodular surface morphology, the resistive films have a relatively porous surface with columnar structures in cross section. Compared to the dc sputtered films, rf sputtered films have a microstructure with smaller d spacing, lower internal stress, higher band gap energy and higher density. Dependence of conductivity on the deposition technique and the resulting d spacing , stress, density, band gap, film thickness and Al doping are discussed. Correlations between the electrical conductivity, microstructural parameters and optical properties of the films have been made.
Jayalakshmi, S; Platel, Kalpana
2016-05-18
Negative interactions between minerals interfering with each other's absorption are of concern when iron and calcium supplements are given to pregnant women and children. We have previously reported that supplemental levels of iron and calcium inhibit the bioaccessibility of zinc, and compromise zinc status in rats fed diets with high levels of these two minerals. The present study examined the effect of supplemental levels of iron and calcium on the recovery of zinc status during a zinc repletion period in rats rendered zinc-deficient. Iron and calcium, both individually and in combination, significantly interfered with the recovery of zinc status in zinc deficient rats during repletion with normal levels of zinc in the diet. Rats maintained on diets containing supplemental levels of these two minerals had significantly lower body weight, and the concentration of zinc in serum and organs was significantly lower than in zinc-deficient rats not receiving the supplements. Iron and calcium supplementation also significantly inhibited the activity of zinc-containing enzymes in the serum as well as liver. Both iron and calcium independently exerted this negative effect on zinc status, while their combination seemed to have a more prominent effect, especially on the activities of zinc containing enzymes. This investigation is probably the first systematic study on the effect of these two minerals on the zinc status of zinc deficient animals and their recovery during repletion with normal amounts of zinc.
Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn
2018-03-01
Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.
Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu
2014-06-13
Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).
Atomic layer deposition of tin oxide and zinc tin oxide using tetraethyltin and ozone
DOE Office of Scientific and Technical Information (OSTI.GOV)
Warner, Ellis J.; Gladfelter, Wayne L., E-mail: wlg@umn.edu; Johnson, Forrest
Silicon or glass substrates exposed to sequential pulses of tetraethyltin (TET) and ozone (O{sub 3}) were coated with thin films of SnO{sub 2}. Self-limiting deposition was found using 8 s pulse times, and a uniform thickness per cycle (TPC) of 0.2 nm/cycle was observed in a small, yet reproducible, temperature window from 290 to 320 °C. The as-deposited, stoichiometric SnO{sub 2} films were amorphous and transparent above 400 nm. Interspersing pulses of diethylzinc and O{sub 3} among the TET:O{sub 3} pulses resulted in deposition of zinc tin oxide films, where the fraction of tin, defined as [at. % Sn/(at. % Sn + at. %more » Zn)], was controlled by the ratio of TET pulses, specifically n{sub TET}:(n{sub TET} + n{sub DEZ}) where n{sub TET} and n{sub DEZ} are the number of precursor/O{sub 3} subcycles within each atomic layer deposition (ALD) supercycle. Based on film thickness and composition measurements, the TET pulse time required to reach saturation in the TPC of SnO{sub 2} on ZnO surfaces was increased to >30 s. Under these conditions, film stoichiometry as a function of the TET pulse ratio was consistent with the model devised by Elliott and Nilsen. The as-deposited zinc tin oxide (ZTO) films were amorphous and remained so even after annealing at 450 °C in air for 1 h. The optical bandgap of the transparent ZTO films increased as the tin concentration increased. Hall measurements established that the n-type ZTO carrier concentration was 3 × 10{sup 17} and 4 × 10{sup 18} cm{sup −3} for fractional tin concentrations of 0.28 and 0.63, respectively. The carrier mobility decreased as the concentration of tin increased. A broken gap pn junction was fabricated using ALD-deposited ZTO and a sputtered layer of cuprous oxide. The junction demonstrated ohmic behavior and low resistance consistent with similar junctions prepared using sputter-deposited ZTO.« less
[Zinc and chronic enteropathies].
Giorgi, P L; Catassi, C; Guerrieri, A
1984-01-01
In recent years the nutritional importance of zinc has been well established; its deficiency and its symptoms have also been recognized in humans. Furthermore, Acrodermatitis Enteropathica has been isolated, a rare but severe disease, of which skin lesions, chronic diarrhoea and recurring infections are the main symptoms. The disease is related to the malfunctioning of intestinal absorption of zinc and can be treated by administering pharmacological doses of zinc orally. Good dietary sources of zinc are meat, fish and, to a less extent, human milk. The amount of zinc absorbed in the small intestine is influenced by other nutrients: some compounds inhibit this process (dietary fiber, phytate) while others (picolinic acid, citric acid), referred to as Zn-binding ligands (ZnBL) facilitate it. Citric acid is thought to be the ligand which accounts for the high level of bioavailability of zinc in human milk. zinc absorption occurs throughout the small intestine, not only in the prossimal tract (duodenum and jejunum) but also in the distal tract (ileum). Diarrhoea is one of the clinical manifestations of zinc deficiency, thus many illnesses distinguished by chronic diarrhoea entail a bad absorption of zinc. In fact, in some cases of chronic enteropathies in infants, like coeliac disease and seldom cystic fibrosis, a deficiency of zinc has been isolated. Some of the symptoms of Crohn's disease, like retarded growth and hypogonadism, have been related to hypozinchemia which is present in this illness. Finally, it is possible that some of the dietary treatments frequently used for persistent post-enteritis diarrhoea (i.e. cow's milk exclusion, abuse and misuse of dietary fiber like carrot and carub powder, use of soy formula) can constitute a scarce supply of zinc and therefore could promote the persistency of diarrhoea itself.
Growth of ZnO films in sol-gel electrophoretic deposition by different solvents
NASA Astrophysics Data System (ADS)
Hallajzadeh, Amir Mohammad; Abdizadeh, Hossein; Taheri, Mahtab; Golobostanfard, Mohammad Reza
2018-01-01
This article introduces a process to fabricate zinc oxide (ZnO) films through combining sol preparation and electrophoretic deposition (EPD). The experimental results have proved that the EPD process is a powerful route to fabricate ZnO films with desire thickness from stable colloidal suspension under a direct current (DC) electric field. In this method, ZnO sol is prepared by dissolving zinc acetate dehydrate (ZAD) as the main precursor and diethanolamine (DEA) as the additive in various solvents such as methanol (MeOH), ethanol (EtOH), and 2-proponal (2-PrOH). The deposition was performed under a constant voltage of 30 V for 2 min. Scanning electron microscopy (SEM), X-ray diffraction (XRD), and diffuse reflectance spectroscopy (DRS) were used to characterize ZnO films. XRD pattern of the ZnO film prepared by MeOH shows the highest degree of preferential orientation and this is mainly attributed to the higher dielectric constant of the MeOH which results in higher current density in electrophoretic deposit ion. The SEM cross section images also show that the thickness of the ZnO film enhances by decreasing the solvent chain length. According to SEM results, as the viscosity of the medium increased, more compact layers are formed, which can be attributed to the lower deposition rates in heavier alcohols.
Cross-linked polyvinyl alcohol films as alkaline battery separators
NASA Technical Reports Server (NTRS)
Sheibley, D. W.; Manzo, M. A.; Gonzalez-Sanabria, O. D.
1983-01-01
Cross-linking methods have been investigated to determine their effect on the performance of polyvinyl alcohol (PVA) films as alkaline battery separators. The following types of cross-linked PVA films are discussed: (1) PVA-dialdehyde blends post-treated with an acid or acid periodate solution (two-step method) and (2) PVA-dialdehyde blends cross-linked during film formation (drying) by using a reagent with both aldehyde and acid functionality (one-step method). Laboratory samples of each cross-linked type of film were prepared and evaluated in standard separator screening tests. Then pilot-plant batches of films were prepared and compared to measure differences due to the cross-linking method. The pilot-plant materials were then tested in nickel oxide-zinc cells to compare the two methods with respect to performance characteristics and cycle life. Cell test results are compared with those from tests with Celgard.
Cross-linked polyvinyl alcohol films as alkaline battery separators
NASA Technical Reports Server (NTRS)
Sheibley, D. W.; Manzo, M. A.; Gonzalez-Sanabria, O. D.
1982-01-01
Cross-linking methods were investigated to determine their effect on the performance of polyvinyl alcohol (PVA) films as alkaline battery separators. The following types of cross-linked PVA films are discussed: (1) PVA-dialdehyde blends post-treated with an acid or acid periodate solution (two-step method) and (2) PVA-dialdehyde blends cross-linked during film formation (drying) by using a reagent with both aldehyde and acid functionality (one-step method). Laboratory samples of each cross-linked type of film were prepared and evaluated in standard separator screening tests. The pilot-plant batches of films were prepared and compared to measure differences due to the cross-linking method. The pilot-plant materials were then tested in nickel oxide - zinc cells to compare the two methods with respect to performance characteristics and cycle life. Cell test results are compared with those from tests with Celgard.
Zinc Extraction from Zinc Plants Residue Using Selective Alkaline Leaching and Electrowinning
NASA Astrophysics Data System (ADS)
Ashtari, Pedram; Pourghahramani, Parviz
2015-10-01
Annually, a great amount of zinc plants residue is produced in Iran. One of them is hot filter cake (known as HFC) which can be used as a secondary resource of zinc, cobalt and manganese. Unfortunately, despite its heavy metal content, the HFC is not treated. For the first time, zinc was selectively leached from HFC employing alkaline leaching. Secondly, leaching was optimized to achieve maximum recovery using this method. Effects of factors like NaOH concentration (C = 3, 5, 7 and 9 M), temperature (T = 50, 70, 90 and 105 °C), solid/liquid ratio (weight/volume, S/L = 1/10 and 1/5 W/V) and stirring speed (R = 500 and 800 rpm) were studied on HFC leaching. L16 orthogonal array (OA, two factors in four levels and two factors in two levels) was applied to determine the optimum condition and the most significant factor affecting the overall zinc extraction. As a result, maximum zinc extraction was 83.4 %. Afterwards, a rough test was conducted for zinc electrowinning from alkaline solution according to the common condition available in literature by which pure zinc powder (99.96 %) was successfully obtained.