Sample records for nanopatterned gaas substrates

  1. Photovoltaic cell with nano-patterned substrate

    DOEpatents

    Cruz-Campa, Jose Luis; Zhou, Xiaowang; Zubia, David

    2016-10-18

    A photovoltaic solar cell comprises a nano-patterned substrate layer. A plurality of nano-windows are etched into an intermediate substrate layer to form the nano-patterned substrate layer. The nano-patterned substrate layer is positioned between an n-type semiconductor layer composed of an n-type semiconductor material and a p-type semiconductor layer composed of a p-type semiconductor material. Semiconductor material accumulates in the plurality of nano-windows, causing a plurality of heterojunctions to form between the n-type semiconductor layer and the p-type semiconductor layer.

  2. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.

    PubMed

    Hsu, Chao-Wei; Chen, Yung-Feng; Su, Yan-Kuin

    2012-12-14

    GaAs is grown by metal-organic vapor-phase epitaxy on a 55 nm round-hole patterned Si substrate with SiO(2) as a mask. The threading dislocations, which are stacked on the lowest energy facet plane, move along the SiO(2) walls, reducing the number of dislocations. The etching pit density of GaAs on the 55 nm round-hole patterned Si substrate is about 3.3 × 10(5) cm(-2). Compared with the full width at half maximum measurement from x-ray diffraction and photoluminescence spectra of GaAs on a planar Si(001) substrate, those of GaAs on the 55 nm round-hole patterned Si substrate are reduced by 39.6 and 31.4%, respectively. The improvement in material quality is verified by transmission electron microscopy, field-emission scanning electron microscopy, Hall measurements, Raman spectroscopy, photoluminescence, and x-ray diffraction studies.

  3. Nanopatterning as a Probe of Unstable Growth on GaAs(001)

    NASA Astrophysics Data System (ADS)

    Cosert, Krista; Lin, Chuan-Fu; Hammouda, Ajmi; Kan, Hung-Chih; Subrumaniam, Kanakaraju; Richardson, Chris; Phaneuf, Ray

    2009-03-01

    We report on observations of unstable growth on nanopatterned GaAs(001) surfaces. For growth at 500^oC, 1 ML/sec and an As2/Ga beam equivalent pressure ratio of 10:1, we find that grooves oriented at right angles to [110] produce a build up of ridges of GaAs at the upper edges, while for grooves oriented at right angles to [110] no ridges form; instead cusps evolve at the bottoms of such grooves [1]. The cusp-forming grooves show a pronounced initial amplification of depth during growth which changes with length/width ratio, and become more narrow. The ridge-forming grooves instead broaden during growth. We compare these experimental observations with kinetic Monte Carlo simulations in which a small anisotropic Ehrlich-Schwoebel barrier is included. [1] T. Tadayyon-Eslami, H.-C. Kan, L. C. Calhoun and R. J. Phaneuf, Phys. Rev. Lett. 97, 126101 (2006)

  4. Ultrasmooth, Polydopamine Modified Surfaces for Block Copolymer Nanopatterning on Inert and Flexible Substrates

    NASA Astrophysics Data System (ADS)

    Katsumata, Reika; Cho, Joon Hee; Zhou, Sunshine; Kim, Chae Bin; Dulaney, Austin; Janes, Dustin; Ellison, Christopher

    Nature has engineered universal, catechol-containing adhesives that can be synthetically mimicked in the form of polydopamine (PDA). We exploited PDA to enable block copolymer (BCP) nanopatterning on a variety of soft material surfaces in a way that can potentially be applied to flexible electrical devices. Applying BCP nanopatterning to soft substrates is challenging because soft substrates are often chemically inert and possess incompatible low surface energies. In this study, we exploited PDA to enable the formation of BCP nanopatterns on a variety of surfaces such as Teflon, poly(ethylene terephthalate) (PET), and Kapton. While previous studies produced a PDA coating layer too rough for BCP nanopatterning, we succeeded in fabricating conformal and ultra-smooth surfaces of PDA by engineering the PDA coating process and post-sonication procedure. This chemically functionalized, biomimetic thin film (3 nm thick) served as a reactive platform for subsequently grafting a surface treatment to perpendicularly orient a lamellae-forming BCP layer. Furthermore, we demonstrated that a perfectly nanopatterned PDA-PET substrate can be bent without distorting or damaging the nanopattern in conditions that far exceeds typical bending curvatures in roll-to-roll manufacturing.

  5. Formation of Au nano-patterns on various substrates using simplified nano-transfer printing method

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Woo; Yang, Ki-Yeon; Hong, Sung-Hoon; Lee, Heon

    2008-06-01

    For future device applications, fabrication of the metal nano-patterns on various substrates, such as Si wafer, non-planar glass lens and flexible plastic films become important. Among various nano-patterning technologies, nano-transfer print method is one of the simplest techniques to fabricate metal nano-patterns. In nano-transfer printing process, thin Au layer is deposited on flexible PDMS mold, containing surface protrusion patterns, and the Au layer is transferred from PDMS mold to various substrates due to the difference of bonding strength of Au layer to PDMS mold and to the substrate. For effective transfer of Au layer, self-assembled monolayer, which has strong bonding to Au, is deposited on the substrate as a glue layer. In this study, complicated SAM layer coating process was replaced to simple UV/ozone treatment, which can activates the surface and form the -OH radicals. Using simple UV/ozone treatments on both Au and substrate, Au nano-pattern can be successfully transferred to as large as 6 in. diameter Si wafer, without SAM coating process. High fidelity transfer of Au nano-patterns to non-planar glass lens and flexible PET film was also demonstrated.

  6. Microwave GaAs Integrated Circuits On Quartz Substrates

    NASA Technical Reports Server (NTRS)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  7. Fabrication of wafer-scale nanopatterned sapphire substrate through phase separation lithography

    NASA Astrophysics Data System (ADS)

    Guo, Xu; Ni, Mengyang; Zhuang, Zhe; Dai, Jiangping; Wu, Feixiang; Cui, Yushuang; Yuan, Changsheng; Ge, Haixiong; Chen, Yanfeng

    2016-04-01

    A phase separation lithography (PSL) based on polymer blend provides an extremely simple, low-cost, and high-throughput way to fabricate wafer-scale disordered nanopatterns. This method was introduced to fabricate nanopatterned sapphire substrates (NPSSs) for GaN-based light-emitting diodes (LEDs). The PSL process only involved in spin-coating of polystyrene (PS)/polyethylene glycol (PEG) polymer blend on sapphire substrate and followed by a development with deionized water to remove PEG moiety. The PS nanoporous network was facilely obtained, and the structural parameters could be effectively tuned by controlling the PS/PEG weight ratio of the spin-coating solution. 2-in. wafer-scale NPSSs were conveniently achieved through the PS nanoporous network in combination with traditional nanofabrication methods, such as O2 reactive ion etching (RIE), e-beam evaporation deposition, liftoff, and chlorine-based RIE. In order to investigate the performance of such NPSSs, typical blue LEDs with emission wavelengths of ~450 nm were grown on the NPSS and a flat sapphire substrate (FSS) by metal-organic chemical vapor deposition, respectively. The integral photoluminescence (PL) intensity of the NPSS LED was enhanced by 32.3 % compared to that of the FSS-LED. The low relative standard deviation of 4.7 % for PL mappings of NPSS LED indicated the high uniformity of PL data across the whole 2-in. wafer. Extremely simple, low cost, and high throughput of the process and the ability to fabricate at the wafer scale make PSL a potential method for production of nanopatterned sapphire substrates.

  8. GaAs Photovoltaics on Polycrystalline Ge Substrates

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Pal, AnnaMaria T.; McNatt, Jeremiah S.; Wolford, David S.; Landis, Geoffrey A.; Smith, Mark A.; Scheiman, David; Jenkins, Phillip P.; McElroy Bruce

    2007-01-01

    High efficiency III-V multijunction solar cells deposited on metal foil or even polymer substrates can provide tremendous advantages in mass and stowage, particularly for planetary missions. As a first step towards that goal, poly-crystalline p/i/n GaAs solar cells are under development on polycrystalline Ge substrates. Organo Metallic Vapor Phase Epitaxy (OMVPE) parameters for pre-growth bake, nucleation and deposition have been examined. Single junction p/i/n GaAs photovoltaic devices, incorporating InGaP front and back window layers, have been grown and processed. Device performance has shown a dependence upon the thickness of a GaAs buffer layer deposited between the Ge substrate and the active device structure. A thick (2 m) GaAs buffer provides for both increased average device performance as well as reduced sensitivity to variations in grain size and orientation. Illumination under IR light (lambda > 1 micron), the cells showed a Voc, demonstrating the presence of an unintended photoactive junction at the GaAs/Ge interface. The presence of this junction limited the efficiency to approx.13% (estimated with an anti-refection coating) due to the current mismatch and lack of tunnel junction interconnect.

  9. Pattern interpolation in thin films of lamellar, symmetric copolymers on nano-patterned substrates

    NASA Astrophysics Data System (ADS)

    Detcheverry, Francois; Nagpal, Umang; Liu, Guoliang; Nealey, Paul; de Pablo, Juan

    2009-03-01

    A molecular model of block copolymer systems is used to conduct a systematic study of the morphologies that arise when thin films of symmetric, lamellar forming block copolymer materials are deposited on nanopatterned surfaces. Over 500 distinct cases are considered. It is found that, in general, three distinct morphologies can arise depending on the strength of the substrate-polymer interactions, the film thickness, and the period of the substrate pattern. The relative stability of those morphologies is determined by direct calculation of the free energy differences. The dynamic propensity of those morphologies to emerge is examined by careful analysis of simulated trajectories. The results of this systematic study are used to interpret recent experimental data for films of polystyrene-PMMA copolymers on chemically nanopatterned surfaces.

  10. Integration of GaAs vertical-cavity surface emitting laser on Si by substrate removal

    NASA Astrophysics Data System (ADS)

    Yeh, Hsi-Jen J.; Smith, John S.

    1994-03-01

    The successful integration of strained quantum well InGaAs vertical-cavity surface-emitting lasers (VCSELs) on both Si and Cu substrates was described using a GaAs substrate removal technique. The GaAs VCSEL structure was metallized and bonded to the Si substrate after growth. The GaAs substrate was then removed by selective chemical wet etching. Finally, the bonded GaAs film metallized on the top (emitting) side and separate lasers were defined. This is the first time a VCSEL had been integrated on a Si substrate with its substrate removed. The performance enhancement of GaAs VCSELs bonded on good thermal conductors are demonstrated.

  11. GaN grown on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Jing, Kong; Meixin, Feng; Jin, Cai; Hui, Wang; Huaibing, Wang; Hui, Yang

    2015-04-01

    High-quality gallium nitride (GaN) film was grown on nano-patterned sapphire substrates (NPSS) and investigated using XRD and SEM. It was found that the optimum thickness of the GaN buffer layer on the NPSS is 15 nm, which is thinner than that on micro-patterned sapphire substrates (MPSS). An interesting phenomenon was observed for GaN film grown on NPSS:GaN mainly grows on the trench regions and little grows on the sidewalls of the patterns at the initial growth stage, which is dramatically different from GaN grown on MPSS. In addition, the electrical and optical properties of LEDs grown on NPSS were characterized. Project supported by the Suzhou Nanojoin Photonics Co., Ltd and the High-Tech Achievements Transformation of Jiangsu Province, China (No.BA2012010).

  12. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  13. Method of making nanopatterns and nanostructures and nanopatterned functional oxide materials

    DOEpatents

    Dravid, Vinayak P; Donthu, Suresh K; Pan, Zixiao

    2014-02-11

    Method for nanopatterning of inorganic materials, such as ceramic (e.g. metal oxide) materials, and organic materials, such as polymer materials, on a variety of substrates to form nanopatterns and/or nanostructures with control of dimensions and location, all without the need for etching the materials and without the need for re-alignment between multiple patterning steps in forming nanostructures, such as heterostructures comprising multiple materials. The method involves patterning a resist-coated substrate using electron beam lithography, removing a portion of the resist to provide a patterned resist-coated substrate, and spin coating the patterned resist-coated substrate with a liquid precursor, such as a sol precursor, of the inorganic or organic material. The remaining resist is removed and the spin coated substrate is heated at an elevated temperature to crystallize the deposited precursor material.

  14. Studies of molecular-beam epitaxy growth of GaAs on porous Si substrates

    NASA Technical Reports Server (NTRS)

    Mii, Y. J.; Kao, Y. C.; Wu, B. J.; Wang, K. L.; Lin, T. L.; Liu, J. K.

    1988-01-01

    GaAs has been grown on porous Si directly and on Si buffer layer-porous Si substrates by molecular-beam epitaxy. In the case of GaAs growth on porous Si, transmission electron microscopy (TEM) reveals that the dominant defects in GaAs layers grown on porous Si are microtwins and stacking faults, which originate from the GaAs/porous Si interface. GaAs is found to penetrate into the porous Si layers. By using a thin Si buffer layer (50 nm), GaAs penetration diminishes and the density of microtwins and stacking faults is largely reduced and localized at the GaAs/Si buffer interface. However, there is a high density of threading dislocations remaining. Both Si (100) aligned and four degree tilted substrates have been examined in this study. TEM results show no observable effect of the tilted substrates on the quality of the GaAs epitaxial layer.

  15. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    NASA Astrophysics Data System (ADS)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  16. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  17. Laser Induced Electrodeposition on Polyimide and GaAs Substrates

    DTIC Science & Technology

    1983-10-01

    6 3.1 Laser Gold Plating on Undoped Ga As Substrate ........... 6 3.1.1 Deposit Formation...22 iv LIST OF ILLUSTRATIONS Figure Page 1. Experimental Set-Up . . . . . .................. 4 2. Laser Gold Pla’ting Undoped GaAs (100...9 3. Laser Gold Plating Undoped GaAs (100) Deposit Resistance Measurement ......................... .10 4. Laser Gold Plating on Polyimide

  18. Influence of GaAs substrate properties on the congruent evaporation temperature

    NASA Astrophysics Data System (ADS)

    Spirina, A. A.; Nastovjak, A. G.; Shwartz, N. L.

    2018-03-01

    High-temperature annealing of GaAs(111)A and GaAs(111)B substrates under Langmuir evaporation conditions was studied using Monte Carlo simulation. The maximal value of the congruent evaporation temperature was estimated. The congruent evaporation temperature was demonstrated to be dependent on the surface orientation and concentration of surface defects.

  19. Highly efficient single-junction GaAs thin-film solar cell on flexible substrate.

    PubMed

    Moon, Sunghyun; Kim, Kangho; Kim, Youngjo; Heo, Junseok; Lee, Jaejin

    2016-07-20

    There has been much interest in developing a thin-film solar cell because it is lightweight and flexible. The GaAs thin-film solar cell is a top contender in the thin-film solar cell market in that it has a high power conversion efficiency (PCE) compared to that of other thin-film solar cells. There are two common structures for the GaAs solar cell: n (emitter)-on-p (base) and p-on-n. The former performs better due to its high collection efficiency because the electron diffusion length of the p-type base region is much longer than the hole diffusion length of the n-type base region. However, it has been limited to fabricate highly efficient n-on-p single-junction GaAs thin film solar cell on a flexible substrate due to technical obstacles. We investigated a simple and fast epitaxial lift-off (ELO) method that uses a stress originating from a Cr/Au bilayer on a 125-μm-thick flexible substrate. A metal combination of AuBe/Pt/Au is employed as a new p-type ohmic contact with which an n-on-p single-junction GaAs thin-film solar cell on flexible substrate was successfully fabricated. The PCE of the fabricated single-junction GaAs thin-film solar cells reached 22.08% under air mass 1.5 global illumination.

  20. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  1. Structural and optical characterization of GaAs nano-crystals selectively grown on Si nano-tips by MOVPE.

    PubMed

    Skibitzki, Oliver; Prieto, Ivan; Kozak, Roksolana; Capellini, Giovanni; Zaumseil, Peter; Arroyo Rojas Dasilva, Yadira; Rossell, Marta D; Erni, Rolf; von Känel, Hans; Schroeder, Thomas

    2017-03-01

    We present the nanoheteroepitaxial growth of gallium arsenide (GaAs) on nano-patterned silicon (Si) (001) substrates fabricated using a CMOS technology compatible process. The selective growth of GaAs nano-crystals (NCs) was achieved at 570 °C by MOVPE. A detailed structure and defect characterization study of the grown nano-heterostructures was performed using scanning transmission electron microscopy, x-ray diffraction, micro-Raman, and micro-photoluminescence (μ-PL) spectroscopy. The results show single-crystalline, nearly relaxed GaAs NCs on top of slightly, by the SiO 2 -mask compressively strained Si nano-tips (NTs). Given the limited contact area, GaAs/Si nanostructures benefit from limited intermixing in contrast to planar GaAs films on Si. Even though a few growth defects (e.g. stacking faults, micro/nano-twins, etc) especially located at the GaAs/Si interface region were detected, the nanoheterostructures show intensive light emission, as investigated by μ-PL spectroscopy. Achieving well-ordered high quality GaAs NCs on Si NTs may provide opportunities for superior electronic, photonic, or photovoltaic device performances integrated on the silicon technology platform.

  2. Enhanced light output from the nano-patterned InP semiconductor substrate through the nanoporous alumina mask.

    PubMed

    Jung, Mi; Kim, Jae Hun; Lee, Seok; Jang, Byung Jin; Lee, Woo Young; Oh, Yoo-Mi; Park, Sun-Woo; Woo, Deokha

    2012-07-01

    A significant enhancement in the light output from nano-patterned InP substrate covered with a nanoporous alumina mask was observed. A uniform nanohole array on an InP semiconductor substrate was fabricated by inductively coupled plasma reactive ion etching (ICP-RIE), using the nanoporous alumina mask as a shadow mask. The light output property of the semiconductor substrate was investigated via photoluminescence (PL) intensity measurement. The InP substrate with a nanohole array showed a more enhanced PL intensity compared with the raw InP substrate without a nanohole structure. After ICP-RIE etching, the light output from the nanoporous InP substrate covered with a nanoporous alumina mask showed fourfold enhanced PL intensity compared with the raw InP substrate. These results can be used as a prospective method for increasing the light output efficiency of optoelectronic devices.

  3. Time-resolved photoluminescence characterization of GaAs nanowire arrays on native substrate

    NASA Astrophysics Data System (ADS)

    Dagytė, Vilgailė; Barrigón, Enrique; Zhang, Wei; Zeng, Xulu; Heurlin, Magnus; Otnes, Gaute; Anttu, Nicklas; Borgström, Magnus T.

    2017-12-01

    Time-resolved photoluminescence (TRPL) measurements of nanowires (NWs) are often carried out on broken-off NWs in order to avoid the ensemble effects as well as substrate contribution. However, the development of NW-array solar cells could benefit from non-destructive optical characterization to allow faster feedback and further device processing. With this work, we show that different NW array and substrate spectral behaviors with delay time and excitation power can be used to determine which part of the sample dominates the detected spectrum. Here, we evaluate TRPL characterization of dense periodic as-grown GaAs NW arrays on a p-type GaAs substrate, including a sample with uncapped GaAs NWs and several samples passivated with AlGaAs radial shell of varied composition and thickness. We observe a strong spectral overlap of substrate and NW signals and find that the NWs can absorb part of the substrate luminescence signal, thus resulting in a modified substrate signal. The level of absorption depends on the NW-array geometry, making a deconvolution of the NW signal very difficult. By studying TRPL of substrate-only and as-grown NWs at 770 and 400 nm excitation wavelengths, we find a difference in spectral behavior with delay time and excitation power that can be used to assess whether the signal is dominated by the NWs. We find that the NW signal dominates with 400 nm excitation wavelength, where we observe two different types of excitation power dependence for the NWs capped with high and low Al composition shells. Finally, from the excitation power dependence of the peak TRPL signal, we extract an estimate of background carrier concentration in the NWs.

  4. GaAs Solar Cells Grown on Unpolished, Spalled Ge Substrates: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cavalli, Alessandro; Johnston, Steven; Sulas, Dana

    Decreasing the cost of single-crystal substrates by wafer reuse techniques has long been sought for III-V solar cells. Controlled spalling of III-V devices is a possible pathway for epitaxial liftoff, which would help reduce costs, but chemo- mechanical polishing after liftoff tends to limit the potential cost savings. Growth on an unpolished spalled surface would be an additional step toward lower costs, but it is crucial to show high efficiency solar cell devices on these unprocessed substrates. In this study, we spalled 2-inch Ge wafers using a Ni stressor layer, and then grew GaAs solar cells by HVPE on themore » spalled Ge surface without any other surface treatment. We show a 12.8% efficient single-junction device, without anti-reflection coating, with quantum efficiency very close to identical devices grown by HVPE on non-spalled GaAs substrates. Demonstrating a high carrier collection on unpolished spalled wafers is a step toward reducing substrate-related liftoff and reuse costs.« less

  5. Probability of twin formation on self-catalyzed GaAs nanowires on Si substrate

    PubMed Central

    2012-01-01

    We attempted to control the incorporation of twin boundaries in self-catalyzed GaAs nanowires (NWs). Self-catalyzed GaAs NWs were grown on a Si substrate under various arsenic pressures using molecular beam epitaxy and the vapor-liquid-solid method. When the arsenic flux is low, wurtzite structures are dominant in the GaAs NWs. On the other hand, zinc blende structures become dominant as the arsenic flux rises. We discussed this phenomenon on the basis of thermodynamics and examined the probability of twin-boundary formation in detail. PMID:23043754

  6. Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography

    PubMed Central

    2013-01-01

    Large-scale nanopatterned sapphire substrates were fabricated by annealing of patterned Al thin films. Patterned Al thin films were obtained by soft UV-nanoimprint lithography and reactive ion etching. The soft mold with 550-nm-wide lines separated by 250-nm space was composed of the toluene-diluted polydimethylsiloxane (PDMS) layer supported by the soft PDMS. Patterned Al thin films were subsequently subjected to dual-stage annealing due to the melting temperature of Al thin films (660°C). The first comprised a low-temperature oxidation anneal at 450°C for 24 h. This was followed by a high-temperature annealing in the range of 1,000°C and 1,200°C for 1 h to induce growth of the underlying sapphire single crystal to consume the oxide layer. The SEM results indicate that the patterns were retained on sapphire substrates after high-temperature annealing at less than 1,200°C. Finally, large-scale nanopatterned sapphire substrates were successfully fabricated by annealing of patterned Al thin films for 24 h at 450°C and 1 h at 1,000°C by soft UV-nanoimprint lithography. PMID:24215718

  7. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Klimov, E. A.; Vasiliev, A. L.

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers ofmore » arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.« less

  8. Structural and optical characteristics of GaAs films grown on Si/Ge substrates

    NASA Astrophysics Data System (ADS)

    Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.

    2018-03-01

    A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.

  9. Dynamics of reflection high-energy electron diffraction intensity oscillations during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, M. Y.; Haas, T. W.

    1990-06-01

    We have observed intensity oscillations in reflection high-energy electron diffraction during molecular beam epitaxial growth of GaAs on (111)B GaAs substrates. These oscillations only exist over a narrow range of growth conditions and their behavior is strongly dependent on the migration kinetics of group III and the molecular dissociative reaction of group V elements.

  10. Nanopatterned articles produced using reconstructed block copolymer films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Russell, Thomas P.; Park, Soojin; Wang;, Jia-Yu

    Nanopatterned surfaces are prepared by a method that includes forming a block copolymer film on a substrate, annealing and surface reconstructing the block copolymer film to create an array of cylindrical voids, depositing a metal on the surface-reconstructed block copolymer film, and heating the metal-coated block copolymer film to redistribute at least some of the metal into the cylindrical voids. When very thin metal layers and low heating temperatures are used, metal nanodots can be formed. When thicker metal layers and higher heating temperatures are used, the resulting metal structure includes nanoring-shaped voids. The nanopatterned surfaces can be transferred tomore » the underlying substrates via etching, or used to prepare nanodot- or nanoring-decorated substrate surfaces.« less

  11. Nanopatterned articles produced using surface-reconstructed block copolymer films

    DOEpatents

    Russell, Thomas P.; Park, Soojin; Wang, Jia-Yu; Kim, Bokyung

    2016-06-07

    Nanopatterned surfaces are prepared by a method that includes forming a block copolymer film on a substrate, annealing and surface reconstructing the block copolymer film to create an array of cylindrical voids, depositing a metal on the surface-reconstructed block copolymer film, and heating the metal-coated block copolymer film to redistribute at least some of the metal into the cylindrical voids. When very thin metal layers and low heating temperatures are used, metal nanodots can be formed. When thicker metal layers and higher heating temperatures are used, the resulting metal structure includes nanoring-shaped voids. The nanopatterned surfaces can be transferred to the underlying substrates via etching, or used to prepare nanodot- or nanoring-decorated substrate surfaces.

  12. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns

    PubMed Central

    2011-01-01

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials. PMID:22168918

  13. Critical aspects of substrate nanopatterning for the ordered growth of GaN nanocolumns.

    PubMed

    Barbagini, Francesca; Bengoechea-Encabo, Ana; Albert, Steven; Martinez, Javier; Sanchez García, Miguel Angel; Trampert, Achim; Calleja, Enrique

    2011-12-14

    Precise and reproducible surface nanopatterning is the key for a successful ordered growth of GaN nanocolumns. In this work, we point out the main technological issues related to the patterning process, mainly surface roughness and cleaning, and mask adhesion to the substrate. We found that each of these factors, process-related, has a dramatic impact on the subsequent selective growth of the columns inside the patterned holes. We compare the performance of e-beam lithography, colloidal lithography, and focused ion beam in the fabrication of hole-patterned masks for ordered columnar growth. These results are applicable to the ordered growth of nanocolumns of different materials.

  14. Compact discs as versatile cost-effective substrates for releasable nanopatterned aluminium films

    NASA Astrophysics Data System (ADS)

    Barrios, Carlos Angulo; Canalejas-Tejero, Víctor

    2015-02-01

    We demonstrate that standard polycarbonate compact disk surfaces can provide unique adhesion to Al films that is both strong enough to permit Al film nanopatterning and weak enough to allow easy nanopatterned Al film detachment using Scotch tape. Transferred Al nanohole arrays on Scotch tape exhibit excellent optical and plasmonic performance.We demonstrate that standard polycarbonate compact disk surfaces can provide unique adhesion to Al films that is both strong enough to permit Al film nanopatterning and weak enough to allow easy nanopatterned Al film detachment using Scotch tape. Transferred Al nanohole arrays on Scotch tape exhibit excellent optical and plasmonic performance. Electronic supplementary information (ESI) available: 1. Optical simulations (Fig. SI.1); 2. Optical coupling via an Al NHA on the Scotch tape (Fig. SI.2); 3. Electrostatics-based opto-mechanical cantilever (Fig. SI.3). Video 1. Transfer of the Al film nanostructured with a nanohole array from a polycarbonate CD surface onto a Scotch tape; Video 2. Opto-mechanical electrostatics-based sensor: electrical attraction. Video 3. Opto-mechanical electrostatics-based sensor: electrical repulsion. See DOI: 10.1039/c4nr06271j

  15. Improved output power of GaN-based light-emitting diodes grown on a nanopatterned sapphire substrate

    NASA Astrophysics Data System (ADS)

    Chan, Chia-Hua; Hou, Chia-Hung; Tseng, Shao-Ze; Chen, Tsing-Jen; Chien, Hung-Ta; Hsiao, Fu-Li; Lee, Chien-Chieh; Tsai, Yen-Ling; Chen, Chii-Chang

    2009-07-01

    This letter describes the improved output power of GaN-based light-emitting diodes (LEDs) formed on a nanopatterned sapphire substrate (NPSS) prepared through etching with a self-assembled monolayer of 750-nm-diameter SiO2 nanospheres used as the mask. The output power of NPSS LEDs was 76% greater than that of LEDs on a flat sapphire substrate. Three-dimensional finite-difference time-domain calculation predicted a 40% enhancement in light extraction efficiency of NPSS LEDs. In addition, the reduction of full widths at half maximum in the ω-scan rocking curves for the (0 0 2) and (1 0 2) planes of GaN on NPSS suggested improved crystal quality.

  16. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-11-01

    In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO2 blocking layer proposed in this work can enhance the performance of LEDs.

  17. Method of producing nanopatterned articles, and articles produced thereby

    DOEpatents

    Russell, Thomas P; Park, Soojin; Xu, Ting

    2013-11-12

    A nanopatterned surface is prepared by forming a block copolymer film on a miscut crystalline substrate, annealing the block copolymer film, then reconstructing the surface of the annealed block copolymer film. The method creates a well-ordered array of voids in the block copolymer film that is maintained over a large area. The nanopatterned block copolymer films can be used ina variety of different applications, including the fabrication of high density data storage media.

  18. Method of producing nanopatterned articles, and articles produced thereby

    DOEpatents

    Russell, Thomas P; Park, Soojin; Xu, Ting

    2015-04-28

    A nanopatterned surface is prepared by forming a block copolymer film on a miscut crystalline substrate, annealing the block copolymer film, then reconstructing the surface of the annealed block copolymer film The method creates a well-ordered array of voids in the block copolymer film that is maintained over a large area. The nanopatterned block copolymer films can be used in a variety of different applications, including the fabrication of high density data storage media.

  19. High quality GaAs single photon emitters on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.

    2013-12-04

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer.

  20. Method of producing nanopatterned articles using surface-reconstructed block copolymer films

    DOEpatents

    Russell, Thomas P; Park, Soojin; Wang, Jia-Yu; Kim, Bokyung

    2013-08-27

    Nanopatterned surfaces are prepared by a method that includes forming a block copolymer film on a substrate, annealing and surface reconstructing the block copolymer film to create an array of cylindrical voids, depositing a metal on the surface-reconstructed block copolymer film, and heating the metal-coated block copolymer film to redistribute at least some of the metal into the cylindrical voids. When very thin metal layers and low heating temperatures are used, metal nanodots can be formed. When thicker metal layers and higher heating temperatures are used, the resulting metal structure includes nanoring-shaped voids. The nanopatterned surfaces can be transferred to the underlying substrates via etching, or used to prepare nanodot- or nanoring-decorated substrate surfaces.

  1. Field-assisted nanopatterning of metals, metal oxides and metal salts

    NASA Astrophysics Data System (ADS)

    Liu, Jun-Fu; Miller, Glen P.

    2009-02-01

    The tip-based nanofabrication method called field-assisted nanopatterning or FAN has now been extended to the transfer of metals, metal oxides and metal salts onto various receiving substrates including highly ordered pyrolytic graphite, passivated gold and indium-tin oxide. Standard atomic force microscope tips were first dip-coated using suspensions of inorganic compounds in solvent. The films prepared in this manner were non-uniform and contained inorganic nanoparticles. Tip-based nanopatterning on chosen substrates was conducted under high electric field conditions. The same tip was used for both nanofabrication and imaging. Arbitrary patterns were formed with dimensions that ranged from tens of microns to sub-20 nm and were controlled by tuning the tip bias during fabrication. Most tip-based nanopatterning techniques are limited in terms of the type of species that can be deposited and the type of substrates onto which the deposition occurs. With the successful deposition of inorganic species reported here, FAN is demonstrated to be a truly versatile tip-based nanofabrication technique that is useful for the deposition of a wide variety of both organic and inorganic species including small molecules, large molecules and polymers.

  2. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  3. Outdiffusion of recombination centers from the substrate into LPE layers - GaAs

    NASA Technical Reports Server (NTRS)

    Jastrzebski, L.; Lagowski, J.; Gatos, H. C.

    1979-01-01

    Experimental results are presented showing that outdiffusion of recombination centers from the GaAs substrate into the epitaxial layer takes place during growth. Such outdiffusion decreases the carrier lifetime in the epitaxial layer to much lower values than the radiative recombination limit. Furthermore, it introduces a lifetime gradient across the epitaxial layer which depends critically on the growth velocity and thermal treatment. High rates of growth (such as those attainable in electroepitaxy) and high cooling rates can minimize the adverse effects of normally available substrates on the epitaxial layers; however, good quality substrates are essential for the consistent growth of device quality layers.

  4. Low-temperature photoluminescence study of thin epitaxial GaAs films on Ge substrates

    NASA Astrophysics Data System (ADS)

    Brammertz, Guy; Mols, Yves; Degroote, Stefan; Motsnyi, Vasyl; Leys, Maarten; Borghs, Gustaaf; Caymax, Matty

    2006-05-01

    Thin epitaxial GaAs films, with thickness varying from 140 to 1000 nm and different Si doping levels, were grown at 650 °C by organometallic vapor phase epitaxy on Ge substrates and analyzed by low-temperature photoluminescence (PL) spectroscopy. All spectra of thin GaAs on Ge show two different structures, one narrow band-to-band (B2B) structure at an energy of ~1.5 eV and a broad inner-band-gap (IB) structure at an energy of ~1.1 eV. Small strain in the thin GaAs films causes the B2B structure to be separated into a light-hole and a heavy-hole peak. At 2.5 K the good structural quality of the thin GaAs films on Ge can be observed from the narrow excitonic peaks. Peak widths of less than 1 meV are measured. GaAs films with thickness smaller than 200 nm show B2B PL spectra with characteristics of an n-type doping level of approximately 1018 at./cm3. This is caused by heavy Ge diffusion from the substrate into the GaAs at the heterointerface between the two materials. The IB structure observed in all films consists of two Gaussian peaks with energies of 1.04 and 1.17 eV. These deep trapping states arise from Ge-based complexes formed within the GaAs at the Ge-GaAs heterointerface, due to strong diffusion of Ge atoms into the GaAs. Because of similarities with Si-based complexes, the peak at 1.04 eV was identified to be due to a GeGa-GeAs complex, whereas the peak at 1.17 eV was attributed to the GeGa-VGa complex. The intensity of the IB structure decreases strongly as the GaAs film thickness is increased. PL intensity of undoped GaAs films containing antiphase domains (APDs) is four orders of magnitude lower than for similar films without APDs. This reduction in intensity is due to the electrically active Ga-Ga and As-As bonds at the boundaries between the different APDs. When the Si doping level is increased, the PL intensity of the APD-containing films is increased again as well. A film containing APDs with a Si doping level of ~1018 at./cm3 has only a factor 10

  5. The growth of low band-gap InAs on (111)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Welser, R. E.; Guido, L. J.

    1995-01-01

    The use of low band-gap materials is of interest for a number of photovoltaic and optoelectronic applications, such as bottom cells of optimized multijunction solar cell designs, long wavelength light sources, detectors, and thermophotovoltaics. However, low band-gap materials are generally mismatched with respect to lattice constant, thermal expansion coefficient, and chemical bonding to the most appropriate commercially available substrates (Si, Ge, and GaAs). For the specific case of III-V semiconductor heteroepitaxy, one must contend with the strain induced by both lattice constant mismatch at the growth temperature and differences in the rates of mechanical deformation during the cool down cycle. Several experimental techniques have been developed to minimize the impact of these phenomena (i.e., compositional grading, strained layer superlattices, and high-temperature annealing). However, in highly strained systems such as InAs-on-GaAs, three-dimensional island formation and large defect densities (greater than or equal to 10(exp 8)/ cm(exp -2)) tend to limit their applicability. In these particular cases, the surface morphology and defect density must be controlled during the initial stages of nucleation and growth. At the last SPRAT conference, we reported on a study of the evolution of InAs islands on (100) and (111)B GaAs substrates. Growth on the (111)B orientation exhibits a number of advantageous properties as compared to the (100) during these early stages of strained-layer epitaxy. In accordance with a developing model of nucleation and growth, we have deposited thin (60 A - 2500 A), fully relaxed InAs films on (111)B GaAs substrates. Although thicker InAs films are subject to the formation of twin defects common to epitaxy on the (111)B orientation, appropriate control of the growth parameters can greatly minimize their density. Using this knowledge base, InAs films up to 2 microns in thickness with improved morphology and structural quality have

  6. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    NASA Astrophysics Data System (ADS)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  7. Electrodeposition of CdSe on GaAs and InP substrates

    NASA Astrophysics Data System (ADS)

    Etcheberry, A.; Cachet, H.; Cortes, R.; Froment, M.

    2001-06-01

    Epitaxial CdSe layers have been electrodeposited on the (1 0 0) and ( 1¯ 1¯ 1¯) faces of GaAs and InP single crystals. Chemical composition and crystalline quality of CdSe have been studied by X-photoelectron spectroscopy, reflection high energy electron diffraction and X-ray diffraction. Influence of the substrate has been pointed out.

  8. Molecular beam epitaxial growth of high-quality InSb on InP and GaAs substrates

    NASA Technical Reports Server (NTRS)

    Oh, J. E.; Bhattacharya, P. K.; Chen, Y. C.; Tsukamoto, S.

    1989-01-01

    Epitaxial layers of InSb were grown on InP and GaAs substrates by molecular beam epitaxy. The dependence of the epilayer quality on flux ratio, J sub Sb4/J sub In, was studied. Deviation from an optimum value of J sub Sb4/J sub In (approx. 2) during growth led to deterioration in the surface morphology and the electrical and crystalline qualities of the films. Room temperature electron mobilities as high as 70,000 and 53,000 sq cm /V-s were measured in InSb layers grown on InP and GaAs substrates, respectively. Unlike the previous results, the conductivity in these films is n-type even at T = 13 K, and no degradation of the electron mobility due to the high density of dislocations was observed. The measured electron mobilities (and carrier concentrations) at 77 K in InSb layers grown on InP and GaAs substrates are 110,000 sq cm/V-s (3 x 10(15) cm(-3)) and 55,000 sq cm/V-s (4.95 x 10(15) cm(-3)), respectively, suggesting their application to electronic devices at cryogenic temperatures.

  9. Roll-to-roll nanopatterning using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Ahn, Sean; Ganapathisubramanian, Maha; Miller, Mike; Yang, Jack; Choi, Jin; Xu, Frank; Resnick, Douglas J.; Sreenivasan, S. V.

    2012-03-01

    The ability to pattern materials at the nanoscale can enable a variety of applications ranging from high density data storage, displays, photonic devices and CMOS integrated circuits to emerging applications in the biomedical and energy sectors. These applications require varying levels of pattern control, short and long range order, and have varying cost tolerances. Extremely large area R2R manufacturing on flexible substrates is ubiquitous for applications such as paper and plastic processing. It combines the benefits of high speed and inexpensive substrates to deliver a commodity product at low cost. The challenge is to extend this approach to the realm of nanopatterning and realize similar benefits. The cost of manufacturing is typically driven by speed (or throughput), tool complexity, cost of consumables (materials used, mold or master cost, etc.), substrate cost, and the downstream processing required (annealing, deposition, etching, etc.). In order to achieve low cost nanopatterning, it is imperative to move towards high speed imprinting, less complex tools, near zero waste of consumables and low cost substrates. The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. In this paper we address the key challenges for roll based nanopatterning by introducing a novel concept: Ink Jet based Roll-to-Roll Nanopatterning. To address this challenge, we have introduced a J-FIL based demonstrator product, the LithoFlex 100. Topics that are discussed in the paper include tool design and process performance. In addition, we have used the LithoFlex 100 to fabricate high performance wire grid polarizers on flexible polycarbonate (PC) films. Transmission of better than 80% and extinction ratios on the order of

  10. Growth features and spectroscopic structure investigations of nanoprofiled AlN films formed on misoriented GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Goloshchapov, D. L.; Lenshin, A. S.

    Nanostructured aluminum-nitride films are formed by reactive ion-plasma sputtering onto GaAs substrates with different orientations. The properties of the films are studied via structural analysis, atomic force microscopy, and infrared and visible–ultraviolet spectroscopy. The aluminum-nitride films can have a refractive index in the range of 1.6–4.0 at a wavelength of ~250 nm and an optical band gap of ~5 eV. It is shown that the morphology, surface composition, and optical characteristics of AlN/GaAs heterophase systems can be controlled using misoriented GaAs substrates.

  11. Progress toward thin-film GaAs solar cells using a single-crystal Si substrate with a Ge interlayer

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.; Wang, K. L.; Zwerdling, S.

    1982-01-01

    Development of a technology for fabricating light-weight, high-efficiency, radiation-resistant solar cells for space applications is reported. The approaches currently adopted are to fabricate shallow homojunction n(+)/p as well as p/n AlGaAs-heteroface GaAs solar cells by organometallic chemical vapor deposition (OM-CVD) on single-crystal Si substrates using in each case, a thin Ge epi-interlayer first grown by CVD. This approach maintains the advantages of the low specific gravity of Si as well as the high efficiency and radiation-resistant properties of the GaAs solar cell which can lead to greatly improved specific power for a solar array. The growth of single-crystal GaAs epilayers on Ge epi-interlayers on Si substrates is investigated. Related solar cell fabrication is reviewed.

  12. Reflection Properties of Metallic Gratings on ZnO Films over GaAs Substrates

    NASA Technical Reports Server (NTRS)

    Hickernell, Fred S.; Kim, Yoonkee; Hunt, William D.

    1994-01-01

    A potential application for piezoelectric film deposited on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Metallic gratings are basic elements required for the construction of such devices, and analyzing the reflectivity and the velocity change due to metallic gratings is often a critical design parameter. In this article, Datta and Hunsinger technique is extended to the case of a multilayered structure, and the developed technique is applied to analyze shorted and open gratings on ZnO films sputtered over (001)-cut (110)-propagating GaAs substrates. The analysis shows that zero reflectivity of shorted gratings can be obtained by a combination of the ZnO film and the metal thickness and the metalization ratio of the grating. Experiments are performed on shorted and an open gratings (with the center frequency of about 180 MHz) for three different metal thicknesses over ZnO films which are 0.8 and 2.6 micrometers thick. From the experiments, zero reflectivity at the resonant frequency of the grating is observed for a reasonable thickness (h/Alpha = 0.5%) of aluminum metalization. The velocity shift between the shorted and the open grating is also measured to be 0.18 MHz and 0.25 MHz for 0.8 and 1.6 micrometers respectively. The measured data show relatively good agreement with theoretical predictions.

  13. InGaAs quantum dots grown on B-type high index GaAs substrates: surface morphologies and optical properties

    NASA Astrophysics Data System (ADS)

    Liang, B. L.; Wang, Zh M.; Mazur, Yu I.; Strelchuck, V. V.; Holmes, K.; Lee, J. H.; Salamo, G. J.

    2006-06-01

    We systematically investigated the correlation between morphological and optical properties of InGaAs self-assembled quantum dots (QDs) grown by solid-source molecular beam epitaxy on GaAs (n 11)B (n = 9, 8, 7, 5, 3, 2) substrates. Remarkably, all InGaAs QDs on GaAs(n 11)B under investigation show optical properties superior to those for ones on GaAs(100) as regards the photoluminescence (PL) linewidth and intensity. The morphology for growth of InGaAs QDs on GaAs (n 11)B, where n = 9, 8, 7, 5, is observed to have a rounded shape with a higher degree of lateral ordering than that on GaAs(100). The optical property and the lateral ordering are best for QDs grown on a (511)B substrate surface, giving a strong correlation between lateral ordering and PL optical quality. Our results demonstrate the potential for high quality InGaAs QDs on GaAs(n 11)B for optoelectronic applications.

  14. Temporal behavior of RHEED intensity oscillations during molecular beam epitaxial growth of GaAs and AlGaAs on (111)B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Yen, Ming Y.; Haas, T. W.

    1990-10-01

    We present the temporal behavior of intensity oscillations in reflection high-energy electron diffraction (RHEED) during molecular beam epitaxial (MBE) growth of GaAs and A1GaAs on (1 1 1)B GaAs substrates. The RHEED intensity oscillations were examined as a function of growth parameters in order to provide the insight into the dynamic characteristics and to identify the optimal condition for the two-dimensional layer-by-layer growth. The most intense RHEED oscillation was found to occur within a very narrow temperature range which seems to optimize the surface migration kinetics of the arriving group III elements and the molecular dissodiative reaction of the group V elements. The appearance of an initial transient of the intensity upon commencement of the growth and its implications are described.

  15. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ortiz, F. E.; Mishurnyi, V.; Gorbatchev, A.; De Anda, F.; Prutskij, T.

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  16. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Englhard, M.; Klemp, C.; Behringer, M.

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-raymore » diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.« less

  17. ZnO Films on {001}-Cut <110>-Propagating GaAs Substrates for Surface Acoustic Wave Device Applications

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei

    1995-01-01

    A potential application for piezoelectric films on GaAs substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on {001}-cut <110> -propagating GaAs substrates are investigated in this article, including SAW Velocity effective piezoelectric coupling constant, propagation loss. diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films or different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(exp 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2, or Si3N4 on {001}-cut GaAs samples are reported using two different techniques: 1) knife-edge laser probe, 2) line-focus-beam scanning acoustic microscope. It was found that near the <110> propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the <100> direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  18. GaAs Monolithic Microwave Subsystem Technology Base

    DTIC Science & Technology

    1980-01-01

    To provide a captive source of reliable, high-quality GaAs substrates, a new crystal growth and substrate preparation facility which utilizes a high...Symp. GaAs and Related Compounds, Inst. Phys. Conf. Ser. 24, 6. 20. Wood, Woodcock and Harris (1978) GaAs and Related Compounds, Inst. Phys. Conf

  19. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  20. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  1. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  2. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  3. Room temperature lasing of GaAs quantum wire vertical-cavity surface-emitting lasers grown on (7 7 5) B GaAs substrates by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Y.; Osaki, S.; Kitada, T.; Shimomura, S.; Takasuka, Y.; Ogura, M.; Hiyamizu, S.

    2006-06-01

    Self-organized GaAs/(GaAs) 4(AlAs) 2 quantum wires (QWRs) grown on (7 7 5) B-oriented GaAs substrates by molecular beam epitaxy have been applied to an active region of vertical-cavity surface-emitting lasers (VCSELs). The (7 7 5) B GaAs QWR-VCSEL with an aperture diameter of 3 μm lased at a wavelength of 765 nm with a threshold current of 0.38 mA at room temperature. This is the first demonstration of laser operation of the QWR-VCSEL by current injection. The light output was linearly polarized in the direction parallel to the QWRs due to the optical anisotropy of the self-organized (7 7 5) B GaAs QWRs.

  4. Fabrication of volcano-shaped nano-patterned sapphire substrates using colloidal self-assembly and wet chemical etching.

    PubMed

    Geng, Chong; Zheng, Lu; Fang, Huajing; Yan, Qingfeng; Wei, Tongbo; Hao, Zhibiao; Wang, Xiaoqing; Shen, Dezhong

    2013-08-23

    Patterned sapphire substrates (PSS) have been widely used to enhance the light output power in GaN-based light emitting diodes. The shape and feature size of the pattern in a PSS affect its enhancement efficiency to a great degree. In this work we demonstrate the nanoscale fabrication of volcano-shaped PSS using a wet chemical etching approach in combination with a colloidal monolayer templating strategy. Detailed analysis by scanning electron microscopy reveals that the unique pattern shape is a result of the different corrosion-resistant abilities of silica masks of different effective heights during wet chemical etching. The formation of silica etching masks of different effective heights has been ascribed to the silica precursor solution in the interstice of the colloidal monolayer template being distributed unevenly after infiltration. In the subsequent wet chemical etching process, the active reaction sites altered as etching duration was prolonged, resulting in the formation of volcano-shaped nano-patterned sapphire substrates.

  5. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  6. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio

    2007-02-09

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface.

  7. LEC GaAs for integrated circuit applications

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, C. G.; Chen, R. T.; Homes, D. E.; Asbeck, P. M.; Elliott, K. R.; Fairman, R. D.; Oliver, J. D.

    1984-01-01

    Recent developments in liquid encapsulated Czochralski techniques for the growth of semiinsulating GaAs for integrated circuit applications have resulted in significant improvements in the quality and quantity of GaAs material suitable for device processing. The emergence of high performance GaAs integrated circuit technologies has accelerated the demand for high quality, large diameter semiinsulating GaAs substrates. The new device technologies, including digital integrated circuits, monolithic microwave integrated circuits and charge coupled devices have largely adopted direct ion implantation for the formation of doped layers. Ion implantation lends itself to good uniformity and reproducibility, high yield and low cost; however, this technique also places stringent demands on the quality of the semiinsulating GaAs substrates. Although significant progress was made in developing a viable planar ion implantation technology, the variability and poor quality of GaAs substrates have hindered progress in process development.

  8. Light-Emitting GaAs Nanowires on a Flexible Substrate.

    PubMed

    Valente, João; Godde, Tillmann; Zhang, Yunyan; Mowbray, David J; Liu, Huiyun

    2018-06-18

    Semiconductor nanowire-based devices are among the most promising structures used to meet the current challenges of electronics, optics and photonics. Due to their high surface-to-volume ratio and excellent optical and electrical properties, devices with low power, high efficiency and high density can be created. This is of major importance for environmental issues and economic impact. Semiconductor nanowires have been used to fabricate high performance devices, including detectors, solar cells and transistors. Here, we demonstrate a technique for transferring large-area nanowire arrays to flexible substrates while retaining their excellent quantum efficiency in emission. Starting with a defect-free self-catalyzed molecular beam epitaxy (MBE) sample grown on a Si substrate, GaAs core-shell nanowires are embedded in a dielectric, removed by reactive ion etching and transferred to a plastic substrate. The original structural and optical properties, including the vertical orientation, of the nanowires are retained in the final plastic substrate structure. Nanowire emission is observed for all stages of the fabrication process, with a higher emission intensity observed for the final transferred structure, consistent with a reduction in nonradiative recombination via the modification of surface states. This transfer process could form the first critical step in the development of flexible nanowire-based light-emitting devices.

  9. Optimization of the interfacial misfit array growth mode of GaSb epilayers on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Benyahia, D.; Kubiszyn, Ł.; Michalczewski, K.; Kębłowski, A.; Martyniuk, P.; Piotrowski, J.; Rogalski, A.

    2018-02-01

    The growth of undoped GaSb epilayers on GaAs (0 0 1) substrates with 2° offcut towards 〈1 1 0〉, by molecular beam epitaxy system (MBE) at low growth temperature is reported. The strain due to the lattice mismatch of 7.78% is relieved spontaneously at the interface by using interfacial misfit array (IMF) growth mode. Three approaches of this technique are investigated. The difference consists in the steps after the growth of GaAs buffer layer. These steps are the desorption of arsenic from the GaAs surface, and the cooling down to the growth temperature, under or without antimony flux. The X-ray analysis and the transmission electron microscopy point out that desorption of arsenic followed by the substrate temperature decreasing under no group V flux leads to the best structural and crystallographic properties in the GaSb layer. It is found that the 2 μm-thick GaSb is 99.8% relaxed, and that the strain is relieved by the formation of a periodic array of 90° pure-edge dislocations along the [1 1 0] direction with a periodicity of 5.6 nm.

  10. Surface-plasmon enhanced photoemission of a silver nano-patterned photocathode

    DOE PAGES

    Zhang, Z.; Li, R.; To, H.; ...

    2016-11-22

    Here, nano-patterned photocathodes (NPC) take advantage of plasmonic effects to resonantly increase absorption of light and localize electromagnetic field intensity on metal surfaces leading to surface-plasmon enhanced photoemission. In this paper, we report the status of NPC research at UCLA including in particular the optimization of the dimensions of a nanohole array on a silver wafer to enhance plasmonic response at 800 nm light, the development of a spectrally-resolved reflectivity measurement setup for quick nanopattern validation, and of a novel cathode plug to enable high power tests of NPCs on single crystal substrates in a high gradient radiofrequency gun.

  11. Surface-plasmon enhanced photoemission of a silver nano-patterned photocathode

    NASA Astrophysics Data System (ADS)

    Zhang, Z.; Li, R.; To, H.; Andonian, G.; Pirez, E.; Meade, D.; Maxson, J.; Musumeci, P.

    2017-09-01

    Nano-patterned photocathodes (NPC) take advantage of plasmonic effects to resonantly increase absorption of light and localize electromagnetic field intensity on metal surfaces leading to surface-plasmon enhanced photoemission. In this paper, we report the status of NPC research at UCLA including in particular the optimization of the dimensions of a nanohole array on a silver wafer to enhance plasmonic response at 800 nm light, the development of a spectrally-resolved reflectivity measurement setup for quick nanopattern validation, and of a novel cathode plug to enable high power tests of NPCs on single crystal substrates in a high gradient radiofrequency gun.

  12. Surface-plasmon enhanced photoemission of a silver nano-patterned photocathode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Z.; Li, R.; To, H.

    Here, nano-patterned photocathodes (NPC) take advantage of plasmonic effects to resonantly increase absorption of light and localize electromagnetic field intensity on metal surfaces leading to surface-plasmon enhanced photoemission. In this paper, we report the status of NPC research at UCLA including in particular the optimization of the dimensions of a nanohole array on a silver wafer to enhance plasmonic response at 800 nm light, the development of a spectrally-resolved reflectivity measurement setup for quick nanopattern validation, and of a novel cathode plug to enable high power tests of NPCs on single crystal substrates in a high gradient radiofrequency gun.

  13. GaAs Substrates for High-Power Diode Lasers

    NASA Astrophysics Data System (ADS)

    Mueller, Georg; Berwian, Patrick; Buhrig, Eberhard; Weinert, Berndt

    GaAs substrate crystals with low dislocation density (Etch-Pit Density (EPD) < 500,^-2) and Si-doping ( ~10^18,^-3) are required for the epitaxial production of high-power diode-lasers. Large-size wafers (= 3 mathrm{in} -> >=3,) are needed for reducing the manufacturing costs. These requirements can be fulfilled by the Vertical Bridgman (VB) and Vertical Gradient Freeze (VGF) techniques. For that purpose we have developed proper VB/VGF furnaces and optimized the thermal as well as the physico-chemical process conditions. This was strongly supported by extensive numerical process simulation. The modeling of the VGF furnaces and processes was made by using a new computer code called CrysVUN++, which was recently developed in the Crystal Growth Laboratory in Erlangen.GaAs crystals with diameters of 2 and 3in were grown in pyrolytic Boron Nitride (pBN) crucibles having a small-diameter seed section and a conical part. Boric oxide was used to fully encapsulate the crystal and the melt. An initial silicon content in the GaAs melt of c (melt) = 3 x10^19,^-3 has to be used in order to achieve a carrier concentration of n = (0.8- 2) x10^18,^-3, which is the substrate specification of the device manufacturer of the diode-laser. The EPD could be reduced to values between 500,^-2 and 50,^-2 with a Si-doping level of 8 x10^17 to 1 x10^18,^-3. Even the 3in wafers have rather large dislocation-free areas. The lowest EPDs ( <100,^-2) are achieved for long seed wells of the crucible.

  14. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allard Jr, Lawrence Frederick

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize themore » binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION« less

  15. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    NASA Astrophysics Data System (ADS)

    Zhang, Yonghui; Wei, Tongbo; Wang, Junxi; Lan, Ding; Chen, Yu; Hu, Qiang; Lu, Hongxi; Li, Jinmin

    2014-02-01

    Self-assembly SiO2 nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, the external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.

  16. Nanofabrication and Nanopatterning of Carbon Nanomaterials for Flexible Electronics

    NASA Astrophysics Data System (ADS)

    Ding, Junjun

    Stretchable electrodes have increasingly drawn attention as a vital component for flexible electronic devices. Carbon nanomaterials such as graphene and carbon nanotubes (CNTs) exhibit properties such as high mechanical flexibility and strength, optical transparency, and electrical conductivity which are naturally required for stretchable electrodes. Graphene growth, nanopatterning, and transfer processes are important steps to use graphene as flexible electrodes. However, advances in the large-area nanofabrication and nanopatterning of carbon nanomaterials such as graphene are necessary to realize the full potential of this technology. In particular, laser interference lithography (LIL), a fast and low cost large-area nanoscale patterning technique, shows tremendous promise for the patterning of graphene and other nanostructures for numerous applications. First, it was demonstrated that large-area nanopatterning and the transfer of chemical vapor deposition (CVD) grown graphene via LIL and plasma etching provide a reliable method to provide large area nanoengineered graphene on various target substrates. Then, to improve the electrode performance under large strain (naturally CVD grown graphene sheet will crack at tensile strains larger than 1%), a corrugated graphene structure on PDMS was designed, fabricated, and tested, with experimental results indicating that this approach successfully allows the graphene sheets to withstand cyclic tensile strains up to 15%. Lastly, to further enhance the performance of carbon-based stretchable electrodes, an approach was developed which coupled graphene and vertically aligned CNT (VACNT) on a flexible PDMS substrate. Characterization of the graphene-VACNT hybrid shows high electrical conductivity and durability through 50 cycles of loading up to 100% tensile strain. While flexible electronics promise tremendous advances in important technological areas such as healthcare, sensing, energy, and wearable electronics, continued

  17. Secondary ion mass spectrometry study of ex situ annealing of epitaxial GaAs grown on Si substrates

    NASA Technical Reports Server (NTRS)

    Radhakrishnan, G.; Mccullough, O.; Cser, J.; Katz, J.

    1988-01-01

    Samples of epitaxial GaAs grown on (100) Si substrates using molecular beam epitaxy were annealed at four different temperatures, from 800 to 950 C. Following annealing, the samples were analyzed using secondary ion mass spectrometry. Depth profiles of Ga, As, and Si reveal optimum conditions for annealing, and place a lower limit on a damage threshold for GaAs/Si substrates.

  18. ZnO films on /001/-cut (110)-propagating GaAs substrates for surface acoustic wave device applications

    NASA Technical Reports Server (NTRS)

    Hickernell, Frederick S.; Higgins, Robert J.; Jen, Cheng-Kuei; Kim, Yoonkee; Hunt, William D.

    1995-01-01

    A potential application for piezoelectric films substrates is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the layered structure is critical for the optimum and accurate design of such devices. The acoustic properties of ZnO films sputtered on /001/-cut group of (110) zone axes-propagating GaAs substrates are investigated in this article, including SAW velocity, effective piezoelectric coupling constant, propagation loss, diffraction, velocity surface, and reflectivity of shorted and open metallic gratings. The measurements of these essential SAW properties for the frequency range between 180 and 360 MHz have been performed using a knife-edge laser probe for film thicknesses over the range of 1.6-4 micron and with films of different grain sizes. The high quality of dc triode sputtered films was observed as evidenced by high K(sup 2) and low attenuation. The measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metalized ZnO on SiO2 or Si3N4 on /001/-cut GaAs samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. It was found that near the group of (110) zone axes propagation direction, the focusing SAW property of the bare GaAs changes into a nonfocusing one for the layered structure, but a reversed phenomenon exists near the (100) direction. Furthermore, to some extent the diffraction of the substrate can be controlled with the film thickness. The reflectivity of shorted and open gratings are also analyzed and measured. Zero reflectivity is observed for a shorted grating. There is good agreement between the measured data and theoretical values.

  19. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  20. Acoustic resonator with Al electrodes on an AlN layer and using a GaAs substrate

    DOEpatents

    Kline, Gerald R.; Lakin, Kenneth M.

    1985-12-03

    A method of fabricating an acoustic wave resonator wherein all processing steps are accomplished from a single side of said substrate. The method involves deposition of a multi-layered Al/AlN structure on a GaAs substrate followed by a series of fabrication steps to define a resonator from said composite. The resulting resonator comprises an AlN layer between two Al layers and another layer of AlN on an exterior of one of said Al layers.

  1. The improvement of GaN-based light-emitting diodes using nanopatterned sapphire substrate with small pattern spacing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Wang, Junxi

    2014-02-15

    Self-assembly SiO{sub 2} nanosphere monolayer template is utilized to fabricate nanopatterned sapphire substrates (NPSSs) with 0-nm, 50-nm, and 120-nm spacing, receptively. The GaN growth on top of NPSS with 0-nm spacing has the best crystal quality because of laterally epitaxial overgrowth. However, GaN growth from pattern top is more difficult to get smooth surface than from pattern bottom. The rougher surface may result in a higher work voltage. The stimulation results of finite-difference time-domain (FDTD) display that too large or too small spacing lead to the reduced light extracted efficiency (LEE) of LEDs. Under a driving current 350 mA, themore » external quantum efficiencies (EQE) of GaN-based LEDs grown on NPSSs with 0-nm, 50-nm, and 120-nm spacing increase by 43.3%, 50.6%, and 39.1%, respectively, compared to that on flat sapphire substrate (FSS). The optimized pattern spacing is 50 nm for the NPSS with 600-nm pattern period.« less

  2. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs < 111>B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs < 111>A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be < 111>-oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around < 111> directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  3. Impact of dislocation densities on n+/p and p+/n junction GaAs diodes and solar cells on SiGe virtual substrates

    NASA Astrophysics Data System (ADS)

    Andre, C. L.; Wilt, D. M.; Pitera, A. J.; Lee, M. L.; Fitzgerald, E. A.; Ringel, S. A.

    2005-07-01

    Recent experimental measurements have shown that in GaAs with elevated threading dislocation densities (TDDs) the electron lifetime is much lower than the hole lifetime [C. L. Andre, J. J. Boeckl, D. M. Wilt, A. J. Pitera, M. L. Lee, E. A. Fitzgerald, B. M. Keyes, and S. A. Ringel, Appl. Phys. Lett. 84, 3884 (2004)]. This lower electron lifetime suggests an increase in depletion region recombination and thus in the reverse saturation current (J0 for an n+/p diode compared with a p+/n diode at a given TDD. To confirm this, GaAs diodes of both polarities were grown on compositionally graded Ge /Si1-xGex/Si (SiGe) substrates with a TDD of 1×106cm-2. It is shown that the ratio of measured J0 values is consistent with the inverse ratio of the expected lifetimes. Using a TDD-dependent lifetime in solar cell current-voltage models we found that the Voc, for a given short-circuit current, also exhibits a poorer TDD tolerance for GaAs n+/p solar cells compared with GaAs p+/n solar cells. Experimentally, the open-circuit voltage (Voc) for the n+/p GaAs solar cell grown on a SiGe substrate with a TDD of ˜1×106cm-2 was ˜880mV which was significantly lower than the ˜980mV measured for a p+/n GaAs solar cell grown on SiGe at the same TDD and was consistent with the solar cell modeling results reported in this paper. We conclude that p+/n polarity GaAs junctions demonstrate superior dislocation tolerance than n+/p configured GaAs junctions, which is important for optimization of lattice-mismatched III-V devices.

  4. Dynamic Curvature and Stress Studies for MBE CdTe on Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Jacobs, R. N.; Jaime Vasquez, M.; Lennon, C. M.; Nozaki, C.; Almeida, L. A.; Pellegrino, J.; Arias, J.; Taylor, C.; Wissman, B.

    2015-09-01

    Infrared focal plane arrays (IRFPA) based on HgCdTe semiconductor alloys have been shown to be ideal for tactical and strategic applications. High density (>1 M pixel), high operability HgCdTe detectors on large area, low-cost composite substrates, such as CdTe-buffered Si or GaAs, are envisioned for next-generation IRFPAs. Thermal expansion mismatch is among various material parameters that govern the structural properties of the final detector layer. It has previously been shown that thermal expansion mismatch plays the dominant role in the residual stress characteristics of these heteroepitaxial structures (Jacobs et al. in J Electron Mater 37:1480, 2008). The wafer curvature (bowing) resulting from residual stress, is a likely source of problems that may occur during subsequent processing. This includes cracking of the film and substrate during post-growth annealing processes or even certain characterization techniques. In this work, we examine dynamic curvature and stress during molecular beam epitaxy (MBE), of CdTe on Si and GaAs substrates. The effect of temperature changes on wafer curvature throughout the growth sequence is documented using a multi-beam optical sensor developed by K-Space Associates. This monitoring technique makes possible the study of growth sequences which employ annealing schemes and/or interlayers to influence the final residual stress state of the heteroepitaxial structures.

  5. The aspect ratio effects on the performances of GaN-based light-emitting diodes with nanopatterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kao, Chien-Chih; Su, Yan-Kuin; Lin, Chuing-Liang; Chen, Jian-Jhong

    2010-07-01

    The nanopatterned sapphire substrates (NPSSs) with aspect ratio that varied from 2.00 to 2.50 were fabricated by nanoimprint lithography. We could improve the epitaxial film quality and enhance the light extraction efficiency by NPSS technique. In this work, the aspect ratio effects on the performances of GaN-based light-emitting diodes (LEDs) with NPSS were investigated. The light output enhancement of GaN-based LEDs with NPSS was increased from 11% to 27% as the aspect ratio of the NPSS increases from 2.00 to 2.50. Owing to the same improvement of crystalline quality by using various aspect ratios of NPSS, these results indicated that the aspect ratio of the NPSS is strongly related to the light extraction efficiency.

  6. Metastable bcc phase formation in 3d ferromagnetic transition metal thin films sputter-deposited on GaAs(100) substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minakawa, Shigeyuki, E-mail: s-minakawa@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Futamoto, Masaaki

    2015-05-07

    Co{sub 100−x}Fe{sub x} and Ni{sub 100−y}Fe{sub y} (at. %, x = 0–30, y = 0–60) films of 10 nm thickness are prepared on GaAs(100) substrates at room temperature by using a radio-frequency magnetron sputtering system. The detailed growth behavior is investigated by in-situ reflection high-energy electron diffraction. (100)-oriented Co and Ni single-crystals with metastable bcc structure are formed in the early stage of film growth, where the metastable structure is stabilized through hetero-epitaxial growth. With increasing the thickness up to 2 nm, the Co and the Ni films start to transform into more stable hcp and fcc structures through atomic displacements parallel to bcc(110) slide planes,more » respectively. The stability of bcc phase is improved by adding a small volume of Fe atoms into a Co film. The critical thickness of bcc phase formation is thicker than 10 nm for Co{sub 100−x}Fe{sub x} films with x ≥ 10. On the contrary, the stability of bcc phase for Ni-Fe system is less than that for Co-Fe system. The critical thicknesses for Ni{sub 100−y}Fe{sub y} films with y = 20, 40, and 60 are 1, 3, and 5 nm, respectively. The Co{sub 100−x}Fe{sub x} single-crystal films with metastable bcc structure formed on GaAs(100) substrates show in-plane uniaxial magnetic anisotropies with the easy direction along GaAs[011], similar to the case of Fe film epitaxially grown on GaAs(100) substrate. A Co{sub 100−x}Fe{sub x} film with higher Fe content shows a higher saturation magnetization and a lower coercivity.« less

  7. Removal of GaAs growth substrates from II-VI semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Bieker, S.; Hartmann, P. R.; Kießling, T.; Rüth, M.; Schumacher, C.; Gould, C.; Ossau, W.; Molenkamp, L. W.

    2014-04-01

    We report on a process that enables the removal of II-VI semiconductor epilayers from their GaAs growth substrate and their subsequent transfer to arbitrary host environments. The technique combines mechanical lapping and layer selective chemical wet etching and is generally applicable to any II-VI layer stack. We demonstrate the non-invasiveness of the method by transferring an all-II-VI magnetic resonant tunneling diode. High resolution x-ray diffraction proves that the crystal integrity of the heterostructure is preserved. Transport characterization confirms that the functionality of the device is maintained and even improved, which is ascribed to completely elastic strain relaxation of the tunnel barrier layer.

  8. 282-nm AlGaN-based deep ultraviolet light-emitting diodes with improved performance on nano-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Wang, Junxi; Zhang, Yun; Geng, Chong; Wei, Tongbo; Cong, Peipei; Zhang, Yiyun; Zeng, Jianping; Tian, Yingdong; Sun, Lili; Yan, Qingfeng; Li, Jinmin; Fan, Shunfei; Qin, Zhixin

    2013-06-01

    We first report AlGaN-based deep ultraviolet light-emitting diodes (UV-LEDs) grown on nano-patterned sapphire substrates (NPSS) prepared through a nanosphere lithography technique. The AlN coalescence thickness on NPSS is only 3 μm due to AlN's nano-scaled lateral growth, which also leads to low dislocation densities in AlN and epi-layers above. On NPSS, the light-output power of a 282-nm UV-LED reaches 3.03 mW at 20 mA with external quantum efficiency of 3.45%, exhibiting 98% better performance than that on flat sapphire. Temperature-dependent photoluminescence reveals this significant enhancement to be a combination of higher internal quantum efficiency and higher light extraction efficiency.

  9. Lateral epitaxial overgowth of GaAs by organometallic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Gale, R. P.; Mcclelland, R. W.; Fan, J. C. C.; Bozler, C. O.

    1982-01-01

    Lateral epitaxial overgrowth of GaAs by organometallic chemical vapor deposition has been demonstrated. Pyrolytic decomposition of trimethylgallium and arsine, without the use of HCl, was used to deposit GaAs on substrates prepared by coating (110) GaAs wafers with SiO2, then using photolithography to open narrow stripes in the oxide. Lateral overgrowth was seeded by epitaxial deposits formed on the GaAs surfaces exposed by the stripe openings. The extent of lateral overgrowth was investigated as a function of stripe orientation and growth temperature. Ratios of lateral to vertical growth rates greater than five have been obtained. The lateral growth is due to surface-kinetic control for the two-dimensional growth geometry studied. A continuous epitaxial GaAs layer 3 microns thick has been grown over a patterned mask on a GaAs substrate and then cleaved from the substrate.

  10. Applications of large-area nanopatterning to energy generation and storage devices

    NASA Astrophysics Data System (ADS)

    Mills, Eric N.

    This dissertation encompasses the creation and testing of nanostructured, electrochemically-active energy generation and storage devices, and development of the associated fabrication techniques. The fabricated devices include nanopatterned, plasmonically-active, TiO2+Au thin films for Photocatalytic Water Splitting (PCW), TiO2-based Dye-Sensitized Solar Cells (DSSCs) incorporating nanopatterned, plasmonically-active metallic front electrodes, and Si nanopillar anodes for Li-ion batteries. Techniques were also developed for encapsulation and removal of wet-etched Si nanowires from their mother substrates. TiO2 was the first material to be widely used for PCW. Its use is hampered by its large bandgap (~3.2eV), and poor recombination lifetimes. Au nanoparticles (NPs) have been previously used to improve recombination lifetimes in TiO2 by separating photogenerated carriers near the NP edges, and to increase photocurrents by injecting plasmonically-excited hot electrons into the TiO2 conduction band. Using nanostructured TiO 2+Au electrodes, we aim to increase the PCW efficiency of TiO2 -based electrodes. Dye-sensitized solar cells (DSSCs) employ visible-absorbing dyes anchored to a high-surface-area semiconducting scaffold. The front transparent conducting electrode (TCE) is typically ITO, a scarce and expensive material. We aim to increase the efficiency of thin-film DSSCs and eliminate the use of ITO by using a metallic subwavelength array (MESH) of nanoholes as the front TCE. Silicon holds promise as a high-capacity anode material for Li-ion batteries, as it can store ~10x the Li of graphite, the current leading anode material (3569 vs. 372 mAh/g). However, Si undergoes dramatic (>300%) volume expansion upon "lithiation", pulverizing any structure with non-nanoscopic dimensions (>250nm). We created large-area arrays of "nanopillars" with sub-100nm diameters, using roll-to-roll-compatible flexible-mold NIL on commercially-available metal substrates. Ordered

  11. Fabrication of overlaid nanopattern arrays for plasmon memory

    NASA Astrophysics Data System (ADS)

    Okabe, Takao; Wadayama, Hisahiro; Taniguchi, Jun

    2018-01-01

    Stacking technique of nanopattern array is gathering attention to fabricate next generation data storage such as plasmon memory. This technique provides multi- overlaid nanopatterns which made by nanoimprint lithography. In the structure, several metal nanopatterned layer and resin layer as a spacer are overlaid alternately. The horizontal position of nanopatterns to under nanopatterns and thickness of resin layer as spacer should be controlled accurately, because these parameters affect reading performance and capacity of plasmon memory. In this study, we developed new alignment mark to fabricate multi- overlaid nanopatterns. The alignment accuracy with the order of 300 nm was demonstrated for Ag nanopatterns in 2 layers. The alignment mark can measure the thickness of spacer. The relationship of spacer thickness and position of scale bar on the alignment mark was measured. The usefulness of the alignment mark for highdensity plasmon memory is shown.

  12. Directed Self-Assembly on Photo-Crosslinked Polystyrene Sub-Layers: Nanopattern Uniformity and Orientation

    PubMed Central

    Koh, Haeng-Deog; Kim, Mi-Jeong

    2016-01-01

    A photo-crosslinked polystyrene (PS) thin film is investigated as a potential guiding sub-layer for polystyrene-block-poly (methyl methacrylate) block copolymer (BCP) cylindrical nanopattern formation via topographic directed self-assembly (DSA). When compared to a non-crosslinked PS brush sub-layer, the photo-crosslinked PS sub-layer provided longer correlation lengths of the BCP nanostructure, resulting in a highly uniform DSA nanopattern with a low number of BCP dislocation defects. Depending on the thickness of the sub-layer used, parallel or orthogonal orientations of DSA nanopattern arrays were obtained that covered the entire surface of patterned Si substrates, including both trench and mesa regions. The design of DSA sub-layers and guide patterns, such as hardening the sub-layer by photo-crosslinking, nano-structuring on mesas, the relation between trench/mesa width, and BCP equilibrium period, were explored with a view to developing defect-reduced DSA lithography technology. PMID:28773768

  13. GaAs shallow-homojunction solar cells

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.

    1981-01-01

    The feasibility of fabricating space resistant, high efficiency, light weight, low cost GaAs shallow homojunction solar cells for space application is investigated. The material preparation of ultrathin GaAs single crystal layers, and the fabrication of efficient GaAs solar cells on bulk GaAs substrates are discussed. Considerable progress was made in both areas, and conversion efficiency about 16% AMO was obtained using anodic oxide as a single layer antireflection coating. A computer design shows that even better cells can be obtained with double layer antireflection coating. Ultrathin, high efficiency solar cells were obtained from GaAs films prepared by the CLEFT process, with conversion efficiency as high as 17% at AMI from a 10 micrometers thick GaAs film. A organometallic CVD was designed and constructed.

  14. Nanopatterned polymer brushes: conformation, fabrication and applications.

    PubMed

    Yu, Qian; Ista, Linnea K; Gu, Renpeng; Zauscher, Stefan; López, Gabriel P

    2016-01-14

    Surfaces with end-grafted, nanopatterned polymer brushes that exhibit well-defined feature dimensions and controlled chemical and physical properties provide versatile platforms not only for investigation of nanoscale phenomena at biointerfaces, but also for the development of advanced devices relevant to biotechnology and electronics applications. In this review, we first give a brief introduction of scaling behavior of nanopatterned polymer brushes and then summarize recent progress in fabrication and application of nanopatterned polymer brushes. Specifically, we highlight applications of nanopatterned stimuli-responsive polymer brushes in the areas of biomedicine and biotechnology.

  15. Nanopatterned polymer brushes: conformation, fabrication and applications

    NASA Astrophysics Data System (ADS)

    Yu, Qian; Ista, Linnea K.; Gu, Renpeng; Zauscher, Stefan; López, Gabriel P.

    2015-12-01

    Surfaces with end-grafted, nanopatterned polymer brushes that exhibit well-defined feature dimensions and controlled chemical and physical properties provide versatile platforms not only for investigation of nanoscale phenomena at biointerfaces, but also for the development of advanced devices relevant to biotechnology and electronics applications. In this review, we first give a brief introduction of scaling behavior of nanopatterned polymer brushes and then summarize recent progress in fabrication and application of nanopatterned polymer brushes. Specifically, we highlight applications of nanopatterned stimuli-responsive polymer brushes in the areas of biomedicine and biotechnology.

  16. GaSb thermophotovoltaic cells grown on GaAs by molecular beam epitaxy using interfacial misfit arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Juang, Bor-Chau, E-mail: bcjuang@ucla.edu; Laghumavarapu, Ramesh B.; Foggo, Brandon J.

    There exists a long-term need for foreign substrates on which to grow GaSb-based optoelectronic devices. We address this need by using interfacial misfit arrays to grow GaSb-based thermophotovoltaic cells directly on GaAs (001) substrates and demonstrate promising performance. We compare these cells to control devices grown on GaSb substrates to assess device properties and material quality. The room temperature dark current densities show similar characteristics for both cells on GaAs and on GaSb. Under solar simulation the cells on GaAs exhibit an open-circuit voltage of 0.121 V and a short-circuit current density of 15.5 mA/cm{sup 2}. In addition, the cells on GaAsmore » substrates maintain 10% difference in spectral response to those of the control cells over a large range of wavelengths. While the cells on GaSb substrates in general offer better performance than the cells on GaAs substrates, the cost-savings and scalability offered by GaAs substrates could potentially outweigh the reduction in performance. By further optimizing GaSb buffer growth on GaAs substrates, Sb-based compound semiconductors grown on GaAs substrates with similar performance to devices grown directly on GaSb substrates could be realized.« less

  17. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  18. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  19. High-efficiency thin-film GaAs solar cells, phase2

    NASA Technical Reports Server (NTRS)

    Yeh, Y. C. M.

    1981-01-01

    Thin GaAs epi-layers with good crystallographic quality were grown using a (100) Si-substrate on which a thin Ge epi-interlayer was grown by CVD from germane. Both antireflection-coated metal oxide semiconductor (AMOS) and n(+)/p homojunction structures were studied. The AMOS cells were fabricated on undoped-GaAs epi-layers deposited on bulk poly-Ge substrates using organo-metallic CVD film-growth, with the best achieved AM1 conversion efficiency being 9.1%. Both p-type and n(+)-type GaAs growth were optimized using 50 ppm dimethyl zinc and 1% hydrogen sulfide, respectively. A direct GaAs deposition method in fabricating ultra-thin top layer, epitaxial n(+)/p shallow homojunction solar cells on (100) GaAs substrates (without anodic thinning) was developed to produce large area (1 sq/cm) cells, with 19.4% AM1 conversion efficiency achieved. Additionally, an AM1 conversion efficiency of 18.4% (17.5% with 5% grid coverage) was achieved for a single crystal GaAs n(+)/p cell grown by OM-CVD on a Ge wafer.

  20. Improved GaSb-based quantum well laser performance through metamorphic growth on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richardson, Christopher J. K., E-mail: richardson@lps.umd.edu; He, Lei; Apiratikul, Paveen

    The promise of the metamorphic growth paradigm is to enable design freedom of the substrate selection criteria beyond current choices that are limited by lattice matching requirements. A demonstration of this emerging degree of freedom is reported here by directly comparing identical laser structures grown both pseudomorphically on a GaSb substrate and metamorphically on a GaAs substrate. Improved thermal performance of the metamorphic laser material enables a higher output power before thermal roll-over begins. These performance gains are demonstrated in minimally processed gain-guided broad-area type-I lasers emitting close to 2-μm wavelengths and mounted p-side up. Continuous wave measurements at roommore » temperature yield a T{sub 0} of 145 K and peak output power of 192 mW from metamorphic lasers, compared to a T{sub 0} of 96 K and peak output power of 164 mW from identical lasers grown pseudomorphically on GaSb.« less

  1. Block Copolymer-Templated Approach to Nanopatterned Metal-Organic Framework Films.

    PubMed

    Zhou, Meimei; Wu, Yi-Nan; Wu, Baozhen; Yin, Xianpeng; Gao, Ning; Li, Fengting; Li, Guangtao

    2017-08-17

    The fabrication of patterned metal-organic framework (MOF) films with precisely controlled nanoscale resolution has been a fundamental challenge in nanoscience and nanotechnology. In this study, nanopatterned MOF films were fabricated using a layer-by-layer (LBL) growth method on functional templates (such as a bicontinuous nanoporous membrane or a structure with highly long-range-ordered nanoscopic channels parallel to the underlying substrate) generated by the microphase separation of polystyrene-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymers. HKUST-1 can be directly deposited on the templates without any chemical modification because the pyridine groups in P2VP interact with metal ions via metal-BCP complexes. As a result, nanopatterned HKUST-1 films with feature sizes below 50 nm and controllable thicknesses can be fabricated by controlling the number of LBL growth cycles. The proposed fabrication method further extends the applications of MOFs in various fields. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Nanopatterned conductive polymer films as a Pt, TCO-free counter electrode for low-cost dye-sensitized solar cells.

    PubMed

    Kwon, Jeong; Ganapathy, Veerappan; Kim, Young Hun; Song, Kyung-Deok; Park, Hong-Gyu; Jun, Yongseok; Yoo, Pil J; Park, Jong Hyeok

    2013-09-07

    A low-cost nanopatterned highly conductive poly(3,4-ethylenedioxythiophene) (PEDOT) thin film was fabricated on a flexible plastic substrate via a chemical polymerization method combined with a nanoimprinting technique and used as a platinum (Pt), TCO-free counter electrode for dye-sensitized solar cells (DSSCs). The catalytic properties of the nanopatterned PEDOT as the counter electrode in DSSCs were studied using cyclic voltammetry, J-V measurements, impedance spectroscopy, and finite-difference time-domain (FDTD) simulations. The nanopatterned PEDOT counter electrodes exhibit better functionality as a counter electrode for tri-iodide reduction when compared to non-patterned PEDOT-based counter electrodes. The Pt and TCO-free DSSCs with a nanopatterned PEDOT-based counter electrode exhibited a power conversion efficiency of 7.1% under one sunlight illumination (100 mW cm(-2)), which is comparable to that of conventional DSSCs with standard platinum Pt/FTO paired counter electrodes. The ability to modulate catalytic functionality with changes in nanoscale morphology represents a promising route for developing new counter electrodes of Pt and TCO-free DSSCs.

  3. Direct nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation.

    PubMed

    El Mel, Abdel-Aziz; Stephant, Nicolas; Gautier, Romain

    2016-10-06

    In this communication, we report on the growth, direct writing and nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation using a scanning electron microscope. The nanoblocks are produced by placing a droplet of an ethylene glycol solution containing silver nitrate and polyvinylpyrrolidone diluted in ethanol directly on a hot substrate heated up to 150 °C. Upon complete evaporation of the droplet, nanospheres, nano- and micro-triangles and nanoblocks made of silver-containing polymers, form over the substrate surface. Considering the nanoblocks as a model system, we demonstrate that such nanostructures are extremely sensitive to the e-beam extracted from the source of a scanning electron microscope operating at low acceleration voltages (between 5 and 7 kV). This sensitivity allows us to efficiently create various nanopatterns (e.g. arrays of holes, oblique slits and nanotrenches) in the material under e-beam irradiation. In addition to the possibility of writing, the nanoblocks revealed a self-healing ability allowing them to recover a relatively smooth surface after etching. Thanks to these properties, such nanomaterials can be used as a support for data writing and erasing on the nanoscale under low energy electron beam irradiation.

  4. Formation of Size- and Position-Controlled Nanometer Size Pt Dots on GaAs and InP Substrates by Pulsed Electrochemical Deposition

    NASA Astrophysics Data System (ADS)

    Sato, Taketomo; Kaneshiro, Chinami; HiroshiOkada, HiroshiOkada; Hasegawa, Hideki

    1999-04-01

    Attempts were made to form regular arrays of size- andposition-controlled Pt-dots on GaAs and InP by combining an insitu electrochemical process with the electron beam (EB)lithography. This utilizes the precipitation of Pt nano-particles atthe initial stage of electrodeposition. First, electrochemicalconditions were optimized in the mode of self-assembled dot arrayformation on unpatterned substrates. Minimum in-plane dot diameters of22 nm and 26 nm on GaAs and InP, respectively, were obtained underthe optimal pulsed mode. Then, Pt dots were selectively formed onpatterned substrates with open circular windows formed by EBlithography, thereby realizing dot-position control. The Pt dot wasfound to have been deposited at the center of each open window, andthe in-plane diameter of the dot could be controlled by the number,width and period of the pulse-waveform applied to substrates. Aminimum diameter of 20 nm was realized in windows with a diameter of100 nm, using a single pulse. Current-voltage (I-V)measurements using an atomic force microscopy (AFM) system with aconductive probe indicated that each Pt dot/n-GaAs contact possessed ahigh Schottky barrier height of about 1 eV.

  5. The possibility of multi-layer nanofabrication via atomic force microscope-based pulse electrochemical nanopatterning

    NASA Astrophysics Data System (ADS)

    Kim, Uk Su; Morita, Noboru; Lee, Deug Woo; Jun, Martin; Park, Jeong Woo

    2017-05-01

    Pulse electrochemical nanopatterning, a non-contact scanning probe lithography process using ultrashort voltage pulses, is based primarily on an electrochemical machining process using localized electrochemical oxidation between a sharp tool tip and the sample surface. In this study, nanoscale oxide patterns were formed on silicon Si (100) wafer surfaces via electrochemical surface nanopatterning, by supplying external pulsed currents through non-contact atomic force microscopy. Nanoscale oxide width and height were controlled by modulating the applied pulse duration. Additionally, protruding nanoscale oxides were removed completely by simple chemical etching, showing a depressed pattern on the sample substrate surface. Nanoscale two-dimensional oxides, prepared by a localized electrochemical reaction, can be defined easily by controlling physical and electrical variables, before proceeding further to a layer-by-layer nanofabrication process.

  6. Directed Nanopatterning with Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Yavuz, Ozgun; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    In spite of the successes of maskless optical nanopatterning methods, it remains extremely challenging to create any isotropic, periodic nanopattern. Further, available optical techniques lack the long-range coverage and high periodicity demanded by photonics and photovoltaics applications. Here, we provide a novel solution with Nonlinear Laser Lithography (NLL) approach. Notably, we demonstrate that self-organized nanopatterns can be produced in all possible Bravais lattice types. Further, we show that carefully chosen defects or structued noise can direct NLL symmetries. Exploitation of directed self-organizatio to select or guide to predetermined symmetries is a new capability. Predictive capabilities for such far-from-equilibrium, dissipative systems is very limited due to a lack of experimental systems with predictive models. Here we also present a completely predictive model, and experimentally confirm that the emergence of motifs can be regulated by engineering defects, while the polarization of the ultrafast laser prescribes lattice symmetry, which in turn reinforces translational invariance. Thus, NLL enables a novel, maskless nanofabrication approach, where laser-induced nanopatterns can be rapidly created in any lattice symmetry

  7. Integrated, Flexible, High-efficiency Solar Cells: Epitaxial Lift-Off GaAs Solar Cells and Enabling Substrate Reuse

    DTIC Science & Technology

    2012-08-01

    substrate cells. 3   GaAs CIGS CdTe α-SI Organic Trip. jun. Metam. C-Si Trip. Jun. Ge sub InP Power/Weight  Tradeoff...40   -  AR  coa<ng  ( ZnS /MgF2)150nm...AR  coa<ng  ( ZnS /MgF2)150nm                                      $5   -  HF

  8. GaAs homojunction solar cell development

    NASA Technical Reports Server (NTRS)

    Flood, D. J.; Swartz, C. K.; Hart, R. E., Jr.

    1980-01-01

    The Lincoln Laboratory n(+)/p/p(+) GaAs shallow homojunction cell structure was successfully demonstrated on 2 by 2 cm GaAs substrates. Air mass zero efficiencies of the seven cells produced to date range from 13.6 to 15.6 percent. Current voltage (I-V) characteristics, spectral response, and measurements were made on all seven cells. Preliminary analysis of 1 MeV electron radiation damage data indicate excellent radiation resistance for these cells.

  9. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    NASA Astrophysics Data System (ADS)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  10. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    NASA Astrophysics Data System (ADS)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  11. Technology requirements for GaAs photovoltaic arrays

    NASA Technical Reports Server (NTRS)

    Scott-Monck, J.; Rockey, D.

    1981-01-01

    An analysis based on percent GaAs solar cell weight and cost is performed to assess the utility of this cell for future space missions. It is shown that the GaAs substrate cost and the end-of-life (EOL) advantage the cell can provide over the space qualified silicon solar cell are the dominant factors determining potential use. Examples are presented to show that system level advantages resulting from reduction in solar panel area may warrant the use of GaAs at its current weight and projected initial cost provided the EOL advantage over silicon is at least 20 percent.

  12. Nanopatterned organic semiconductors for visible light communications

    NASA Astrophysics Data System (ADS)

    Yang, Xilu; Dong, Yurong; Zeng, Pan; Yu, Yan; Xie, Yujun; Gong, Junyi; Shi, Meng; Liang, Rongqing; Ou, Qiongrong; Chi, Nan; Zhang, Shuyu

    2018-03-01

    Visible light communication (VLC) is becoming an important and promising supplement to the existing Wi-Fi network for the coming 5G communications. Organic light-emitting semiconductors present much fast fluorescent decay rates compared to those of conventional colour-converting phosphors, therefore capable of achieving much higher bandwidths. Here we explore how nanopatterned organic semiconductors can further enhance the data rates of VLC links by improving bandwidths and signal-to-noise ratios (SNRs) and by supporting spatial multiplexing. We first demonstrate a colour-converting VLC system based on nanopatterned hyperbolic metamaterials (HMM), the bandwidth of which is enhanced by 50%. With regard to enhancing SNRs, we achieve a tripling of optical gain by integrating a nanopatterned luminescent concentrator to a signal receiver. In addition, we demonstrate highly directional fluorescent VLC antennas based on nanoimprinted polymer films, paving the way to achieving parallel VLC communications via spatialmultiplexing. These results indicate nanopatterned organic semiconductors provide a promising route to high speed VLC links.

  13. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, Guillermo M.; Baca, Albert G.; Zutavern, Fred J.

    1998-01-01

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices.

  14. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  15. Heteroepitaxial growth of GaAs on (100) Ge/Si using migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanoto, H.; Loke, W. K.; Yoon, S. F.

    In this paper, heteroepitaxial growth of GaAs on nominal (100) Ge/Si substrate was investigated. The root-mean square surface roughness of the sample where the first few monolayers of the GaAs were nucleated by migration enhanced epitaxy (MEE) is four times smaller compared to the sample without such a process, indicating better surface planarity. From the (004) x-ray diffraction rocking curve measurement, the full width at half maximum of the GaAs layer nucleated by MEE is 40% lower compared to that of the GaAs layer without such a process, indicating better crystal quality. Furthermore, it was found that the sample wheremore » the GaAs layer was nucleated by MEE experienced early relaxation. As the MEE process promotes two-dimensional growth, the GaAs layer where nucleation was initiated by such a process has fewer islandlike formations. This leads to a pseudomorphically grown GaAs layer, which experiences higher strain compared to the GaAs layer with more islandlike formations, where most relaxation occurs on the free surface of the islands. Therefore, for the same layer thickness, the GaAs layer on (100) Ge/Si substrate where nucleation was initiated by MEE relaxed first.« less

  16. Nanopatterned textile-based wearable triboelectric nanogenerator.

    PubMed

    Seung, Wanchul; Gupta, Manoj Kumar; Lee, Keun Young; Shin, Kyung-Sik; Lee, Ju-Hyuck; Kim, Tae Yun; Kim, Sanghyun; Lin, Jianjian; Kim, Jung Ho; Kim, Sang-Woo

    2015-01-01

    Here we report a fully flexible, foldable nanopatterned wearable triboelectric nanogenerator (WTNG) with high power-generating performance and mechanical robustness. Both a silver (Ag)-coated textile and polydimethylsiloxane (PDMS) nanopatterns based on ZnO nanorod arrays on a Ag-coated textile template were used as active triboelectric materials. A high output voltage and current of about 120 V and 65 μA, respectively, were observed from a nanopatterned PDMS-based WTNG, while an output voltage and current of 30 V and 20 μA were obtained by the non-nanopatterned flat PDMS-based WTNG under the same compressive force of 10 kgf. Furthermore, very high voltage and current outputs with an average value of 170 V and 120 μA, respectively, were obtained from a four-layer-stacked WTNG under the same compressive force. Notably it was found there are no significant differences in the output voltages measured from the multilayer-stacked WTNG over 12 000 cycles, confirming the excellent mechanical durability of WTNGs. Finally, we successfully demonstrated the self-powered operation of light-emitting diodes, a liquid crystal display, and a keyless vehicle entry system only with the output power of our WTNG without any help of external power sources.

  17. Substrate structures for InP-based devices

    DOEpatents

    Wanlass, Mark W.; Sheldon, Peter

    1990-01-01

    A substrate structure for an InP-based semiconductor device having an InP based film is disclosed. The substrate structure includes a substrate region having a lightweight bulk substrate and an upper GaAs layer. An interconnecting region is disposed between the substrate region and the InP-based device. The interconnecting region includes a compositionally graded intermediate layer substantially lattice-matched at one end to the GaAs layer and substantially lattice-matched at the opposite end to the InP-based film. The interconnecting region further includes a dislocation mechanism disposed between the GaAs layer and the InP-based film in cooperation with the graded intermediate layer, the buffer mechanism blocking and inhibiting propagation of threading dislocations between the substrate region, and the InP-based device.

  18. Hierarchically Ordered Nanopatterns for Spatial Control of Biomolecules

    PubMed Central

    2015-01-01

    The development and study of a benchtop, high-throughput, and inexpensive fabrication strategy to obtain hierarchical patterns of biomolecules with sub-50 nm resolution is presented. A diblock copolymer of polystyrene-b-poly(ethylene oxide), PS-b-PEO, is synthesized with biotin capping the PEO block and 4-bromostyrene copolymerized within the polystyrene block at 5 wt %. These two handles allow thin films of the block copolymer to be postfunctionalized with biotinylated biomolecules of interest and to obtain micropatterns of nanoscale-ordered films via photolithography. The design of this single polymer further allows access to two distinct superficial nanopatterns (lines and dots), where the PEO cylinders are oriented parallel or perpendicular to the substrate. Moreover, we present a strategy to obtain hierarchical mixed morphologies: a thin-film coating of cylinders both parallel and perpendicular to the substrate can be obtained by tuning the solvent annealing and irradiation conditions. PMID:25363506

  19. Light extraction efficiency analysis of GaN-based light-emitting diodes with nanopatterned sapphire substrates.

    PubMed

    Pan, Jui-Wen; Tsai, Pei-Jung; Chang, Kao-Der; Chang, Yung-Yuan

    2013-03-01

    In this paper, we propose a method to analyze the light extraction efficiency (LEE) enhancement of a nanopatterned sapphire substrates (NPSS) light-emitting diode (LED) by comparing wave optics software with ray optics software. Finite-difference time-domain (FDTD) simulations represent the wave optics software and Light Tools (LTs) simulations represent the ray optics software. First, we find the trends of and an optimal solution for the LEE enhancement when the 2D-FDTD simulations are used to save on simulation time and computational memory. The rigorous coupled-wave analysis method is utilized to explain the trend we get from the 2D-FDTD algorithm. The optimal solution is then applied in 3D-FDTD and LTs simulations. The results are similar and the difference in LEE enhancement between the two simulations does not exceed 8.5% in the small LED chip area. More than 10(4) times computational memory is saved during the LTs simulation in comparison to the 3D-FDTD simulation. Moreover, LEE enhancement from the side of the LED can be obtained in the LTs simulation. An actual-size NPSS LED is simulated using the LTs. The results show a more than 307% improvement in the total LEE enhancement of the NPSS LED with the optimal solution compared to the conventional LED.

  20. GaAs photoconductive semiconductor switch

    DOEpatents

    Loubriel, G.M.; Baca, A.G.; Zutavern, F.J.

    1998-09-08

    A high gain, optically triggered, photoconductive semiconductor switch (PCSS) implemented in GaAs as a reverse-biased pin structure with a passivation layer above the intrinsic GaAs substrate in the gap between the two electrodes of the device is disclosed. The reverse-biased configuration in combination with the addition of the passivation layer greatly reduces surface current leakage that has been a problem for prior PCSS devices and enables employment of the much less expensive and more reliable DC charging systems instead of the pulsed charging systems that needed to be used with prior PCSS devices. 5 figs.

  1. High power cascaded mid-infrared InAs/GaSb light emitting diodes on mismatched GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provence, S. R., E-mail: sydney-provence@uiowa.edu; Ricker, R.; Aytac, Y.

    2015-09-28

    InAs/GaSb mid-wave, cascaded superlattice light emitting diodes are found to give higher radiance when epitaxially grown on mismatched GaAs substrates compared to lattice-matched GaSb substrates. Peak radiances of 0.69 W/cm{sup 2}-sr and 1.06 W/cm{sup 2}-sr for the 100 × 100 μm{sup 2} GaSb and GaAs-based devices, respectively, were measured at 77 K. Measurement of the recombination coefficients shows the shorter Shockley-Read-Hall recombination lifetime as misfit dislocations for growth on GaAs degrade the quantum efficiency only at low current injection. The improved performance on GaAs was found to be due to the higher transparency and improved thermal properties of the GaAs substrate.

  2. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  3. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    PubMed

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  4. Nanopatterning of metal-coated silicon surfaces via ion beam irradiation: Real time x-ray studies reveal the effect of silicide bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    El-Atwani, Osman; Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907; Gonderman, Sean

    We investigated the effect of silicide formation on ion-induced nanopatterning of silicon with various ultrathin metal coatings. Silicon substrates coated with 10 nm Ni, Fe, and Cu were irradiated with 200 eV argon ions at normal incidence. Real time grazing incidence small angle x-ray scattering (GISAXS) and x-ray fluorescence (XRF) were performed during the irradiation process and real time measurements revealed threshold conditions for nanopatterning of silicon at normal incidence irradiation. Three main stages of the nanopatterning process were identified. The real time GISAXS intensity of the correlated peaks in conjunction with XRF revealed that the nanostructures remain for amore » time period after the removal of the all the metal atoms from the sample depending on the binding energy of the metal silicides formed. Ex-situ XPS confirmed the removal of all metal impurities. In-situ XPS during the irradiation of Ni, Fe, and Cu coated silicon substrates at normal incidence demonstrated phase separation and the formation of different silicide phases that occur upon metal-silicon mixing. Silicide formation leads to nanostructure formation due the preferential erosion of the non-silicide regions and the weakening of the ion induced mass redistribution.« less

  5. Hierarchically Ordered Nanopatterns for Spatial Control of Biomolecules

    DOE PAGES

    Tran, Helen; Ronaldson, Kacey; Bailey, Nevette A.; ...

    2014-11-04

    We present the development and study of a benchtop, high-throughput, and inexpensive fabrication strategy to obtain hierarchical patterns of biomolecules with sub-50 nm resolution. A diblock copolymer of polystyrene-b-poly(ethylene oxide), PS-b-PEO, is synthesized with biotin capping the PEO block and 4-bromostyrene copolymerized within the polystyrene block at 5 wt %. These two handles allow thin films of the block copolymer to be postfunctionalized with biotinylated biomolecules of interest and to obtain micropatterns of nanoscale-ordered films via photolithography. The design of this single polymer further allows access to two distinct superficial nanopatterns (lines and dots), where the PEO cylinders are orientedmore » parallel or perpendicular to the substrate. Moreover, we present a strategy to obtain hierarchical mixed morphologies: a thin-film coating of cylinders both parallel and perpendicular to the substrate can be obtained by tuning the solvent annealing and irradiation conditions.« less

  6. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  7. In-situ laser nano-patterning for ordered InAs/GaAs(001) quantum dot growth

    NASA Astrophysics Data System (ADS)

    Zhang, Wei; Shi, Zhenwu; Huo, Dayun; Guo, Xiaoxiang; Zhang, Feng; Chen, Linsen; Wang, Qinhua; Zhang, Baoshun; Peng, Changsi

    2018-04-01

    A study of in-situ laser interference nano-patterning on InGaAs wetting layers was carried out during InAs/GaAs (001) quantum dot molecular beam epitaxy growth. Periodic nano-islands with heights of a few atomic layers were obtained via four-beam laser interference irradiation on the InGaAs wetting layer at an InAs coverage of 0.9 monolayer. The quantum dots nucleated preferentially at edges of nano-islands upon subsequent deposition of InAs on the patterned surface. When the nano-islands are sufficiently small, the patterned substrate could be spontaneously re-flattened and an ordered quantum dot array could be produced on the smooth surface. This letter discusses the mechanisms of nano-patterning and ordered quantum dot nucleation in detail. This study provides a potential technique leading to site-controlled, high-quality quantum dot fabrication.

  8. Growth of InAs Quantum Dots on GaAs (511)A Substrates: The Competition between Thermal Dynamics and Kinetics.

    PubMed

    Wen, Lei; Gao, Fangliang; Zhang, Shuguang; Li, Guoqiang

    2016-08-01

    The growth process of InAs quantum dots grown on GaAs (511)A substrates has been studied by atomic force microscopy. According to the atomic force microscopy studies for quantum dots grown with varying InAs coverage, a noncoherent nucleation of quantum dots is observed. Moreover, due to the long migration length of In atoms, the Ostwald ripening process is aggravated, resulting in the bad uniformity of InAs quantum dots on GaAs (511)A. In order to improve the uniformity of nucleation, the growth rate is increased. By studying the effects of increased growth rates on the growth of InAs quantum dots, it is found that the uniformity of InAs quantum dots is greatly improved as the growth rates increase to 0.14 ML s(-1) . However, as the growth rates increase further, the uniformity of InAs quantum dots becomes dual-mode, which can be attributed to the competition between Ostwald ripening and strain relaxation processes. The results in this work provide insights regarding the competition between thermal dynamical barriers and the growth kinetics in the growth of InAs quantum dots, and give guidance to improve the size uniformity of InAs quantum dots on (N11)A substrates. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  10. Configuration-controlled Au nanocluster arrays on inverse micelle nano-patterns: versatile platforms for SERS and SPR sensors

    NASA Astrophysics Data System (ADS)

    Jang, Yoon Hee; Chung, Kyungwha; Quan, Li Na; Špačková, Barbora; Šípová, Hana; Moon, Seyoung; Cho, Won Joon; Shin, Hae-Young; Jang, Yu Jin; Lee, Ji-Eun; Kochuveedu, Saji Thomas; Yoon, Min Ji; Kim, Jihyeon; Yoon, Seokhyun; Kim, Jin Kon; Kim, Donghyun; Homola, Jiří; Kim, Dong Ha

    2013-11-01

    Nanopatterned 2-dimensional Au nanocluster arrays with controlled configuration are fabricated onto reconstructed nanoporous poly(styrene-block-vinylpyridine) inverse micelle monolayer films. Near-field coupling of localized surface plasmons is studied and compared for disordered and ordered core-centered Au NC arrays. Differences in evolution of the absorption band and field enhancement upon Au nanoparticle adsorption are shown. The experimental results are found to be in good agreement with theoretical studies based on the finite-difference time-domain method and rigorous coupled-wave analysis. The realized Au nanopatterns are exploited as substrates for surface-enhanced Raman scattering and integrated into Kretschmann-type SPR sensors, based on which unprecedented SPR-coupling-type sensors are demonstrated.Nanopatterned 2-dimensional Au nanocluster arrays with controlled configuration are fabricated onto reconstructed nanoporous poly(styrene-block-vinylpyridine) inverse micelle monolayer films. Near-field coupling of localized surface plasmons is studied and compared for disordered and ordered core-centered Au NC arrays. Differences in evolution of the absorption band and field enhancement upon Au nanoparticle adsorption are shown. The experimental results are found to be in good agreement with theoretical studies based on the finite-difference time-domain method and rigorous coupled-wave analysis. The realized Au nanopatterns are exploited as substrates for surface-enhanced Raman scattering and integrated into Kretschmann-type SPR sensors, based on which unprecedented SPR-coupling-type sensors are demonstrated. Electronic supplementary information (ESI) available: TEM image and UV-vis absorption spectrum of citrate-capped Au NPs, AFM images of Au NC arrays on the PS-b-P4VP (41k-24k) template, ImageJ-analyzed results of PS-b-P4VP (41k-24k)-templated Au NC arrays, calculated %-surface coverage values, SEM images of Au NC arrays on the PS-b-P2VP (172k-42k

  11. High-fidelity large area nano-patterning of silicon with femtosecond light sheet

    NASA Astrophysics Data System (ADS)

    Sidhu, Mehra S.; Munjal, Pooja; Singh, Kamal P.

    2018-01-01

    We employ a femtosecond light sheet generated by a cylindrical lens to rapidly produce high-fidelity nano-structures over large area on silicon surface. The Fourier analysis of electron microscopy images of the laser-induced surface structures reveals sharp peaks indicating good homogeneity. We observed an emergence of second-order spatial periodicity on increasing the scan speed. Our reliable approach may rapidly nano-pattern curved solid surfaces and tiny objects for diverse potential applications in optical devices, structural coloring, plasmonic substrates and in high-harmonic generation.

  12. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru; Buriakov, A. M.

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity ofmore » the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.« less

  13. Directed self-assembly of nanogold using a chemically modified nanopatterned surface

    NASA Astrophysics Data System (ADS)

    Nidetz, Robert; Kim, Jinsang

    2012-02-01

    Electron-beam lithography (EBL) was used to define an aminosilane nanopatterned surface in order to electrostatically self-assemble gold nanoparticles (Au NPs). The chemically modified nanopatterned surfaces were immersed into a Au NP solution to allow the Au NPs to self-assemble. Equilibrium self-assembly was achieved in only 20 min. The number of Au NPs that self-assembled on an aminosilane dot was controlled by manipulating the diameters of both the Au NPs and the dots. Adding salt to the Au NP solution enabled the Au NPs to self-assemble in greater numbers on the same sized dot. However, the preparation of the Au NP solution containing salt was sensitive to spikes in the salt concentration. These spikes led to aggregation of the Au NPs and non-specific deposition of Au NPs on the substrate. The Au NP patterned surfaces were immersed in a sodium hydroxide solution in order to lift-off the patterned Au NPs, but no lift-off was observed without adequate physical agitation. The van der Waals forces are too strong to allow for lift-off despite the absence of electrostatic forces.

  14. High Quality GaAs Growth by MBE on Si Using GeSi Buffers and Prospects for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.

    2005-01-01

    III-V solar cells on Si substrates are of interest for space photovoltaics since this would combine high performance space cells with a strong, lightweight and inexpensive substrate. However, the primary obstacles blocking III-V/Si cells from achieving high performance to date have been fundamental materials incompatabilities, namely the 4% lattice mismatch between GaAs and Si, and the large mismatch in thermal expansion coefficient. In this paper, we report on the molecular beam epitaxial (MBE) growth and properties of GaAs layers and single junction GaAs cells on Si wafers which utilize compositionally graded GeSi Intermediate buffers grown by ultra-high vacuum chemical vapor deposition (UHVCVD) to mitigate the large lattice mismatch between GaAs and Si. Ga As cell structures were found to incorporate a threading dislocation density of 0.9-1.5 x 10 (exp 6) per square centimeter, identical to the underlying relaxed Ge cap of the graded buffer, via a combination of transmission electron microscopy, electron beam induced current, and etch pit density measurements. AlGaAs/GaAs double heterostructures wre grown on the GeSi/Si substrates for time-resolved photoluminescence measurements, which revealed a bulk GaAs minority carrier lifetime in excess of 10 ns, the highest lifetime ever reported for GaAs on Si. A series of growth were performed to ass3ss the impact of a GaAs buffer to a thickness of only 0.1 micrometer. Secondary ion mass spectroscopy studies revealed that there is negligible cross diffusion of Ga, As and Ge at he III-V/Ge interface, identical to our earlier findings for GaAs grown on Ge wafers using MBE. This indicates that there is no need for a buffer to "bury" regions of high autodopjing,a nd that either pn or np configuration cells are easily accomodated by these substrates. Preliminary diodes and single junction Al Ga As heteroface cells were grown and fabricated on the Ge/GeSi/Si substrates for the first time. Diodes fabricated on GaAs, Ge and Ge

  15. Electrical characterisation of deep level defects in Be-doped AlGaAs grown on (100) and (311)A GaAs substrates by MBE

    PubMed Central

    2011-01-01

    The growth of high mobility two-dimensional hole gases (2DHGs) using GaAs-GaAlAs heterostructures has been the subject of many investigations. However, despite many efforts hole mobilities in Be-doped structures grown on (100) GaAs substrate remained considerably lower than those obtained by growing on (311)A oriented surface using silicon as p-type dopant. In this study we will report on the properties of hole traps in a set of p-type Be-doped Al0.29Ga0.71As samples grown by molecular beam epitaxy on (100) and (311)A GaAs substrates using deep level transient spectroscopy (DLTS) technique. In addition, the effect of the level of Be-doping concentration on the hole deep traps is investigated. It was observed that with increasing the Be-doping concentration from 1 × 1016 to 1 × 1017 cm-3 the number of detected electrically active defects decreases for samples grown on (311)A substrate, whereas, it increases for (100) orientated samples. The DLTS measurements also reveal that the activation energies of traps detected in (311)A are lower than those in (100). From these findings it is expected that mobilities of 2DHGs in Be-doped GaAs-GaAlAs devices grown on (311)A should be higher than those on (100). PMID:21711687

  16. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  17. Photoluminescence characteristics of ZnTe bulk crystal and ZnTe epilayer grown on GaAs substrate by MOVPE

    NASA Astrophysics Data System (ADS)

    Lü, Hai-Yan; Mu, Qi; Zhang, Lei; Lü, Yuan-Jie; Ji, Zi-Wu; Feng, Zhi-Hong; Xu, Xian-Gang; Guo, Qi-Xin

    2015-12-01

    Excitation power and temperature-dependent photoluminescence (PL) spectra of the ZnTe epilayer grown on (100) GaAs substrate and ZnTe bulk crystal are investigated. The measurement results show that both the structures are of good structural quality due to their sharp bound excitonic emissions and absence of the deep level structural defect-related emissions. Furthermore, in contrast to the ZnTe bulk crystal, although excitonic emissions for the ZnTe epilayer are somewhat weak, perhaps due to As atoms diffusing from the GaAs substrate into the ZnTe epilayer and/or because of the strain-induced degradation of the crystalline quality of the ZnTe epilayer, neither the donor-acceptor pair (DAP) nor conduction band-acceptor (e-A) emissions are observed in the ZnTe epilayer. This indicates that by further optimizing the growth process it is possible to obtain a high-crystalline quality ZnTe heteroepitaxial layer that is comparable to the ZnTe bulk crystal. Project supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20120131110006), the Key Science and Technology Program of Shandong Province, China (Grant No. 2013GGX10221), the Key Laboratory of Functional Crystal Materials and Device (Shandong University, Ministry of Education), China (Grant No. JG1401), the National Natural Science Foundation of China (Grant No. 61306113), the Major Research Plan of the National Natural Science Foundation of China (Grant No. 91433112), and the Partnership Project for Fundamental Technology Researches of the Ministry of Education, Culture, Sports, Science and Technology, Japan.

  18. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    NASA Astrophysics Data System (ADS)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  19. Optical properties of beryllium-doped GaSb epilayers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Deng, Zhuo; Chen, Baile; Chen, Xiren; Shao, Jun; Gong, Qian; Liu, Huiyun; Wu, Jiang

    2018-05-01

    In this work, the effects of p-type beryllium (Be) doping on the optical properties of GaSb epilayers grown on GaAs substrate by Molecular Beam Epitaxy (MBE) have been studied. Temperature- and excitation power-dependent photoluminescence (PL) measurements were performed on both nominally undoped and intentionally Be-doped GaSb layers. Clear PL emissions are observable even at the temperature of 270 K from both layers, indicating the high material quality. In the Be-doped GaSb layer, the transition energies of main PL features exhibit red-shift up to ∼7 meV, and the peak widths characterized by Full-Width-at-Half-Maximum (FWHM) also decrease. In addition, analysis on the PL integrated intensity in the Be-doped sample reveals a gain of emission signal, as well as a larger carrier thermal activation energy. These distinctive PL behaviors identified in the Be-doped GaSb layer suggest that the residual compressive strain is effectively relaxed in the epilayer, due possibly to the reduction of dislocation density in the GaSb layer with the intentional incorporation of Be dopants. Our results confirm the role of Be as a promising dopant in the improvement of crystalline quality in GaSb, which is a crucial factor for growth and fabrication of high quality strain-free GaSb-based devices on foreign substrates.

  20. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    NASA Astrophysics Data System (ADS)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  1. Heterostructures of metamorphic GaInAs photovoltaic converters fabricated by MOCVD on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.

    Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less

  2. Growth and characteristics of p-type doped GaAs nanowire

    NASA Astrophysics Data System (ADS)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  3. Luminescence and Electroluminescence of Nd, Tm and Yb Doped GaAs and some II-Vi Compounds

    DTIC Science & Technology

    1994-02-28

    from the bandgap discontinuity (as was proposed in my publications [1,2]). Also, by using superlattice structure A1GaAs / GaAs: Er / AlGaAs, we could...n ipact ightemiting evic 10 3. The AlGaAs/GaAs: Er/A1GaAs superlattice structure. For the first time we designed the unipolar n’ - superlattice - n...structure as shown in Figure 5. The GaAs: Er/Alo.45Gao.55As superlattice was grown by MBE on an n’ GaAs: Si substrate. It consisted of 60 periods of

  4. Photoreflectance from GaAs and GaAs/GaAs interfaces

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Wilson, Jerome J.; Mitchel, W. C.; Yen, M. Y.

    1989-10-01

    Photoreflectance from semi-insulating GaAs, and GaAs/GaAs interfaces, is discussed in terms of its behavior with temperature, doping, epilayer thickness, and laser intensity. Semi-insulating substrates show an exciton-related band-edge signal below 200 K and an impurity-related photoreflectance above 400 K. At intermediate temperatures the band-edge signal from thin GaAs epilayers contains a contribution from the epilayer-substrate interface. The interface effect depends on the epilayer's thickness, doping, and carrier mobility. The effect broadens the band-edge photoreflectance by 5-10 meV, and artifically lowers the estimates for the critical-point energy, ECP, obtained through the customary third-derivative functional fit to the data.

  5. Liquid immersion thermal crosslinking of 3D polymer nanopatterns for direct carbonisation with high structural integrity

    NASA Astrophysics Data System (ADS)

    Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk

    2015-12-01

    The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies.

  6. Liquid immersion thermal crosslinking of 3D polymer nanopatterns for direct carbonisation with high structural integrity

    PubMed Central

    Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk

    2015-01-01

    The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies. PMID:26677949

  7. Liquid immersion thermal crosslinking of 3D polymer nanopatterns for direct carbonisation with high structural integrity.

    PubMed

    Kang, Da-Young; Kim, Cheolho; Park, Gyurim; Moon, Jun Hyuk

    2015-12-18

    The direct pyrolytic carbonisation of polymer patterns has attracted interest for its use in obtaining carbon materials. In the case of carbonisation of nanopatterned polymers, the polymer flow and subsequent pattern change may occur in order to relieve their high surface energies. Here, we demonstrated that liquid immersion thermal crosslinking of polymer nanopatterns effectively enhanced the thermal resistance and maintained the structure integrity during the heat treatment. We employed the liquid immersion thermal crosslinking for 3D porous SU8 photoresist nanopatterns and successfully converted them to carbon nanopatterns while maintaining their porous features. The thermal crosslinking reaction and carbonisation of SU8 nanopatterns were characterised. The micro-crystallinity of the SU8-derived carbon nanopatterns was also characterised. The liquid immersion heat treatment can be extended to the carbonisation of various polymer or photoresist nanopatterns and also provide a facile way to control the surface energy of polymer nanopatterns for various purposes, for example, to block copolymer or surfactant self-assemblies.

  8. Response of cells on surface-induced nanopatterns: fibroblasts and mesenchymal progenitor cells.

    PubMed

    Khor, Hwei Ling; Kuan, Yujun; Kukula, Hildegard; Tamada, Kaoru; Knoll, Wolfgang; Moeller, Martin; Hutmacher, Dietmar W

    2007-05-01

    Ultrathin films of a poly(styrene)-block-poly(2-vinylpyrindine) diblock copolymer (PS-b-P2VP) and poly(styrene)-block-poly(4-vinylpyrindine) diblock copolymer (PS-b-P4VP) were used to form surface-induced nanopattern (SINPAT) on mica. Surface interaction controlled microphase separation led to the formation of chemically heterogeneous surface nanopatterns on dry ultrathin films. Two distinct nanopatterned surfaces, namely, wormlike and dotlike patterns, were used to investigate the influence of topography in the nanometer range on cell adhesion, proliferation, and migration. Atomic force microscopy was used to confirm that SINPAT was stable under cell culture conditions. Fibroblasts and mesenchymal progenitor cells were cultured on the nanopatterned surfaces. Phase contrast and confocal laser microscopy showed that fibroblasts and mesenchymal progenitor cells preferred the densely spaced wormlike patterns. Atomic force microscopy showed that the cells remodelled the extracellular matrix differently as they migrate over the two distinctly different nanopatterns.

  9. Enhanced adhesion of bioinspired nanopatterned elastomers via colloidal surface assembly

    PubMed Central

    Akerboom, Sabine; Appel, Jeroen; Labonte, David; Federle, Walter; Sprakel, Joris; Kamperman, Marleen

    2015-01-01

    We describe a scalable method to fabricate nanopatterned bioinspired dry adhesives using colloidal lithography. Close-packed monolayers of polystyrene particles were formed at the air/water interface, on which polydimethylsiloxane (PDMS) was applied. The order of the colloidal monolayer and the immersion depth of the particles were tuned by altering the pH and ionic strength of the water. Initially, PDMS completely wetted the air/water interface outside the monolayer, thereby compressing the monolayer as in a Langmuir trough; further application of PDMS subsequently covered the colloidal monolayers. PDMS curing and particle extraction resulted in elastomers patterned with nanodimples. Adhesion and friction of these nanopatterned surfaces with varying dimple depth were studied using a spherical probe as a counter-surface. Compared with smooth surfaces, adhesion of nanopatterned surfaces was enhanced, which is attributed to an energy-dissipating mechanism during pull-off. All nanopatterned surfaces showed a significant decrease in friction compared with smooth surfaces. PMID:25392404

  10. Nanoscale definition of substrate materials to direct human adult stem cells towards tissue specific populations.

    PubMed

    Curran, Judith M; Chen, Rui; Stokes, Robert; Irvine, Eleanor; Graham, Duncan; Gubbins, Earl; Delaney, Deany; Amro, Nabil; Sanedrin, Raymond; Jamil, Haris; Hunt, John A

    2010-03-01

    The development of homogenously nano-patterned chemically modified surfaces that can be used to initiate a cellular response, particularly stem cell differentiation, in a highly controlled manner without the need for exogenous biological factors has never been reported, due to that fact that precisely defined and reproducible systems have not been available that can be used to study cell/material interactions and unlock the potential of a material driven cell response. Until now material driven stem cell (furthermore any cell) responses have been variable due to the limitations in definition and reproducibility of the underlying substrate and the lack of true homogeneity of modifications that can dictate a cellular response at a sub-micron level that can effectively control initial cell interactions of all cells that contact the surface. Here we report the successful design and use of homogenously molecularly nanopatterned surfaces to control initial stem cell adhesion and hence function. The highly specified nano-patterned arrays were compared directly to silane modified bulk coated substrates that have previously been proven to initiate mesenchymal stem cell (MSC) differentiation in a heterogenous manner, the aim of this study was to prove the efficiency of these previously observed cell responses could be enhanced by the incorporation of nano-patterns. Nano-patterned surfaces were prepared by Dip Pen Nanolithography (DPN) to produce arrays of 70 nm sized dots separated by defined spacings of 140, 280 and 1000 nm with terminal functionalities of carboxyl, amino, methyl and hydroxyl and used to control cell growth. These nanopatterned surfaces exhibited unprecedented control of initial cell interactions and will change the capabilities for stem cell definition in vitro and then cell based medical therapies. In addition to highlighting the ability of the materials to control stem cell functionality on an unprecedented scale this research also introduces the

  11. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  12. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  13. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  14. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  15. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  16. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    NASA Astrophysics Data System (ADS)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    2018-05-01

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. The difference in the two materials may be due to the occupation of the substrate acceptor states in the presence of the midgap state EL2.

  17. Growth of high quality germanium films on patterned silicon substrates and applications

    NASA Astrophysics Data System (ADS)

    Vanamu, Ganesh

    The principal objective of this work is to determine optimal pattern structures for highest quality (defect free) heteroepitaxial growth. High quality films of Ge on Si are of significant importance and can be used in high electron mobility devices, photodetectors for optical communications (1.3mum or 1.55mum) and integrating III-V optoelectronic devices. However, a 4% lattice mismatch and ˜ 50% thermal expansion mismatch between Ge and Si create three major challenges in growing high quality Ge films on Si, (a) high surface roughness due to a pronounced <110> crosshatch pattern, (b) high dislocation densities in Ge films and (c) high density of microcracks and wafer bending. A common way of reducing lattice and thermal expansion mismatch is to form a "virtual substrate (VS)" by growing a graded composition followed by a uniform layer of the desired epitaxial film on a defect-free Si substrate. Virtual graded layers could not decrease the dislocation densities to the numbers acceptable for most of the devices. Mathews et al. first proposed that limiting the lateral dimensions of the sample prior to growth could reduce the dislocation density. Later On Fitzgerald proposed that patterning decreases the dislocation density in the films. In this work we show high quality crosshatch-free Ge films with dislocation density ˜ 105 cm-2 on the nano-patterned Si and also high quality GaAs films on the Ge/Si virtual substrate. The first step in this research was to perform a systematic study to identify the role of pattern width on the quality of Ge growth. We investigated micrometer and submicrometer scale patterns. We demonstrated that the quality of the heteroepitaxial layers improves as the pattern width decreases. Then we have decreased the pattern width to nanometer-scale dimensions. Significant improvement of the Ge film quality was observed. We used novel interferometric lithography techniques combined with reactive ion and wet chemical etching to fabricate Si

  18. X-ray photoelectron spectroscopic study of the oxide removal mechanism of GaAs /100/ molecular beam epitaxial substrates in in situ heating

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Lewis, B. F.; Grunthaner, F. J.

    1983-01-01

    A standard cleaning procedure for GaAs (100) molecular beam epitaxial (MBE) substrates is a chemical treatment with a solution of H2SO4/H2O2/H2O, followed by in situ heating prior to MBE growth. X-ray photoelectron spectroscopic (XPS) studies of the surface following the chemical treatment show that the oxidized As is primarily As(+ 5). Upon heating to low temperatures (less than (350 C) the As(+ 5) oxidizes the substrate to form Ga2O3 and elemental As, and the As(+ 5) is reduced to As(+ 3) in the process. At higher temperatures (500 C), the As(+ 3) and elemental As desorb, while the Ga(+ 3) begins desorbing at about 600 C.

  19. Combined Effects of Substrate Topography and Stiffness on Endothelial Cytokine and Chemokine Secretion

    PubMed Central

    Lee, Justin H.; Park, Soojin; Mun, Kevin; Boo, Yong Chool; Kim, Deok-Ho

    2016-01-01

    Endothelial physiology is regulated not only by humoral factors but also by mechanical factors such as fluid shear stress and the underlying cellular matrix microenvironment. The purpose of the present study was to examine the effects of matrix topographical cues on the endothelial secretion of cytokines/chemokines in vitro. Human endothelial cells were cultured on nanopatterned polymeric substrates with different ratios of ridge to groove widths (1:1, 1:2, and 1:5) and with different stiffnesses (6.7 MPa and 2.5 GPa) in the presence and absence of 1.0 ng/mL TNF-α. The levels of cytokines/chemokines secreted into the conditioned media were analyzed with a multiplexed bead-based sandwich immunoassay. Of the nano-patterns tested, the 1:1 and 1:2 type-patterns were found to induce the greatest degree of endothelial cell elongation and directional alignment. The 1:2 type nanopatterns lowered the secretion of inflammatory cytokines such as IL-1β, IL-3 and MCP-1, compared to unpatterned substrates. Additionally, of the two polymers tested, it was found that the stiffer substrate resulted in significant decreases in the secretion of IL-3 and MCP-1. These results suggest that substrates with specific extracellular nanotopographical cues or stiffnesses may provide anti-atherogenic effects like those seen with laminar shear stresses by suppressing the endothelial secretion of cytokines and chemokines involved in vascular inflammation and remodeling. PMID:25658848

  20. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  1. Nanopatterned bulk metallic glass-based biomaterials modulate macrophage polarization.

    PubMed

    Shayan, Mahdis; Padmanabhan, Jagannath; Morris, Aaron H; Cheung, Bettina; Smith, Ryan; Schroers, Jan; Kyriakides, Themis R

    2018-06-01

    Polarization of macrophages by chemical, topographical and mechanical cues presents a robust strategy for designing immunomodulatory biomaterials. Here, we studied the ability of nanopatterned bulk metallic glasses (BMGs), a new class of metallic biomaterials, to modulate murine macrophage polarization. Cytokine/chemokine analysis of IL-4 or IFNγ/LPS-stimulated macrophages showed that the secretion of TNF-α, IL-1α, IL-12, CCL-2 and CXCL1 was significantly reduced after 24-hour culture on BMGs with 55 nm nanorod arrays (BMG-55). Additionally, under these conditions, macrophages increased phagocytic potential and exhibited decreased cell area with multiple actin protrusions. These in vitro findings suggest that nanopatterning can modulate biochemical cues such as IFNγ/LPS. In vivo evaluation of the subcutaneous host response at 2 weeks demonstrated that the ratio of Arg-1 to iNOS increased in macrophages adjacent to BMG-55 implants, suggesting modulation of polarization. In addition, macrophage fusion and fibrous capsule thickness decreased and the number and size of blood vessels increased, which is consistent with changes in macrophage responses. Our study demonstrates that nanopatterning of BMG implants is a promising technique to selectively polarize macrophages to modulate the immune response, and also presents an effective tool to study mechanisms of macrophage polarization and function. Implanted biomaterials elicit a complex series of tissue and cellular responses, termed the foreign body response (FBR), that can be influenced by the polarization state of macrophages. Surface topography can influence polarization, which is broadly characterized as either inflammatory or repair-like. The latter has been linked to improved outcomes of the FBR. However, the impact of topography on macrophage polarization is not fully understood, in part, due to a lack of high moduli biomaterials that can be reproducibly processed at the nanoscale. Here, we studied

  2. Study of strain boundary conditions and GaAs buffer sizes in InGaAs quantum dots

    NASA Technical Reports Server (NTRS)

    Oyafuso, F.; Klimeck, G.; Boykin, T. B.; Bowen, R. C.; Allmen, P. von

    2003-01-01

    NEMO 3-D has been developed for the simulation of electronic structure in self-assembled InGaAs quantum dots on GaAs substrates. Typical self-assembled quantum dots in that material system contain about 0.5 to 1 million atoms. Effects of strain by the surrounding GaAs buffer modify the electronic structure inside the quantum dot significantly and a large GaAs buffer must be included in the strain and electronic structure.

  3. Effect of nanopatterning on mechanical properties of Lithium anode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Campbell, Colin; Lee, Yong Min; Cho, Kuk Young

    One of the challenges in developing Lithium anodes for Lithium ion batteries (LIB) is controlling the formation of Li dendrites during cycling of the battery. Nanostructuring and nanopatterning of electrodes shows a promising way to suppress the growth of Li dendrites. However, in order to control this behavior, a fundamental understanding of the effect of nanopatterning on the electromechanical properties of Li metal is necessary. In this paper, we have investigated the mechanical and wear properties of Li metal using Atomic Force Microscopy (AFM) in an airtight cell. By using different load regimes, we determined the mechanical properties of Limore » metal. Here, we show that as a result of nanopatterning, Li metal surface underwent work hardening due to residual compressive stress. The presence of such stresses can help to improve cycle lifetime of LIBs with Li anodes and obtain very high energy densities.« less

  4. Effect of nanopatterning on mechanical properties of Lithium anode

    DOE PAGES

    Campbell, Colin; Lee, Yong Min; Cho, Kuk Young; ...

    2018-02-06

    One of the challenges in developing Lithium anodes for Lithium ion batteries (LIB) is controlling the formation of Li dendrites during cycling of the battery. Nanostructuring and nanopatterning of electrodes shows a promising way to suppress the growth of Li dendrites. However, in order to control this behavior, a fundamental understanding of the effect of nanopatterning on the electromechanical properties of Li metal is necessary. In this paper, we have investigated the mechanical and wear properties of Li metal using Atomic Force Microscopy (AFM) in an airtight cell. By using different load regimes, we determined the mechanical properties of Limore » metal. Here, we show that as a result of nanopatterning, Li metal surface underwent work hardening due to residual compressive stress. The presence of such stresses can help to improve cycle lifetime of LIBs with Li anodes and obtain very high energy densities.« less

  5. Nanopattern-guided growth of single-crystal silicon on amorphous substrates and high-performance sub-100 nm thin-film transistors for three-dimensional integrated circuits

    NASA Astrophysics Data System (ADS)

    Gu, Jian

    This thesis explores how nanopatterns can be used to control the growth of single-crystal silicon on amorphous substrates at low temperature, with potential applications on flat panel liquid-crystal display and 3-dimensional (3D) integrated circuits. I first present excimer laser annealing of amorphous silicon (a-Si) nanostructures on thermally oxidized silicon wafer for controlled formation of single-crystal silicon islands. Preferential nucleation at pattern center is observed due to substrate enhanced edge heating. Single-grain silicon is obtained in a 50 nm x 100 nm rectangular pattern by super lateral growth (SLG). Narrow lines (such as 20-nm-wide) can serve as artificial heterogeneous nucleation sites during crystallization of large patterns, which could lead to the formation of single-crystal silicon islands in a controlled fashion. In addition to eximer laser annealing, NanoPAtterning and nickel-induced lateral C&barbelow;rystallization (NanoPAC) of a-Si lines is presented. Single-crystal silicon is achieved by NanoPAC. The line width of a-Si affects the grain structure of crystallized silicon lines significantly. Statistics show that single-crystal silicon is formed for all lines with width between 50 nm to 200 nm. Using in situ transmission electron microscopy (TEM), nickel-induced lateral crystallization (Ni-ILC) of a-Si inside a pattern is revealed; lithography-constrained single seeding (LISS) is proposed to explain the single-crystal formation. Intragrain line and two-dimensional defects are also studied. To test the electrical properties of NanoPAC silicon films, sub-100 nm thin-film transistors (TFTs) are fabricated using Patten-controlled crystallization of Ṯhin a-Si channel layer and H&barbelow;igh temperature (850°C) annealing, coined PaTH process. PaTH TFTs show excellent device performance over traditional solid phase crystallized (SPC) TFTs in terms of threshold voltage, threshold voltage roll-off, leakage current, subthreshold swing, on

  6. Resonant electronic Raman scattering of below-gap states in molecular-beam epitaxy grown and liquid-encapsulated Czochralski grown GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fluegel, B.; Rice, A. D.; Mascarenhas, A.

    Resonant electronic Raman (ER) scattering is used to compare the below-gap excitations in molecular-beam epitaxially grown GaAs and in undoped semi-insulating GaAs substrates. The measurement geometry was designed to eliminate common measurement artifacts caused by the high optical transmission below the fundamental absorption edge. In epitaxial GaAs, ER is a clear Raman signal from the two-electron transitions of donors, eliminating an ambiguity encountered in previous results. In semi-insulating GaAs, ER occurs in a much broader dispersive band well below the bound exciton energies. Furthermore, the difference in the two materials may be due to the occupation of the substrate acceptormore » states in the presence of the midgap state EL2.« less

  7. First results from GaAs double-sided detectors

    NASA Astrophysics Data System (ADS)

    Beaumont, S. P.; Bertin, R.; Booth, C. N.; Buttar, C.; Carraresi, L.; Cindolo, F.; Colocci, M.; Combley, F. H.; D'Auria, S.; del Papa, C.; Dogru, M.; Edwards, M.; Foster, F.; Francescato, A.; Gowdy, S.; Gray, R.; Hill, G.; Hou, Y.; Houston, P.; Hughes, G.; Jones, B. K.; Lynch, J. G.; Lisowski, B.; Matheson, J.; Nava, F.; Nuti, M.; O'Shea, V.; Pelfer, P. G.; Raine, C.; Santana, J.; Saunders, I. J.; Seller, P. H.; Shankar, K.; Sharp, P. H.; Skillicorn, I. O.; Sloan, T.; Smith, K. M.; ten Have, I.; Turnbull, R. M.; Vanni, U.; Zichichi, A.

    1994-09-01

    Preliminary results are presented on the performance of double-sided microstrip detectors using Schottky contacts on both sides of a semi-insulating (SI) GaAs substrate wafer, after exposure to 10 14 neutrons cm -2 at the ISIS facility. A qualitative explanation of the device behaviour is given.

  8. Epitaxial lateral overgrowth of GaAs: effect of doping on LPE growth behaviour

    NASA Astrophysics Data System (ADS)

    Zytkiewicz, Z. R.; Dobosz, D.; Pawlowska, M.

    1999-05-01

    Results of epitaxial lateral overgrowth (ELO) of GaAs on (001) GaAs substrates by liquid phase epitaxy are reported. We show that by introducing Si, Sn or Te impurities to the Ga-As solution the vertical growth rate is reduced while the lateral growth rate is significantly enhanced, which leads to a growth habit modification. Furthermore, the impurity incorporation into the growing layer is different on the upper and side surfaces of the ELO, reflecting the fundamental differences between the lateral and vertical growth modes. This phenomenon can be applied for studying the temporal development of ELO layers.

  9. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  10. Density of dislocations in CdHgTe heteroepitaxial structures on GaAs(013) and Si(013) substrates

    NASA Astrophysics Data System (ADS)

    Sidorov, Yu. G.; Yakushev, M. V.; Varavin, V. S.; Kolesnikov, A. V.; Trukhanov, E. M.; Sabinina, I. V.; Loshkarev, I. D.

    2015-11-01

    Epitaxial layers of Cd x Hg1- x Te (MCT) on GaAs(013) and Si(013) substrates were grown by molecular beam epitaxy. The introduction of ZnTe and CdTe intermediate layers into the structures made it possible to retain the orientation close to that of the substrate in MCT epitaxial layers despite the large mismatch between the lattice parameters. The structures were investigated using X-ray diffraction and transmission electron microscopy. The dislocation families predominantly removing the mismatch between the lattice parameters were found. Transmission electron microscopy revealed Γ-shaped misfit dislocations (MDs), which facilitated the annihilation of threading dislocations. The angles of rotation of the lattice due to the formation of networks of misfit dislocations were measured. It was shown that the density of threading dislocations in the active region of photodiodes is primarily determined by the network of misfit dislocations formed in the MCT/CdTe heterojunction. A decrease in the density of threading dislocations in the MCT film was achieved by cyclic annealing under conditions of the maximally facilitated nonconservative motion of dislocations. The dislocation density was determined from the etch pits.

  11. Direct patterning of negative nanostructures on self-assembled monolayers of 16-mercaptohexadecanoic acid on Au(111) substrate via dip-pen nanolithography

    NASA Astrophysics Data System (ADS)

    Zheng, Zhikun; Yang, Menglong; Liu, Yaqing; Zhang, Bailin

    2006-11-01

    Both bare and self-assembled monolayer (SAM) protected gold substrate could be etched by allyl bromide according to atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometric (ICPMS) analysis results. With this allyl bromide ink material, negative nanopatterns could be fabricated directly by dip-pen nanolithography (DPN) on SAMs of 16-mercaptohexadecanoic acid (MHA) on Au(111) substrate. A tip-promoted etching mechanism was proposed where the gold-reactive ink could penetrate the MHA resist film through tip-induced defects resulting in local corrosive removal of the gold substrate. The fabrication mechanism was also confirmed by electrochemical characterization, energy dispersive spectroscopy (EDS) analysis and fabrication of positive nanopatterns via a used DPN tip.

  12. LEED and AES characterization of the GaAs(110)-ZnSe interface

    NASA Technical Reports Server (NTRS)

    Tu, D.-W.; Kahn, A.

    1984-01-01

    In this paper, a study is conducted of the composition and structure of epitaxial ZnSe films grown by congruent evaporation on GaAs(110) at a rate of 2 A/min. It is found that the films grown on 300 C GaAs are nearly stoichiometric and form an abrupt interface with the substrate. Films grown at higher temperature (T greater than 350-400 C) are Se rich. The crystallinity of films grown at 300 C is good and their surface atomic geometry is identical to that of a ZnSe crystal. The GaAs-ZnSe interface geometry seems to be dominated by the Se-substrate bonds. The adsorption of Se, during the formation of very thin ZnSe films (2-3 A), produces a (1 x 2) LEED pattern and modifications of the LEED I-V profiles, which probably indicate a change in the substrate atomic relaxation.

  13. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    NASA Astrophysics Data System (ADS)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  14. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  15. Single crystal and polycrystalline GaAs solar cells using AMOS technology

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1976-01-01

    A description is given of current technology for fabricating single AMOS (antireflection-coated metal oxide semiconductor) solar cells, with attention given to thermal, plasma, and anodic oxidation, native oxide stripping, and X-ray photoelectron spectroscopy results. Some preliminary results are presented on the chemistry and electrical characterization of such cells, and the characteristics of cells fabricated on sliced polycrystalline GaAs wafers are examined. Consideration is also given to the recrystallization of evaporated Ge films for use as low-cost substrates for polycrystalline GaAs solar cells.

  16. Elastomeric nanoparticle composites covalently bound to Al2O3/GaAs surfaces.

    PubMed

    Song, Hyon Min; Ye, Peide D; Ivanisevic, Albena

    2007-08-28

    This article reports the modification of Al2O3/GaAs surfaces with multifunctional soft materials. Siloxane elastomers were covalently bound to dopamine-modified Al2O3/GaAs semiconductor surfaces using MPt (M = Fe, Ni) nanoparticles. The sizes of the monodisperse FePt and NiPt nanoparticles were less than 5 nm. The surfaces of the nanoparticles as well as the Al2O3/GaAs substrates were modified with allyl-functionalized dopamine that utilized a dihydroxy group as a strong ligand. The immobilization of the elastomers was performed via a hydrosilation reaction of the allyl-functionalized dopamines with the siloxane backbones. X-ray photoelectron spectroscopy (XPS) experiments confirmed the covalent bonding of the siloxane elastomers to the oxide layer on the semiconductor surface. Fourier transform-infrared reflection absorption spectroscopy (FT-IRRAS) measurements revealed that the allyl functional groups are bonded to the siloxane backbones. The FT-IRRAS data also showed that the density of the allyl groups on the surface was lower than that of the siloxane backbones. The mechanical properties of the surface-bound nanocomposites were tested using nanoindentation experiments. The nanoindentation data showed that the soft matrix composed of the elastomeric coating on the surfaces behaves differently from the inner, hard Al2O3/GaAs substrate.

  17. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    PubMed

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  18. Structural and optical properties of GaAs(100) with a thin surface layer doped with chromium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Fedyukin, A. V.; Arsentyev, I. N.

    The aim of this study is to explore the structural and optical properties of single-crystal GaAs(100) doped with Cr atoms by burning them into the substrate at high temperatures. The diffusion of chromium into single-crystal GaAs(100) substrates brings about the formation of a thin (~20–40 μm) GaAs:Cr transition layer. In this case, chromium atoms are incorporated into the gallium-arsenide crystal lattice and occupy the regular atomic sites of the metal sublattice. As the chromium diffusion time is increased, such behavior of the dopant impurity yields changes in the energy structure of GaAs, a decrease in the absorption at free chargemore » carriers, and a lowering of the surface recombination rate. As a result, the photoluminescence signal from the sample is significantly enhanced.« less

  19. Photoconductive antennas based on epitaxial films In0.5Ga0.5As on GaAs (1 1 1)A and (1 0 0)A substrates with a metamorphic buffer

    NASA Astrophysics Data System (ADS)

    Kuznetsov, K. A.; Galiev, G. B.; Kitaeva, G. Kh; Kornienko, V. V.; Klimov, E. A.; Klochkov, A. N.; Leontyev, A. A.; Pushkarev, S. S.; Maltsev, P. P.

    2018-07-01

    The terahertz (THz) wave generation by the spiral photoconductive antennas fabricated on the low-temperature and high-temperature grown undoped and Si-doped In0.5Ga0.5As films is studied by the terahertz time-domain spectroscopy method. The In0.5Ga0.5As layers were grown by molecular beam epitaxy on GaAs substrates with (1 0 0) and (1 1 1)A crystallographic orientations utilizing step-graded In x Ga1‑x As metamorphic buffer. The antennas are excited by radiation of Er3+-fiber laser at 1.56 μm wavelength in two regimes: with pulse durations of 2.5 ps or 100 fs. It is found that the THz wave generation is 3–4 times more effective in the case of InGaAs-based antennas on (1 1 1)A GaAs substrates as compared to the (1 0 0) substrates. Power-voltage characteristic of the LT-InGaAs antenna up to and beyond threshold breakdown voltage are reported.

  20. Electrical properties of spin coated ultrathin titanium oxide films on GaAs

    NASA Astrophysics Data System (ADS)

    Dutta, Shankar; Pal, Ramjay; Chatterjee, Ratnamala

    2015-04-01

    In recent years, ultrathin (<50 nm) metal oxide films have been being extensively studied as high-k dielectrics for future metal oxide semiconductor (MOS) technology. This paper discusses deposition of ultrathin TiO2 films (˜10 nm) on GaAs substrates (one sulfur-passivated, another unpassivated) by spin coating technique. The sulfur passivation is done to reduce the surface states of GaAs substrate. After annealing at 400 °C in a nitrogen environment, the TiO2 films are found to be polycrystalline in nature with rutile phase. The TiO2 films exhibit consistent grain size of 10-20 nm with thickness around 10-12 nm. Dielectric constants of the films are found to be 65.4 and 47.1 corresponding to S-passivated and unpassivated substrates, respectively. Corresponding threshold voltages of the MOS structures are measured to be -0.1 V to -0.3 V for the S-passivated and unpassivated samples, respectively. The S-passivated TiO2 film showed improved (lower) leakage current density (5.3 × 10-4 A cm-2 at 3 V) compared to the unpassivated film (1.8 × 10-3 A/cm2 at 3 V). Dielectric breakdown-field of the TiO2 films on S-passivated and unpassivated GaAs samples are found to be 8.4 MV cm-1 and 7.2 MV cm-1 respectively.

  1. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    NASA Astrophysics Data System (ADS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-08-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  2. Strain relaxation induced surface morphology of heterogeneous GaInNAs layers grown on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Gelczuk, Ł.; Jóźwiak, G.; Moczała, M.; Dłużewski, P.; Dąbrowska-Szata, M.; Gotszalk, T. P.

    2017-07-01

    The partially-relaxed heterogeneous GaInNAs layers grown on GaAs substrate by atmospheric pressure vapor phase epitaxy (AP-MOVPE) were investigated by transmission electron microscopy (TEM) and atomic force microscopy (AFM). The planar-view TEM image shows a regular 2D network of misfit dislocations oriented in two orthogonal 〈1 1 0〉 crystallographic directions at the (0 0 1) layer interface. Moreover, the cross-sectional view TEM image reveals InAs-rich and V-shaped precipitates in the near surface region of the GaInNAs epitaxial layer. The resultant undulating surface morphology, known as a cross-hatch pattern, is formed as observed by AFM. The numerical analysis of the AFM image of the GaInNAs layer surface with the well-defined cross-hatch morphology enabled us to determine a lower bound of actual density of misfit dislocations. However, a close correspondence between the asymmetric distribution of interfacial misfit dislocations and undulating surface morphology is observed.

  3. ZnSe Window Layers for GaAs and GaInP2 Solar Cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.

    1997-01-01

    This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.

  4. Monolithic optical integrated control circuitry for GaAs MMIC-based phased arrays

    NASA Technical Reports Server (NTRS)

    Bhasin, K. B.; Ponchak, G. E.; Kascak, T. J.

    1985-01-01

    Gallium arsenide (GaAs) monolithic microwave integrated circuits (MMIC's) show promise in phased-array antenna applications for future space communications systems. Their efficient usage will depend on the control of amplitude and phase signals for each MMIC element in the phased array and in the low-loss radiofrequency feed. For a phased array contining several MMIC elements a complex system is required to control and feed each element. The characteristics of GaAs MMIC's for 20/30-GHz phased-array systems are discussed. The optical/MMIC interface and the desired characteristics of optical integrated circuits (OIC's) for such an interface are described. Anticipated fabrication considerations for eventual full monolithic integration of optical integrated circuits with MMIC's on a GaAs substrate are presented.

  5. Formation of surface nanobubbles on nanostructured substrates.

    PubMed

    Wang, Lei; Wang, Xingya; Wang, Liansheng; Hu, Jun; Wang, Chun Lei; Zhao, Binyu; Zhang, Xuehua; Tai, Renzhong; He, Mengdong; Chen, Liqun; Zhang, Lijuan

    2017-01-19

    The nucleation and stability of nanoscale gas bubbles located at a solid/liquid interface are attracting significant research interest. It is known that the physical and chemical properties of the solid surface are crucial for the formation and properties of the surface nanobubbles. Herein, we experimentally and numerically investigated the formation of nanobubbles on nanostructured substrates. Two kinds of nanopatterned surfaces, namely, nanotrenches and nanopores, were fabricated using an electron beam lithography technique and used as substrates for the formation of nanobubbles. Atomic force microscopy images showed that all nanobubbles were selectively located on the hydrophobic domains but not on the hydrophilic domains. The sizes and contact angles of the nanobubbles became smaller with a decrease in the size of the hydrophobic domains. The results indicated that the formation and stability of the nanobubbles could be controlled by regulating the sizes and periods of confinement of the hydrophobic nanopatterns. The experimental results were also supported by molecular dynamics simulations. The present study will be very helpful for understanding the effects of surface features on the nucleation and stability of nanobubbles/nanodroplets at a solid/liquid interface.

  6. Surface ordering of (In,Ga)As quantum dots controlled by GaAs substrate indexes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zh.M.; Seydmohamadi, Sh.; Lee, J.H.

    Self-organized surface ordering of (In,Ga)As quantum dots in a GaAs matrix was investigated using stacked multiple quantum dot layers prepared by molecular-beam epitaxy. While one-dimensional chain-like ordering is formed on singular and slightly misorientated GaAs(100) surfaces, we report on two-dimensional square-like ordering that appears on GaAs(n11)B, where n is 7, 5, 4, and 3. Using a technique to control surface diffusion, the different ordering patterns are found to result from the competition between anisotropic surface diffusion and anisotropic elastic matrix, a similar mechanism suggested before by Solomon [Appl. Phys. Lett. 84, 2073 (2004)].

  7. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to < 11\\bar{1}> . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  8. A Highly Efficient Sensor Platform Using Simply Manufactured Nanodot Patterned Substrates

    PubMed Central

    Rasappa, Sozaraj; Ghoshal, Tandra; Borah, Dipu; Senthamaraikannan, Ramsankar; Holmes, Justin D.; Morris, Michael A.

    2015-01-01

    Block copolymer (BCP) self-assembly is a low-cost means to nanopattern surfaces. Here, we use these nanopatterns to directly print arrays of nanodots onto a conducting substrate (Indium Tin Oxide (ITO) coated glass) for application as an electrochemical sensor for ethanol (EtOH) and hydrogen peroxide (H2O2) detection. The work demonstrates that BCP systems can be used as a highly efficient, flexible methodology for creating functional surfaces of materials. Highly dense iron oxide nanodots arrays that mimicked the original BCP pattern were prepared by an ‘insitu’ BCP inclusion methodology using poly(styrene)-block-poly(ethylene oxide) (PS-b-PEO). The electrochemical behaviour of these densely packed arrays of iron oxide nanodots fabricated by two different molecular weight PS-b-PEO systems was studied. The dual detection of EtOH and H2O2 was clearly observed. The as-prepared nanodots have good long term thermal and chemical stability at the substrate and demonstrate promising electrocatalytic performance. PMID:26290188

  9. Ultra-high-frequency microwave response from flexible transparent Au electromagnetic metamaterial nanopatterned antenna.

    PubMed

    Liu, Dingxin; Niu, Jiebin; Zhu, Haolin; Zhang, Jianyong

    2018-02-09

    Flexible transparent materials are a hot spot in current research but also a key technical difficulty in industry. They are playing an increasingly important role in flexible transparent display applications such as organic light-emitting diodes, transparent electrodes, and so on. On the other hand, the present research on nanopatterned antennas is mainly concentrated on the optical frequency but rarely on the microwave (such as 3G, 4G, and 5G) and terahertz frequency band communications, where nanopatterned antennas can have many novel applications. To the authors' knowledge, this is the first paper that presents a method for preparing a flexible transparent Au electromagnetic metamaterial nanopatterned antenna. We study its free-space performance at ultra-high frequency and its application in electronic products such as smartphones, tablets, personal computers, and wearable devices (such as smart watches) which have the function of mobile communication. The experimental results showed that the transparency of the antenna designed and fabricated in this work can be as high as 94%, and its efficiency can reach 74.5%-91.9% of antennas commonly seen at present in academia and industry. By adjusting the capacitive and inductive reactance of the nanopatterned antenna's matching circuit, combined with its measured efficiency and 3D electromagnetic simulation results, we speculate on the mechanism of the Au electromagnetic metamaterial nanopatterned antenna with good performance.

  10. Ultra-high-frequency microwave response from flexible transparent Au electromagnetic metamaterial nanopatterned antenna

    NASA Astrophysics Data System (ADS)

    Liu, Dingxin; Niu, Jiebin; Zhu, Haolin; Zhang, Jianyong

    2018-02-01

    Flexible transparent materials are a hot spot in current research but also a key technical difficulty in industry. They are playing an increasingly important role in flexible transparent display applications such as organic light-emitting diodes, transparent electrodes, and so on. On the other hand, the present research on nanopatterned antennas is mainly concentrated on the optical frequency but rarely on the microwave (such as 3G, 4G, and 5G) and terahertz frequency band communications, where nanopatterned antennas can have many novel applications. To the authors’ knowledge, this is the first paper that presents a method for preparing a flexible transparent Au electromagnetic metamaterial nanopatterned antenna. We study its free-space performance at ultra-high frequency and its application in electronic products such as smartphones, tablets, personal computers, and wearable devices (such as smart watches) which have the function of mobile communication. The experimental results showed that the transparency of the antenna designed and fabricated in this work can be as high as 94%, and its efficiency can reach 74.5%-91.9% of antennas commonly seen at present in academia and industry. By adjusting the capacitive and inductive reactance of the nanopatterned antenna’s matching circuit, combined with its measured efficiency and 3D electromagnetic simulation results, we speculate on the mechanism of the Au electromagnetic metamaterial nanopatterned antenna with good performance.

  11. Enhancement of conductance of GaAs sub-microwires under external stimuli

    NASA Astrophysics Data System (ADS)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  12. Heteroepitaxial growth of Ge films on (100) GaAs by pyrolysis of digermane

    NASA Astrophysics Data System (ADS)

    Eres, Djula; Lowndes, Douglas H.; Tischler, J. Z.; Sharp, J. W.; Geohegan, D. B.; Pennycook, S. J.

    1989-08-01

    Pyrolysis of high-purity digermane (Ge2 H6 ) has been used to grow epitaxial Ge films of high crystalline quality on (100) GaAs substrates in a low-pressure environment. X-ray double-crystal diffractometry shows that fully commensurate, coherently strained epitaxial Ge films can be grown on (100) GaAs at digermane partial pressures of 0.05-40 mTorr for substrate temperatures of 380-600 °C. Amorphous films also were deposited. Information about the crystalline films surface morphology, growth mode, and microstructure was obtained from scanning electron microscopy, cross-section transmission electron microscopy, and in situ reflectivity measurements. The amorphous-to-crystalline transition temperature and the morphology of the crystalline films were both found to depend on deposition conditions (primarily the incidence rate of Ge-bearing species and the substrate temperature). Epitaxial growth rates using digermane were found to be about two orders of magnitude higher than rates using germane (GeH4 ) under similar experimental conditions.

  13. Effects of surface passivation on twin-free GaAs nanosheets.

    PubMed

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  14. Nanopatterning of swinging substrates by ion-beam sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoon, Sun Mi; Kim, J.-S., E-mail: jskim@sm.ac.kr

    Graphite substrates are azimuthally swung during ion-beam sputtering (IBS) at a polar angle θ = 78° from the surface normal. The swinging of the substrate not only causes quasi-two-dimensional mass transport but also makes various sputter effects from the different incident angles to work together. Through variation of the swing angle, both the transport and sputtering effects synergistically produce a series of salient patterns, such as asymmetric wall-like structures, which can grow to several tens of nanometers and exhibit a re-entrant orientational change with the increased swing angle. Thus, the present work demonstrates that dynamic variables such as the swing angle, whichmore » have been little utilized, offer an additional parameter space that can be exploited to diversify the sputtered patterns, thereby expanding the applicability of an IBS as well as the comprehension of the IBS nano patterning mechanism.« less

  15. Direct nano-patterning of graphene with helium ion beams

    NASA Astrophysics Data System (ADS)

    Naitou, Y.; Iijima, T.; Ogawa, S.

    2015-01-01

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO2/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He+). Doses of 2.0 × 1016 He+ cm-2 from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He+ in a non-monotonic fashion. Increasing the dose from 2.0 × 1016 to 5.0 × 1016 He+ cm-2 improved the spatial resolution to several tens of nanometers. However, doses greater than 1.0 × 1017 He+ cm-2 degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.

  16. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longermore » lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.« less

  17. Growth of lattice-matched GaInAsP grown on vicinal GaAs(001) substrates within the miscibility gap for solar cells

    DOE PAGES

    Oshima, Ryuji; France, Ryan M.; Geisz, John F.; ...

    2016-10-13

    The growth of quaternary Ga 0.68In 0.32As 0.35P 0.65 by metal-organic vapor phase epitaxy is very sensitive to growth conditions because the composition is within a miscibility gap. In this investigation, we fabricated 1 um-thick lattice-matched GaInAsP films grown on GaAs(001) for application to solar cells. In order to characterize the effect of the surface diffusion of adatoms on the material quality of alloys, the growth temperature and substrate miscut are varied. Transmission electron microscopy and two-dimensional in-situ multi-beam optical stress determine that growth temperatures of 650 degrees C and below enhance the formation of the CuPtB atomic ordering andmore » suppress material decomposition, which is found to occur at the growth surface. The root-mean-square (RMS) roughness is reduced from 33.6 nm for 750 degrees C to 1.62 nm for 650 degrees C, determined by atomic force microscopy. Our initial investigations show that the RMS roughness can be further reduced using increased miscut angle, and substrates miscut toward (111)A, leading to an RMS roughness of 0.56 nm for the sample grown at 600 degrees C on GaAs miscut 6 degrees toward (111)A. Using these conditions, we fabricate an inverted hetero-junction 1.62 eV Ga 0.68In 0.32As 0.35P 0.65 solar cell without an anti-reflection coating with a short-circuit current density, open-circuit voltage, fill factor, and efficiency of 12.23 mA/cm2, 1.12 V, 86.18%, and 11.80%, respectively.« less

  18. Molecular beam epitaxial growth and structural characterization of ZnS on (001) GaAs

    NASA Technical Reports Server (NTRS)

    Benz, R. G., II; Huang, P. C.; Stock, S. R.; Summers, C. J.

    1988-01-01

    The effect of surface nucleation processes on the quality of ZnS layers grown on (001) GaAs substrates by molecular beam epitaxy is reported. Reflection high energy electron diffraction indicated that nucleation at high temperatures produced more planar surfaces than nucleation at low temperatures, but the crystalline quality as assessed by X-ray double crystal diffractometry is relatively independent of nucleation temperature. A critical factor in layer quality was the initial roughness of the GaAs surfaces.

  19. Enhanced Light Extraction from OLEDs Fabricated on Patterned Plastic Substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hippola, Chamika; Kaudal, Rajiv; Manna, Eeshita

    A key scientific and technological challenge in organic light-emitting diodes (OLEDs) is enhancing the light outcoupling factor η out, which is typically <20%. This paper reports experimental and modeling results of a promising approach to strongly increase η out by fabricating OLEDs on novel flexible nanopatterned substrates that result in a >2× enhancement in green phosphorescent OLEDs (PhOLEDs) fabricated on corrugated polycarbonate (PC). The external quantum efficiency (EQE) reaches 50% (meaning ηout ≥50%); it increases 2.6x relative to a glass/ITO device and 2× relative to devices on glass/poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) or flat PC/PEDOT:PSS. A significant enhancement is also observed formore » blue PhOLEDs with EQE 1.7× relative to flat PC. The corrugated PC substrates are fabricated efficiently and cost-effectively by direct room-temperature molding. These substrates successfully reduce photon losses due to trapping/waveguiding in the organic+anode layers and possibly substrate, and losses to plasmons at the metal cathode. Focused ion beam gauged the conformality of the OLEDs. Dome-shaped convex nanopatterns with height of ~280–400 nm and pitch ~750–800 nm were found to be optimal. Lastly, substrate design and layer thickness simulations, reported first for patterned devices, agree with the experimental results that present a promising method to mitigate photon loss paths in OLEDs.« less

  20. Enhanced Light Extraction from OLEDs Fabricated on Patterned Plastic Substrates

    DOE PAGES

    Hippola, Chamika; Kaudal, Rajiv; Manna, Eeshita; ...

    2018-02-19

    A key scientific and technological challenge in organic light-emitting diodes (OLEDs) is enhancing the light outcoupling factor η out, which is typically <20%. This paper reports experimental and modeling results of a promising approach to strongly increase η out by fabricating OLEDs on novel flexible nanopatterned substrates that result in a >2× enhancement in green phosphorescent OLEDs (PhOLEDs) fabricated on corrugated polycarbonate (PC). The external quantum efficiency (EQE) reaches 50% (meaning ηout ≥50%); it increases 2.6x relative to a glass/ITO device and 2× relative to devices on glass/poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) or flat PC/PEDOT:PSS. A significant enhancement is also observed formore » blue PhOLEDs with EQE 1.7× relative to flat PC. The corrugated PC substrates are fabricated efficiently and cost-effectively by direct room-temperature molding. These substrates successfully reduce photon losses due to trapping/waveguiding in the organic+anode layers and possibly substrate, and losses to plasmons at the metal cathode. Focused ion beam gauged the conformality of the OLEDs. Dome-shaped convex nanopatterns with height of ~280–400 nm and pitch ~750–800 nm were found to be optimal. Lastly, substrate design and layer thickness simulations, reported first for patterned devices, agree with the experimental results that present a promising method to mitigate photon loss paths in OLEDs.« less

  1. Defects in GaAs films grown by MOMBE

    NASA Astrophysics Data System (ADS)

    Werner, K.; Heinecke, H.; Weyers, M.; Lüth, H.; Balk, P.

    1987-02-01

    The nature and densities of the defects obtained in MOMBE GaAs films have been studied. In addition to particulate matter deposited on the surface, imperfections in the substrate will lead to defect generation. Furthermore, the rate of generation is strongly affected by the ratio of the pressures of the group III alkyl and the group V hydride in the molecular beams and by the growth temperature, also on defect-free substrates. Doping has no effect on the defect structure of the surface. By proper choice of experimental conditions defect densities below 100 cm -2 may be consistently obtained.

  2. Synchronized femtosecond laser pulse switching system based nano-patterning technology

    NASA Astrophysics Data System (ADS)

    Sohn, Ik-Bu; Choi, Hun-Kook; Yoo, Dongyoon; Noh, Young-Chul; Sung, Jae-Hee; Lee, Seong-Ku; Ahsan, Md. Shamim; Lee, Ho

    2017-07-01

    This paper demonstrates the design and development of a synchronized femtosecond laser pulse switching system and its applications in nano-patterning of transparent materials. Due to synchronization, we are able to control the location of each irradiated laser pulse in any kind of substrate. The control over the scanning speed and scanning step of the laser beam enables us to pattern periodic micro/nano-metric holes, voids, and/or lines in various materials. Using the synchronized laser system, we pattern synchronized nano-holes on the surface of and inside various transparent materials including fused silica glass and polymethyl methacrylate to replicate any image or pattern on the surface of or inside (transparent) materials. We also investigate the application areas of the proposed synchronized femtosecond laser pulse switching system in a diverse field of science and technology, especially in optical memory, color marking, and synchronized micro/nano-scale patterning of materials.

  3. Self-ordering of InAs nanostructures on (631)A/B GaAs substrates

    NASA Astrophysics Data System (ADS)

    Eugenio-López, Eric; Alejandro Mercado-Ornelas, Christian; Kisan Patil, Pallavi; Cortes-Mestizo, Irving Eduardo; Ángel Espinoza-Figueroa, José; Gorbatchev, Andrei Yu; Shimomura, Satoshi; Ithsmel Espinosa-Vega, Leticia; Méndez-García, Víctor Hugo

    2018-02-01

    The high order self-organization of quantum dots is demonstrated in the growth of InAs on a GaAs(631)-oriented crystallographic plane. The unidimensional ordering of the quantum dots (QDs) strongly depends on the As flux beam equivalent pressure (P As) and the cation/anion terminated surface, i.e., A- or B-type GaAs(631). The self-organization of QDs occurs for both surface types along [\\bar{1}13], while the QD shape and size distribution were found to be different for the self-assembly on the A- and B-type surfaces. In addition, the experiments showed that any misorientation from the (631) plane, which results from the buffer layer waviness, does not allow a high order of unidimensional arrangements of QDs. The optical properties were studied by photoluminescence spectroscopy, where good correspondence was obtained between the energy transitions and the size of the QDs.

  4. Nanopatterning of steel by one-step anodization for anti-adhesion of bacteria.

    PubMed

    Chen, Shiqiang; Li, Yuan; Cheng, Y Frank

    2017-07-13

    Surface nanopatterning of metals has been an effective technique for improved performance and functionalization. However, it is of great challenge to fabricate nanostructure on carbon steels despite their extensive use and urgent needs to maintain the performance reliability and durability. Here, we report a one-step anodization technique to nanopattern a carbon steel in 50 wt.% NaOH solution for highly effective anti-adhesion by sulphate reducing bacteria (SRB), i.e., Desulfovibrio desulfuricans subsp. desulfuricans (Beijerinck) Kluyver and van Niel. We characterize the morphology, structure, composition, and surface roughness of the nanostructured film formed on the steel as a function of anodizing potential. We quantify the surface hydrophobicity by contact angle measurements, and the SRB adhesion by fluorescent analysis. The optimal anodization potential of 2.0 V is determined for the best performance of anti-adhesion of SRB to the steel, resulting in a 23.5 times of reduction of SRB adhesion compared to bare steel. We discuss the mechanisms for the film formation on the steel during anodization, and the high-performance anti-adhesion of bacteria to nanopatterned steels. Our technique is simple, cost-effective and environment-friendly, providing a promising alternative for industry-scale surface nanopatterning of carbon steels for effective controlling of bacterial adhesion.

  5. Fermi level pinning at epitaxial Si on GaAs(100) interfaces

    NASA Astrophysics Data System (ADS)

    Silberman, J. A.; de Lyon, T. J.; Woodall, J. M.

    1991-12-01

    GaAs Schottky barrier contacts and metal-insulator-semiconductor structures that include thin epitaxial Si interfacial layers operate in a manner consistent with an unpinned Fermi level at the GaAs interface. These findings raise the question of whether this effect is an intrinsic property of the epitaxial GaAs(100)-Si interface. We have used x-ray photoemission spectroscopy to monitor the Fermi level position during in situ growth of thin epitaxial Si layers. In particular, films formed on heavily doped n- and p-type substrates were compared so as to use the large depletion layer fields available with high impurity concentration as a field-effect probe of the interface state density. The results demonstrate that epitaxial bonding at the interface alone is insufficient to eliminate Fermi level pinning, indicating that other mechanisms affect the interfacial charge balance in the devices that utilize Si interlayers.

  6. Rare-earth gate oxides for GaAs MOSFET application

    NASA Astrophysics Data System (ADS)

    Kwon, Kwang-Ho; Yang, Jun-Kyu; Park, Hyung-Ho; Kim, Jongdae; Roh, Tae Moon

    2006-08-01

    Rare-earth oxide films for gate dielectric on n-GaAs have been investigated. The oxide films were e-beam evaporated on S-passivated GaAs, considering interfacial chemical bonding state and energy band structure. Rare-earth oxides such as Gd 2O 3, (Gd xLa 1- x) 2O 3, and Gd-silicate were employed due to high resistivity and no chemical reaction with GaAs. Structural and bonding properties were characterized by X-ray photoemission, absorption, and diffraction. The electrical characteristics of metal-oxide-semiconductor (MOS) diodes were correlated with material properties and energy band structures to guarantee the feasibility for MOS field effect transistor (FET) application. Gd 2O 3 films were grown epitaxially on S-passivated GaAs (0 0 1) at 400 °C. The passivation induced a lowering of crystallization temperature with an epitaxial relationship of Gd 2O 3 (4 4 0) and GaAs (0 0 1). A better lattice matching relation between Gd 2O 3 and GaAs substrate was accomplished by the substitution of Gd with La, which has larger ionic radius. The in-plane relationship of (Gd xLa 1- x) 2O 3 (4 4 0) with GaAs (0 0 1) was found and the epitaxial films showed an improved crystalline quality. Amorphous Gd-silicate film was synthesized by the incorporation of SiO 2 into Gd 2O 3. These amorphous Gd-silicate films excluded defect traps or current flow path due to grain boundaries and showed a relatively larger energy band gap dependent on the contents of SiO 2. Energy band parameters such as Δ EC, Δ EV, and Eg were effectively controlled by the film composition.

  7. Frequency-tunable continuous-wave terahertz sources based on GaAs plasmonic photomixers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Shang-Hua; Jarrahi, Mona; Electrical Engineering Department, University of California Los Angeles, Los Angeles, California 90095

    2015-09-28

    We present frequency-tunable, continuous-wave terahertz sources based on GaAs plasmonic photomixers, which offer high terahertz radiation power levels at 50% radiation duty cycle. The use of plasmonic contact electrodes enhances photomixer quantum efficiency while maintaining its ultrafast operation by concentrating a large number of photocarriers in close proximity to the device contact electrodes. Additionally, the relatively high thermal conductivity and high resistivity of GaAs allow operation under high optical pump power levels and long duty cycles without reaching the thermal breakdown limit of the photomixer. We experimentally demonstrate continuous-wave terahertz radiation with a radiation frequency tuning range of more thanmore » 2 THz and a record-high radiation power of 17 μW at 1 THz through plasmonic photomixers fabricated on a low temperature grown GaAs substrate at 50% radiation duty cycle.« less

  8. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  9. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Morioka, N.; Mori, S.

    2014-02-07

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. Themore » relationship between effective mass and bulk band structure is discussed.« less

  10. Green, yellow and bright red (In,Ga,Al)P-GaP diode lasers grown on high-index GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ledentsov, N. N.; Shchukin, V. A.; Shernyakov, Yu. M.; Kulagina, M. M.; Payusov, A. S.; Gordeev, N. Yu.; Maximov, M. V.; Cherkashin, N. A.

    2017-02-01

    Low threshold current density (<400 A/cm2) injection lasing in (AlxGa1-x)0.5In0.5P-GaAs-based diodes down to the green spectral range (<570 nm) is obtained. The epitaxial structures are grown on high-index (611)A and (211)A GaAs substrates by metal-organic vapor phase epitaxy and contain tensile-strained GaP-enriched insertions aimed at preventing escape of the injected nonequilibrium electrons from the active region. Extended waveguide concept results in a vertical beam divergence with a full width at half maximum of 15o for (611)A substrates. The lasing at 569 nm is realized at 85 K. In the orange-red laser diode structure low threshold current density (200 A/cm2) in the orange spectral range (598 nm) is realized at 85 K. The latter devices demonstrate room temperature lasing at 628 nm at 2 kA/cm2 and a total power above 3W. The red laser diodes grown on (211)A substrates demonstrate vertically multimode lasing far field pattern indicating a lower optical confinement factor for the fundamental mode as compared to the devices grown on (611)A. However the temperature stability of the threshold current and the wavelength stability are significantly higher for (211)A-grown structures in agreement with the conduction band modeling data.

  11. High-efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1979-01-01

    GaAs chemical vapor deposition (CVD) growth on single-crystal GaAs substrates was investigated over a temperature range of 600 to 750 C, As/GA mole-ratio range of 3 to 11, and gas molefraction range 5 x 10 to the minus 9th power to 7x 10 to the minus 7th power for H2S doping. GasAs CVD growth on recrystallized Ge films was investigated for a temperature range of 550 to 700 C, an As/GA mole ratio of 5, and for various H2S mole fraction. The highest efficiency cell observed on these films with 2 mm dots was 4.8% (8% when AR-coated). Improvements in fill factor and opencircuit voltage by about 40% each are required in order to obtain efficiencies of 15% or greater.

  12. MBE growth of GaAs and InAs nanowires using colloidal Ag nanoparticles

    NASA Astrophysics Data System (ADS)

    Ilkiv, I. V.; Reznik, R. R.; Kotlyar, K. P.; Bouravleuv, A. D.; Cirlin, G. E.

    2017-11-01

    Ag colloidal nanoparticles were used as a catalyst for molecular beam epitaxy of GaAs and InAs nanowires on the Si(111) substrates. The scanning electron microscopy measurements revealed that nanowires obtained are uniform and have small size distribution.

  13. GaAs VLSI for aerospace electronics

    NASA Technical Reports Server (NTRS)

    Larue, G.; Chan, P.

    1990-01-01

    Advanced aerospace electronics systems require high-speed, low-power, radiation-hard, digital components for signal processing, control, and communication applications. GaAs VLSI devices provide a number of advantages over silicon devices including higher carrier velocities, ability to integrate with high performance optical devices, and high-resistivity substrates that provide very short gate delays, good isolation, and tolerance to many forms of radiation. However, III-V technologies also have disadvantages, such as lower yield compared to silicon MOS technology. Achieving very large scale integration (VLSI) is particularly important for fast complex systems. At very short gate delays (less than 100 ps), chip-to-chip interconnects severely degrade circuit clock rates. Complex systems, therefore, benefit greatly when as many gates as possible are placed on a single chip. To fully exploit the advantages of GaAs circuits, attention must be focused on achieving high integration levels by reducing power dissipation, reducing the number of devices per logic function, and providing circuit designs that are more tolerant to process and environmental variations. In addition, adequate noise margin must be maintained to ensure a practical yield.

  14. Structures, Properties and Defects of SrTiO3/GaAs Hetero-interfaces

    NASA Astrophysics Data System (ADS)

    Hong, Liang; Bhatnagar, Kunal; Droopad, Ravi; Öğüt, Serdar; Klie, Robert

    SrTiO3 thin film can be epitaxially grown on GaAs substrate and used as a platform for growing other oxides to create functional metal-oxide-semiconductor devices, where a high-quality SrTiO3/GaAs interface is essential. We studied the structural and electronic properties of SrTiO3/GaAs hetero-interfaces at atomic level using scanning transmission electron microscopy and first-principles calculations. Our results suggest the preferred termination of GaAs (001) is significantly dependent on the oxygen concentration in the first oxide layer. The favorable interface structure is characterized as oxygen-deficient SrO in contact with arsenic and is observed in both experiment and simulation. The electronic properties are calculated and found to be tunable by interfacial defects such as oxygen, gallium and arsenic vacancies. This work was supported by the National Science Foundation (Grant No. DMR-1408427). This work made use of instruments in the Electron Microscopy Service and the High Performance Computing Clusters at University of Illinois at Chicago.

  15. Resonance-Based Detection of Magnetic Nanoparticles and Microbeads Using Nanopatterned Ferromagnets

    NASA Astrophysics Data System (ADS)

    Sushruth, Manu; Ding, Junjia; Duczynski, Jeremy; Woodward, Robert C.; Begley, Ryan A.; Fangohr, Hans; Fuller, Rebecca O.; Adeyeye, Adekunle O.; Kostylev, Mikhail; Metaxas, Peter J.

    2016-10-01

    Biosensing with ferromagnet-based magnetoresistive devices has been dominated by electrical detection of particle-induced changes to a device's (quasi-)static magnetic configuration. There are however potential advantages to be gained from using field dependent, high frequency resonant magnetization dynamics for magnetic particle detection. Here, we demonstrate the use of nanoconfined ferromagnetic resonances in periodically nanopatterned magnetic films for the detection of adsorbed magnetic particles having diameters ranging from 6 nm to 4 μ m . The nanopatterned films contain arrays of holes which appear to act as preferential adsorption sites for small particles. Hole-localized particles act in unison to shift the frequencies of the patterned layer's ferromagnetic-resonance modes, with shift polarities determined by the localization of each mode within the nanopattern's repeating unit cell. The same polarity shifts are observed for a large range of coverages, even when quasicontinuous particle sheets form above the hole-localized particles. For large particles, preferential adsorption no longer occurs, leading to resonance shifts with polarities that are independent of the mode localization, and amplitudes that are comparable to those seen in continuous layers. Indeed, for nanoparticles adsorbed onto a continuous layer, the particle-induced shift of the layer's fundamental mode is up to 10 times less than that observed for nanoconfined modes in the nanopatterned systems, the low shift being induced by relatively weak fields emanating beyond the particle in the direction of the static applied field. This result highlights the importance of having particles consistently positioned in the close vicinity of confined modes.

  16. Fabrication of Ordered Nanopattern by using ABC Triblock Copolymer with Salt in Toluene.

    PubMed

    Huang, Hailiang; Zhong, Benbin; Zu, Xihong; Luo, Hongsheng; Lin, Wenjing; Zhang, Minghai; Zhong, Yazhou; Yi, Guobin

    2017-08-15

    Ordered nanopatterns of triblock copolymer polystyrene-block-poly(2-vinylpyridine)-block- poly (ethylene oxide)(PS-b-P2VP-b-PEO) have been achieved by the addition of lithium chloride (LiCl). The morphological and structural evolution of PS-b-P2VP-b-PEO/LiCl thin films were systematically investigated by varying different experimental parameters, including the treatment for polymer solution after the addition of LiCl, the time scale of ultrasonic treatment and the molar ratio of Li + ions to the total number of oxygen atoms (O) in PEO block and the nitrogen atoms (N) in P2VP block. When toluene was used as the solvent for LiCl, ordered nanopattern with cylinders or nanostripes could be obtained after spin-coating. The mechanism of nanopattern transformation was related to the loading of LiCl in different microdomains.

  17. Fabrication of Semiordered Nanopatterned Diamond-like Carbon and Titania Films for Blood Contacting Applications.

    PubMed

    Nandakumar, Deepika; Bendavid, Avi; Martin, Philip J; Harris, Kenneth D; Ruys, Andrew J; Lord, Megan S

    2016-03-23

    Biomaterials with the ability to interface with, but not activate, blood components are essential for a multitude of medical devices. Diamond-like carbon (DLC) and titania (TiO2) have shown promise for these applications; however, both support platelet adhesion and activation. This study explored the fabrication of nanostructured DLC and TiO2 thin film coatings using a block copolymer deposition technique that produced semiordered nanopatterns with low surface roughness (5-8 nm Rrms). These surfaces supported fibrinogen and plasma protein adsorption that predominantly adsorbed between the nanofeatures and reduced the overall surface roughness. The conformation of the adsorbed fibrinogen was altered on the nanopatterned surfaces as compared with the planar surfaces to reveal higher levels of the platelet binding region. Planar DLC and TiO2 coatings supported less platelet adhesion than nanopatterned DLC and TiO2. However, platelets on the nanopatterned DLC coatings were less spread indicating a lower level of platelet activation on the nanostructured DLC coatings compared with the planar DLC coatings. These data indicated that nanostructured DLC coatings may find application in blood contacting medical devices in the future.

  18. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  19. Effects of post exposure bake temperature and exposure time on SU-8 nanopattern obtained by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Yasui, Manabu; Kazawa, Elito; Kaneko, Satoru; Takahashi, Ryo; Kurouchi, Masahito; Ozawa, Takeshi; Arai, Masahiro

    2014-11-01

    SU-8 is a photoresist imaged using UV rays. However, we investigated the characteristics of an SU-8 nanopattern obtained by electron beam lithography (EBL). In particular, we studied the relationship between post-exposure bake (PEB) temperature and exposure time on an SU-8 nanopattern with a focus on phase transition temperature. SU-8 residue was formed by increasing both PEB temperature and exposure time. To prevent the formation of this, Monte Carlo simulation was performed; the results of such simulation showed that decreasing the thickness of SU-8 can reduce the amount of residue from the SU-8 nanopattern. We confirmed that decreasing the thickness of SU-8 can also prevent the formation of residue from the SU-8 nanopattern with EBL.

  20. First principle calculation in FeCo overlayer on GaAs substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Vishal, E-mail: vjain045@gmail.com; Lakshmi, N.; Jain, Vivek Kumar

    In this work the first principle electronic structure calculation is reported for FeCo/GaAs thin film system to investigate the effect of orientation on the electronic structural properties. A unit cell describing FeCo layers and GaAs layers is constructed for (100), (110), (111) orientation with vacuum of 30Å to reduce dimensions. It is found that although the (110) orientation is energetically more favorable than others, the magnetic moment is quite large in (100) and (111) system compared to the (110) and is due to the total DOS variation with orientation.

  1. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    NASA Astrophysics Data System (ADS)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  2. Nanostructured superhydrophobic substrates trigger the development of 3D neuronal networks.

    PubMed

    Limongi, Tania; Cesca, Fabrizia; Gentile, Francesco; Marotta, Roberto; Ruffilli, Roberta; Barberis, Andrea; Dal Maschio, Marco; Petrini, Enrica Maria; Santoriello, Stefania; Benfenati, Fabio; Di Fabrizio, Enzo

    2013-02-11

    The generation of 3D networks of primary neurons is a big challenge in neuroscience. Here, a novel method is presented for a 3D neuronal culture on superhydrophobic (SH) substrates. How nano-patterned SH devices stimulate neurons to build 3D networks is investigated. Scanning electron microscopy and confocal imaging show that soon after plating neurites adhere to the nanopatterned pillar sidewalls and they are subsequently pulled between pillars in a suspended position. These neurons display an enhanced survival rate compared to standard cultures and develop mature networks with physiological excitability. These findings underline the importance of using nanostructured SH surfaces for directing 3D neuronal growth, as well as for the design of biomaterials for neuronal regeneration. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Spontaneous wrinkling in azlactone-based functional polymer thin films in 2D and 3D geometries for guided nanopatterning

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramanathan, Muruganathan; Lokitz, Bradley S.; Messman, Jamie M.

    We report a simple, one step process for developing wrinkling patterns in azlactone-based polymer thin films and brushes in 2D and 3D surfaces. The polymer used in this work wrinkles spontaneously upon deposition and solidification on a substrate without applying any external strain to the substrate, with the mode of deposition defining the direction of the wrinkles. Wrinkle formation is shown to occur on a variety of substrates over large areas. We also find that a very thin brush-like layer of an azlactone-containing block copolymer also exhibits wrinkled topology. Given the spontaneity and versatility of wrinkle formation, we further demonstratemore » two proofs-of-concept, i) that these periodic wrinkled structures are not limited to planar surfaces, but are also developed in complex geometries including tubes, cones and other 3D structures; and ii) that this one-step wrinkling process can be used to guide the deposition of metal nanoparticles and quantum dots, creating a periodic, nanopatterned film.« less

  4. The effect of isolated dislocations on substrate and device properties in low-dislocation czochralski GaAs

    NASA Astrophysics Data System (ADS)

    Hunter, A. T.; Kimura, H.; Olsen, H. M.; Winston, H. V.

    1986-07-01

    Czochralski GaAs grown with In incorporated into the melt has large regions with fewer than 100 cm-2 dislocations. We have examined the effect of these dislocations on substrate and device properties. Infrared transmission images reveal dark filaments of high EL2 concentration a few tens of microns in diameter surrounding dislocations, Cathodo and photoluminescence images show orders of magnitude contrast in band-edge luminescence intensity near dislocations. Single dislocations appear to be surrounded by bright rings ˜200 μm in diameter in luminescence images, with dark spots 50 to 75 μm across centered on the dislocation. More complex luminescence structures with larger dark regions (˜150 μ across) and central bright spots are centered on small dislocation clusters. Differences in lifetime of photogenerated electrons or holes are the most likely cause of the luminescence contrast. Anneals typical of our post-implant processing substantially lower the luminescence contrast, suggesting the defect lowering the lifetime is removed by annealing. This may partially explain why we do not observe any effect of dislocation proximity on the properties of devices made in the material, in spite of the enormous luminescence contrast observed near dislocations.

  5. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  6. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    PubMed

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  7. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  8. Laterally Overgrown Structures as Substrates for Lattice Mismatched Epitaxy

    DTIC Science & Technology

    2002-06-03

    low supersaturation substrate [3]. Therefore, equilibrium growth techniques as liquid buffer with TD phase epitaxy (LPE) or vapour phase epitaxy (VPE...phase diffusion during MBE growth, so lateral over- low cost semiconductor devices. Therefore, vapour growth must rely on the surface mobility of...is replaced by graphite film not wetted For the GaAs on GaAs ELO system we attributed by the gallium melt [35]. Similarly, tungsten has been broadening

  9. Microprocessor design for GaAs technology

    NASA Astrophysics Data System (ADS)

    Milutinovic, Veljko M.

    Recent advances in the design of GaAs microprocessor chips are examined in chapters contributed by leading experts; the work is intended as reading material for a graduate engineering course or as a practical R&D reference. Topics addressed include the methodology used for the architecture, organization, and design of GaAs processors; GaAs device physics and circuit design; design concepts for microprocessor-based GaAs systems; a 32-bit GaAs microprocessor; a 32-bit processor implemented in GaAs JFET; and a direct coupled-FET-logic E/D-MESFET experimental RISC machine. Drawings, micrographs, and extensive circuit diagrams are provided.

  10. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  11. Effects of substrate on the structure and orientation of ZnO thin film grown by rf-magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, H. F.; Chua, S. J.; Hu, G. X.

    2007-10-15

    X-ray diffractions, Nomarski microscopy, scanning electron microscopy, and photoluminescence have been used to study the effects of substrate on the structure and orientation of ZnO thin films grown by rf-magnetron sputtering. GaAs(001), GaAs(111), Al{sub 2}O{sub 3}(0002) (c-plane), and Al{sub 2}O{sub 3}(1102) (r-plane) wafers have been selected as substrates in this study. X-ray diffractions reveal that the ZnO film grown on GaAs(001) substrate is purely textured with a high c-axis orientation while that grown on GaAs(111) substrate is a single ZnO(0002) crystal; a polycrystalline structure with a large-single-crystal area of ZnO(0002) is obtained on a c-plane Al{sub 2}O{sub 3} substrate whilemore » a ZnO(1120) single crystal is formed on an r-plane Al{sub 2}O{sub 3} substrate. There is absence of significant difference between the photoluminescence spectra collected from ZnO/GaAs(001), ZnO/GaAs(111), and ZnO/Al{sub 2}O{sub 3}(0002), while the photoluminescence from ZnO/Al{sub 2}O{sub 3}(1102) shows a reduced intensity together with an increased linewidth, which is, likely, due to the increased incorporation of native defects during the growth of ZnO(1120)« less

  12. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L; Jain, Nikhil; Tamboli, Adele C

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  13. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    PubMed

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  14. Selective Area Growth of GaAs on Si Patterned Using Nanoimprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, Emily L.; Makoutz, Emily A.; Horowitz, Kelsey A. W.

    Heteroepitaxial selective area growth (SAG) of GaAs on patterned Si substrates is a potential low-cost approach to integrate III-V and Si materials for tandem or multijunction solar cells. The use of nanoscale openings in a dielectric material can minimize nucleation-related defects and allow thinner buffer layers to be used to accommodate lattice mismatch between Si and an epitaxial III-V layer. For photovoltaic applications, the cost of patterning and growth, as well as the impact on the performance of the Si bottom cell must be considered. We present preliminary results on the use of soft nanoimprint lithography (SNIL) to create patternedmore » nucleation templates for the heteroepitaxial SAG of GaAs on Si. We demonstrate that SNIL patterning of passivating layers on the Si substrate improves measured minority carrier properties relative to unprotected Si. Cost modeling of the SNIL process shows that adding a patterning step only adds a minor contribution to the overall cost of a tandem III-V/Si solar cell, and can enable significant savings if it enables thinner buffer layers.« less

  15. GaAs CLEFT solar cells for space applications. [CVD thin film growth technology

    NASA Technical Reports Server (NTRS)

    Fan, J. C. C.; Mcclelland, R. W.; King, B. D.

    1984-01-01

    Although GaAs solar cells are radiation-resistant and have high conversion efficiencies, there are two major obstacles that such cells must overcome before they can be widely adopted for space applications: GaAs wafers are too expensive and cells made from these wafers are too heavy. The CLEFT process permits the growth of thin single-crystal films on reusable substrates, resulting in a drastic reduction in both cell cost and cell weight. Recent advances in CLEFT technology have made it possible to achieve efficiencies of about 14 percent AM0 for 0.51-sq cm GaAs solar cells 5 microns thick with a 41-mil-thick coverglass. In preliminary experiments efficiencies close to 19 percent AM1 have been obtained for 10-micron-thick cells. It is suggested that the CLEFT technology should yield inexpensive, highly efficient modules with a beginning-of-life specific power close to 1 kW/kg (for a coverglass thickness of 4 mils).

  16. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    PubMed

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  17. SEMICONDUCTOR TECHNOLOGY: GaAs surface wet cleaning by a novel treatment in revolving ultrasonic atomization solution

    NASA Astrophysics Data System (ADS)

    Zaijin, Li; Liming, Hu; Ye, Wang; Ye, Yang; Hangyu, Peng; Jinlong, Zhang; Li, Qin; Yun, Liu; Lijun, Wang

    2010-03-01

    A novel process for the wet cleaning of GaAs surface is presented. It is designed for technological simplicity and minimum damage generated within the GaAs surface. It combines GaAs cleaning with three conditions consisting of (1) removal of thermodynamically unstable species and (2) surface oxide layers must be completely removed after thermal cleaning, and (3) a smooth surface must be provided. Revolving ultrasonic atomization technology is adopted in the cleaning process. At first impurity removal is achieved by organic solvents; second NH4OH:H2O2:H2O = 1:1:10 solution and HCl: H2O2:H2O = 1:1:20 solution in succession to etch a very thin GaAs layer, the goal of the step is removing metallic contaminants and forming a very thin oxidation layer on the GaAs wafer surface; NH4OH:H2O = 1:5 solution is used as the removed oxide layers in the end. The effectiveness of the process is demonstrated by the operation of the GaAs wafer. Characterization of the oxide composition was carried out by X-ray photoelectron spectroscopy. Metal-contamination and surface morphology was observed by a total reflection X-ray fluorescence spectroscopy and atomic force microscope. The research results show that the cleaned surface is without contamination or metal contamination. Also, the GaAs substrates surface is very smooth for epitaxial growth using the rotary ultrasonic atomization technology.

  18. The fabrication of PLGA microvessel scaffolds with nano-patterned inner walls.

    PubMed

    Wang, Gou-Jen; Lin, Yan-Cheng; Hsu, Shan-Hui

    2010-10-01

    Poly (lactic-co-glycolic acid) (PLGA) is one of the most commonly used biodegradable, biocompatible materials. Nanostructured PLGA has immense potential for application in tissue engineering. In this article we discuss a novel approach for the fabrication of PLGA microvessel scaffolds with nanostructured inner walls. In this novel nano-patterning approach, the thermal reflow technique is first adapted to fabricate a semi-cylindrical photoresist master mold. A thin film of titanium and a thin film of aluminum are sputtered in sequence on the semi-cylindrical microvessel network. Aluminum foil anodization is then executed to transform the aluminum thin film into a porous anodic aluminum oxide (AAO) film. During the casting process a PLGA solution is cast on the AAO film to build up semi-cylindrical PLGA microstructures with nanostructured inner walls after which inductive coupled plasma (ICP) is implemented to assist bonding of the two PLGA structures. The result is the building of a network of microchannels with nano-patterned inner walls. Bovine endothelial cells (BECs) are carefully cultured in the scaffold via semi-dynamic seeding for 7 days. Observations show that the BECs grew more separately in a nano-patterned microvessel scaffold than they did in a smooth surface scaffold.

  19. Properties of CsI, CsBr and GaAs thin films grown by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brendel, V M; Garnov, S V; Yagafarov, T F

    2014-09-30

    CsI, CsBr and GaAs thin films have been grown by pulsed laser deposition on glass substrates. The morphology and structure of the films have been studied using X-ray diffraction and scanning electron microscopy. The CsI and CsBr films were identical in stoichiometry to the respective targets and had a polycrystalline structure. Increasing the substrate temperature led to an increase in the density of the films. All the GaAs films differed in stoichiometry from the target. An explanation was proposed for this fact. The present results demonstrate that, when the congruent transport condition is not fulfilled, films identical in stoichiometry tomore » targets can be grown by pulsed laser deposition in the case of materials with a low melting point and thermal conductivity. (interaction of laser radiation with matter)« less

  20. Arsine flow requirement for the flow modulation growth of high purity GaAs using adduct-grade triethylgallium

    NASA Astrophysics Data System (ADS)

    Pitts, B. L.; Emerson, D. T.; Shealy, J. R.

    1992-10-01

    Using arsine and triethylgallium with flow modulation, organometallic vapor phase epitaxy can produce high purity GaAs layers with V/III molar ratios near unity. We have estimated that under appropriate growth conditions the arsine incorporation efficiency into epitaxial GaAs can exceed 30%. The arsine flow requirement for obtaining good morphology has been identified over a range of substrate temperatures using adduct-grade triethylgallium. The process described reduces the environmental impact and life safety risk of the hydride based organometallic vapor phase epitaxial method.

  1. Direct nano-patterning of graphene with helium ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naitou, Y., E-mail: yu-naitou@aist.go.jp; Iijima, T.; Ogawa, S.

    2015-01-19

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO{sub 2}/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He{sup +}). Doses of 2.0 × 10{sup 16 }He{sup + }cm{sup −2} from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He{sup +} in a non-monotonic fashion. Increasing the dose from 2.0 × 10{sup 16} to 5.0 × 10{sup 16 }He{sup + }cm{sup −2} improved the spatialmore » resolution to several tens of nanometers. However, doses greater than 1.0 × 10{sup 17 }He{sup + }cm{sup −2} degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.« less

  2. Growth of semimetallic ErAs films epitaxially embedded in GaAs

    NASA Astrophysics Data System (ADS)

    Crook, Adam M.; Nair, Hari P.; Lee, Jong H.; Ferrer, Domingo A.; Akinwande, Deji; Bank, Seth R.

    2011-10-01

    We present models for the growth and electrical conductivity of ErAs films grown with the nanoparticle-seeded film growth technique. This growth mode overcomes the mismatch in rotational symmetry between the rocksalt ErAs crystal structure and the zincblende GaAs crystal structure. This results in films of ErAs grown through a thin film of GaAs that preserves the symmetry of the substrate. The conductivity of the films, as a function of film thickness, are investigated and a surface roughness model is used to explain observed trends. Transmission electron micrographs confirm the suppression of anti-phase domains. A simple diffusion model is developed to describe the diffusion and incorporation of surface erbium into subsurface ErAs layers and predict potential failure mechanisms of the growth method.

  3. Excitation and De-Excitation Mechanisms of Er-Doped GaAs and A1GaAs.

    DTIC Science & Technology

    1992-12-01

    AD-A258 814 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs DISSERTATION David W. Elsaesser, Captain, USAF DTICY. ft £ICTE’’ )AN...0 8 1993U -o Wo- .%Approved for public release; Distribution unlimited 93 1 04 022 AFIT/DS/ENP/92-5 EXCITATION AND DE -EXCITATION MECHANISMS OF Er...public release; Distribution unlimited AFIT/DS/ENP/92D-005 EXCITATION AND DE -EXCITATION MECHANISMS OF Er-DOPED GaAs AND A1GaAs 4 toFlor -- David W

  4. GaAs Computer Technology

    DTIC Science & Technology

    1992-01-07

    AD-A259 259 FASTC-ID FOREIGN AEROSPACE SCIENCE AND TECHNOLOGY CENTER GaAs COMPUTER TECHNOLOGY (1) by Wang Qiao-yu 93-00999 Distrir bution t,,,Nm ted...FASTC- ID(RS)T-0310-92 HUMAN TRANSLATION FASTC-ID(RS)T-0310-92 7 January 1993 GaAs COMPUTER TECHNOLOGY (1) By: Wang Qiao-yu English pages: 6 Source...the best quality copy available. j C] " ------ GaAs Computer Technology (1) Wang Qiao-yu (Li-Shan Microelectronics Institute) Abstract: The paper

  5. Enhanced efficiency of light emitting diodes with a nano-patterned gallium nitride surface realized by soft UV nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Zhou, Weimin; Min, Guoquan; Song, Zhitang; Zhang, Jing; Liu, Yanbo; Zhang, Jianping

    2010-05-01

    This paper reports a significant enhancement in the extraction efficiency of nano-patterned GaN light emitting diodes (LED) realized by soft UV nanoimprint lithography. The 2 inch soft stamp was fabricated using a replication stamp of anodic alumina oxide (AAO) membrane. The light output power was enhanced by 10.9% compared to that of the LED sample without a nano-patterned surface. Up to 41% enhancement in photoluminescence intensity was obtained from the nano-patterned GaN LED sample. The method is simple, cheap and suitable for mass production.

  6. Fabrication of metal nanopatterns for organic field effect transistor electrodes by cracking and transfer printing

    NASA Astrophysics Data System (ADS)

    Wang, Xiaonan; Fu, Tingting; Wang, Zhe

    2018-04-01

    In this paper, we demonstrate a novel method for fabricating metal nanopatterns using cracking to address the limitations of traditional techniques. Parallel crack arrays were created in a polydimethylsiloxane (PDMS) mold using a combination of surface modification and control of strain fields. The elastic PDMS containing the crack arrays was subsequently used as a stamp to prepare nanoscale metal patterns on a substrate by transfer printing. To illustrate the functionality of this technique, we employed the metal patterns as the source and drain contacts of an organic field effect transistor. Using this approach, we fabricated transistors with channel lengths ranging from 70-600 nm. The performance of these devices when the channel length was reduced was studied. The drive current density increases as expected, indicating the creation of operational transistors with recognizable properties.

  7. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru; Kuznetsov, P. I.

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing doesmore » not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.« less

  8. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp; Nakata, Yuka; Takahasi, Masamitu

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain sizemore » was smaller for all film thicknesses.« less

  9. Optimization of conditions for thermal smoothing GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Akhundov, I. O.; Kazantsev, D. M.; Kozhuhov, A. S.; Alperovich, V. L.

    2018-03-01

    GaAs thermal smoothing by annealing in conditions which are close to equilibrium between the surface and vapors of As and Ga was earlier proved to be effective for the step-terraced surface formation on epi-ready substrates with a small root-mean-square roughness (Rq ≤ 0.15 nm). In the present study, this technique is further developed in order to reduce the annealing duration and to smooth GaAs samples with a larger initial roughness. To this end, we proposed a two-stage anneal with the first high-temperature stage aimed at smoothing "coarse" relief features and the second stage focused on "fine" smoothing at a lower temperature. The optimal temperatures and durations of two-stage annealing are found by Monte Carlo simulations and adjusted after experimentation. It is proved that the temperature and duration of the first high-temperature stage are restricted by the surface roughening, which occurs due to deviations from equilibrium conditions.

  10. Numerical analysis of the output waveguide design for 1.55 μm square microcavity lasers directly grown on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Ma, Xing; Wang, Jun; Cheng, Zhuo; Yang, Zeyuan; Hu, Haiyang; Wang, Wei; Yin, Haiying; Huang, Yongqing; Ren, Xiaomin

    2018-07-01

    We report a structure design of 1.55 μm square microcavity lasers monolithically integrated on GaAs substrates. The mode characteristics of the microcavity lasers are numerically investigated by three-dimensional finite-difference time-domain method. The dependences of the high-quality factor modes on the side length of the microcavity, the width of the output waveguide and the etching depth are investigated in detail. The results demonstrate, for the microcavity structure with the side length of 12 μm, the output waveguide width of 1.0 μm and the etching depth of 3.55 μm, it is optimal to excite high-quality factor modes around wavelength of 1.55 μm. The mode wavelength and the mode quality factor are 1547.46 nm and 2416.28, respectively. The quality factor degrades rapidly with the waveguide width increasing, and increases with increasing etching depth.

  11. Methods to introduce sub-micrometer, symmetry-breaking surface corrugation to silicon substrates to increase light trapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Sang Eon; Hoard, Brittany R.; Han, Sang M.

    Provided is a method for fabricating a nanopatterned surface. The method includes forming a mask on a substrate, patterning the substrate to include a plurality of symmetry-breaking surface corrugations, and removing the mask. The mask includes a pattern defined by mask material portions that cover first surface portions of the substrate and a plurality of mask space portions that expose second surface portions of the substrate, wherein the plurality of mask space portions are arranged in a lattice arrangement having a row and column, and the row is not oriented parallel to a [110] direction of the substrate. The patterningmore » the substrate includes anisotropically removing portions of the substrate exposed by the plurality of spaces.« less

  12. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces.more » The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images.« less

  13. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    NASA Technical Reports Server (NTRS)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  14. Electrical and Optical Enhancement in Internally Nanopatterned Organic Light-Emitting Diodes

    NASA Astrophysics Data System (ADS)

    Fina, Michael Dane

    Organic light-emitting diodes (OLEDs) have made tremendous technological progress in the past two decades and have emerged as a top competitor for next generation light-emitting displays and lighting. State-of-the-art OLEDs have been reported in literature to approach, and even surpass, white fluorescent tube efficiency. However, despite rapid technological progress, efficiency metrics must be improved to compete with traditional inorganic light-emitting diode (LED) technology. Organic materials possess specialized traits that permit manipulations to the light-emitting cavity. Overall, as demonstrated within, these modifications can be used to improve electrical and optical device efficiencies. This work is focused at analyzing the effects that nanopatterned geometric modifications to the organic active layers play on device efficiency. In general, OLED efficiency is complicated by the complex, coupled processes which contribute to spontaneous dipole emission. A composite of three sub-systems (electrical, exciton and optical) ultimately dictate the OLED device efficiency. OLED electrical operation is believed to take place via a low-mobility-modified Schottky injection process. In the injection-limited regime, geometric effects are expected to modify the local electric field leading to device current enhancement. It is shown that the patterning effect can be used to enhance charge carrier parity, thereby enhancing overall recombination. Current density and luminance characteristics are shown to be improved by OLED nanopatterning from both the model developed within and experimental techniques. Next, the optical enhancement effects produced by the nanopatterned array are considered. Finite-difference time-domain (FDTD) simulations are used to determine positional, spectral optical enhancement for the nanopatterned device. The results show beneficial effects to the device performance. The optical enhancements are related to the reduction in internal radiative

  15. Regulation of Mesenchymal Stem Cell Differentiation by Nanopatterning of Bulk Metallic Glass.

    PubMed

    Loye, Ayomiposi M; Kinser, Emily R; Bensouda, Sabrine; Shayan, Mahdis; Davis, Rose; Wang, Rui; Chen, Zheng; Schwarz, Udo D; Schroers, Jan; Kyriakides, Themis R

    2018-06-08

    Mesenchymal stem cell (MSC) differentiation is regulated by surface modification including texturing, which is applied to materials to enhance tissue integration. Here, we used Pt 57.5 Cu 14.7 Ni 5.3 P 22.5 bulk metallic glass (Pt-BMG) with nanopatterned surfaces achieved by thermoplastic forming to influence differentiation of human MSCs. Pt-BMGs are a unique class of amorphous metals with high strength, elasticity, corrosion resistance, and an unusual plastic-like processability. It was found that flat and nanopattened Pt-BMGs induced osteogenic and adipogenic differentiation, respectively. In addition, osteogenic differentiation on flat BMG exceeded that observed on medical grade titanium and was associated with increased formation of focal adhesions and YAP nuclear localization. In contrast, cells on nanopatterned BMGs exhibited rounded morphology, formed less focal adhesions and had mostly cytoplasmic YAP. These changes were preserved on nanopatterns made of nanorods with increased stiffness due to shorter aspect ratios, suggesting that MSC differentiation was primarily influenced by topography. These observations indicate that both elemental composition and nanotopography can modulate biochemical cues and influence MSCs. Moreover, the processability and highly tunable nature of Pt-BMGs enables the creation of a wide range of surface topographies that can be reproducibly and systematically studied, leading to the development of implants capable of engineering MSC functions.

  16. Organic solvent-free sugar-based transparency nanopatterning material derived from biomass for eco-friendly optical biochips using green lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ito, Kenta; Sugahara, Kigenn; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2014-05-01

    An organic solvent-free sugar-based transparency nanopatterning material which had specific desired properties such as nanostructures of subwavelength grating and moth-eye antireflection, acceptable thermal stability of 160 °C, and low imaginary refractive index of less than 0.005 at 350-800 nm was proposed using electron beam lithography. The organic solvent-free sugar-based transparency nanopatterning material is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of tetramethylammonium hydroxide. 120 nm moth-eye antireflection nanopatterns images with exposure dose of 10 μC/cm2 were provided by specific process conditions of electron beam lithography. The developed sugar derivatives with hydroxyl groups and EB sensitive groups in the organic solvent-free sugar-based transparency nanopatterning material were applicable to future development of optical interface films of biology and electronics as a novel chemical design.

  17. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  18. LEO Flight Testing of GaAs on Si Solar Cells Aboard MISSES

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Clark, Eric B.; Ringel, Steven A.; Andre, Carrie L.; Smith, Mark A.; Scheiman, David A.; Jenkins, Phillip P.; Maurer, William F.; Fitzgerald, Eugene A.; Walters, R. J.

    2004-01-01

    Previous research efforts have demonstrated small area (0.04 cm) GaAs on Si (GaAs/Si) solar cells with AM0 efficiencies in excess of 17%. These results were achieved on Si substrates coated with a step graded buffer of Si(x),Ge(1-x) alloys graded to 100% Ge. Recently, a 100-fold increase in device area was accomplished for these devices in preparation for on-orbit testing of this technology aboard Materials International Space Station Experiment number 5 (MISSE5). The GaAs/Si MISSE5 experiment contains five (5) GaAs/Si test devices with areas of lcm(exp 2) and 4cm(exp 4) as well as two (2) GaAs on GaAs control devices. Electrical performance data, measured on-orbit for three (3) of the test devices and one (1) of the control devices, will be telemetered to ground stations daily. After approximately one year on orbit, the MISSE5 payload will be returned to Earth for post flight evaluation. This paper will discuss the development of the GaAs/Si devices for the MISSE5 flight experiment and will present recent ground and on-orbit performance data.

  19. Engineering Controlled Spalling in (100)-Oriented GaAs for Wafer Reuse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweet, Cassi A.; McNeely, Joshua E.; Gorman, Brian

    Controlled spalling offers a way to cleave thin, single-crystal films or devices from wafers, particularly if the fracture planes in the material are oriented parallel to the wafer surface. Unfortunately, misalignment between the favored fracture planes and the wafer surface preferred for photovoltaic growth in (100)-oriented GaAs produces a highly faceted surface when subject to controlled spalling. This highly faceted cleavage surface is problematic in several ways: (1) it can result in large variations of spall depth due to unstable crack propagation; (2) it may introduce defects into the device zone or underlying substrate; and (3) it consumes many micronsmore » of material outside of the device zone. We present the ways in which we have engineered controlled spalling for (100)-oriented GaAs to minimize these effects. We expand the operational window for controlled spalling to avoid spontaneous spalling, find no evidence of dislocation activity in the spalled film or the parent wafer, and reduce facet height and facet height irregularity. Resolving these issues provides a viable path forward for reducing III-V device cost through the controlled spalling of (100)-oriented GaAs devices and subsequent wafer reuse when these processes are combined with a high-throughput growth method such as Hydride Vapor Phase Epitaxy.« less

  20. Micromechanical Switches on GaAs for Microwave Applications

    NASA Technical Reports Server (NTRS)

    Randall, John N.; Goldsmith, Chuck; Denniston, David; Lin, Tsen-Hwang

    1995-01-01

    In this presentation, we describe the fabrication of micro-electro-mechanical system (MEMS) devices, in particular, of low-frequency multi-element electrical switches using SiO2 cantilevers. The switches discussed are related to micromechanical membrane structures used to perform switching of optical signals on silicon substrates. These switches use a thin metal membrane which is actuated by an electrostatic potential, causing the switch to make or break contact. The advantages include: superior isolation, high power handling capabilities, high radiation hardening, very low power operations, and the ability to integrate onto GaAs monolithic microwave integrated circuit (MMIC) chips.

  1. MOCVD of Bi2Te3 and Sb2Te3 on GaAs substrates for thin-film thermoelectric applications.

    PubMed

    Kim, Jeong-Hun; Jung, Yong-Chul; Suh, Sang-Hee; Kim, Jin-Sang

    2006-11-01

    Metal organic chemical vapour deposition (MOCVD) has been investigated for growth of Bi2Te3 and Sb2Te3 films on (001) GaAs substrates using trimethylbismuth, triethylantimony and diisopropyltelluride as metal organic sources. The surface morphologies of Bi2Te3 and Sb2Te3 films were strongly dependent on the deposition temperatures as it varies from a step-flow growth mode to island coalescence structures depending on deposition temperature. In-plane carrier concentration and electrical Hall mobility were highly dependent on precursor ratio of VI/V and deposition temperature. By optimizing growth parameters, we could clearly observe an electrically intrinsic region of the carrier concentration over the 240 K in Bi2Te3 films. The high Seebeck coefficient (of -160 microVK(-1) for Bi2Te3 and +110 microVK(-1) for Sb2Te3 films, respectively) and good surface morphologies of these materials are promising for the fabrication of a few nm thick periodic Bi2Te3/Sb2Te3 super lattice structures for thin film thermoelectric device applications.

  2. GaAs MOEMS Technology

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    SPAHN, OLGA B.; GROSSETETE, GRANT D.; CICH, MICHAEL J.

    2003-03-01

    Many MEMS-based components require optical monitoring techniques using optoelectronic devices for converting mechanical position information into useful electronic signals. While the constituent piece-parts of such hybrid opto-MEMS components can be separately optimized, the resulting component performance, size, ruggedness and cost are substantially compromised due to assembly and packaging limitations. GaAs MOEMS offers the possibility of monolithically integrating high-performance optoelectronics with simple mechanical structures built in very low-stress epitaxial layers with a resulting component performance determined only by GaAs microfabrication technology limitations. GaAs MOEMS implicitly integrates the capability for radiation-hardened optical communications into the MEMS sensor or actuator component, a vitalmore » step towards rugged integrated autonomous microsystems that sense, act, and communicate. This project establishes a new foundational technology that monolithically combines GaAs optoelectronics with simple mechanics. Critical process issues addressed include selectivity, electrochemical characteristics, and anisotropy of the release chemistry, and post-release drying and coating processes. Several types of devices incorporating this novel technology are demonstrated.« less

  3. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    NASA Astrophysics Data System (ADS)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  4. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    NASA Astrophysics Data System (ADS)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  5. 830-nm Polarization Controlled Lasing of InGaAs Quantum Wire Vertical-Cavity Surface-Emitting Lasers Grown on (775)B GaAs Substrates by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Higuchi, Yu; Osaki, Shinji; Sasahata, Yoshifumi; Kitada, Takahiro; Shimomura, Satoshi; Ogura, Mutsuo; Hiyamizu, Satoshi

    2007-02-01

    We report the first demonstration of room temperature (RT) current injection lasing of vertical-cavity surface-emitting lasers (VCSELs), with self-organized InGaAs/(GaAs)6(AlAs)1 quantum wires (QWRs) in their active region, grown on (775)B-oriented GaAs substrates by molecular beam epitaxy. A (775)B InGaAs QWR-VCSEL with an aperture diameter of 4 μm lased at a wavelength of 829.7 nm and a threshold current of 0.7 mA at RT. The light output was linearly polarized in the direction parallel to the QWRs due to optical anisotropy of the self-organized (775)B InGaAs QWRs.

  6. Room temperature operation of mid-infrared InAs0.81Sb0.19 based photovoltaic detectors with an In0.2Al0.8Sb barrier layer grown on GaAs substrates.

    PubMed

    Geum, Dae-Myeong; Kim, SangHyeon; Kang, SooSeok; Kim, Hosung; Park, Hwanyeol; Rho, Il Pyo; Ahn, Seung Yeop; Song, Jindong; Choi, Won Jun; Yoon, Euijoon

    2018-03-05

    In this paper, InAs 0.81 Sb 0.19 -based hetero-junction photovoltaic detector (HJPD) with an In 0.2 Al 0.8 Sb barrier layer was grown on GaAs substrates. By using technology computer aided design (TCAD), a design of a barrier layer that can achieve nearly zero valance band offsets was accomplished. A high quality InAs 0.81 Sb 0.19 epitaxial layer was obtained with relatively low threading dislocation density (TDD), calculated from a high-resolution X-ray diffraction (XRD) measurement. This layer showed a Hall mobility of 15,000 cm 2 /V⋅s, which is the highest mobility among InAsSb layers with an Sb composition of around 20% grown on GaAs substrates. Temperature dependence of dark current, photocurrent response and responsivity were measured and analyzed for fabricated HJPD. HJPD showed the clear photocurrent response having a long cutoff wavelength of 5.35 μm at room temperature. It was observed that the dark current of HJPDs is dominated by the diffusion limited current at temperatures ranging from 200K to room temperature from the dark current analysis. Peak responsivity of HJPDs exhibited the 1.18 A/W and 15 mA/W for 83K and a room temperature under zero bias condition even without anti-reflection coating (ARC). From these results, we believe that HJPDs could be an appropriate PD device for future compact and low power dissipation mid-infrared on-chip sensors and imaging devices.

  7. Structural and electrical characteristics of CoGe(2) alloy films deposited heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, Kevin Edward

    The partially ionized beam deposition system was utilized to deposit CoGesb2 thin films heteroepitaxially on GaAs(100) substrates in a conventional vacuum. The CoGesb2 films were structurally characterized using conventional 2theta diffraction, reflection X-ray pole figure analysis, and alpha particle channeling techniques. Three distinct crystallographic relationships of the CoGesb2 films to the GaAs(100) substrates were observed, dependent upon the substrate temperature and Gesp+ ion energy used during deposition. The CoGesb2(001) (100)sp°GaAs(100) (001) orientation, which has the smallest lattice mismatch to GaAs(100), was found to occur for depositions performed at a substrate temperature during deposition near 280sp°C with approximately 1160 eV Gesp+ ions. Lowering the substrate temperature or reducing the Gesp+ ion energy results in CoGesb2(100) orientation domination with CoGe2(100) (010)sp°GaAs(100) (001) and CoGesb2(100) (001)sp°GaAs(100) (001). Substrate temperature alone was seen to produce only the CoGesb2(100) orientation. For CoGesb2(001) films, additional energy was required from Gesp+ ions in the evaporant stream. Angular yield profiles for axial Hesp{++} ion channeling yielded values for the minimum yield, Ysb{min}, of 25% for the CoGesb2(001) orientation and 34% for the CoGesb2(100) orientation. The critical angle for channeling, Psisb{c}, was measured to be 1.0sp° for both orientations. Channeling theory was used to predict the minimum yield and critical angle for each orientation. The theoretical values agreed qualitatively with the experimentally measured values, and the theory correctly predicted the lower minimum yield for the CoGesb2(001) orientation. Annealing the films to allow for epitaxial grain growth resulted in orientation selection of CoGesb2(001) at the expense of CoGesb2(100), exposing CoGesb2(100) as a metastable orientation. The CoGesb2(001) films were stable up to 500sp°C, 30 minute anneals, showing no orientation changes

  8. Photoreflectance measurements of unintentional impurity concentrations in undoped GaAs

    NASA Astrophysics Data System (ADS)

    Sydor, Michael; Angelo, James; Mitchel, William; Haas, T. W.; Yen, Ming-Yuan

    1989-07-01

    Modulated photoreflectance is used to measure the unintentional impurity concentrations in undoped epitaxial GaAs. A photoreflectance signal above the band gap spreads with the unintentional impurity concentrations and shows well-defined Franz-Keldysh peaks whose separation provide a good measure of the current carrier concentrations. In samples less than 3-micron thick, a photoreflectance signal at the band edge contains a substrate-epilayer interface effect which precludes the analysis of the data by using the customary third derivative functional fits for low electric fields.

  9. Design optimization of GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Chen, Haiyanag; Jiang, Lan; Chen, Xuyuan

    2011-06-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm-2 63Ni, the open circuit voltage of the optimized batteries is about ~0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P+PN+ junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm-2, which indicates a carrier diffusion length of less than 1 µm. The overall results show that multi-layer P+PN+ junctions are the preferred structures for GaAs betavoltaic battery design.

  10. Photoelectron and Auger electron diffraction studies of a sulfur-terminated GaAs(001)-(2×6) surface

    NASA Astrophysics Data System (ADS)

    Shimoda, M.; Tsukamoto, S.; Koguchi, N.

    1998-01-01

    Core-level X-ray photoelectron diffraction (XPD) and Auger electron diffraction (AED) have been applied to investigate the sulfur-terminated GaAs(001)-(2×6) surface. No forward scattering peaks were found in the XPD pattern of S 2s emission, indicating that adsorbed S atoms form a single layer on the GaAs substrate. In accordance with the zincblende structure of GaAs, the AED patterns of Ga L 3M 45M 45 and As L 3M 45M 45 emission almost coincide with each other, if one of the emissions is rotated by 90° around the [001] direction. This fact suggests that the diffraction patterns mainly reflect the structure of the bulk GaAs crystal. In order to investigate the surface structure, AED patterns in large polar angles were analyzed with single scattering cluster (SSC) calculations. The best result was obtained with a model cluster where the S-S bond length was set at 0.28 nm, 30% shorter than the corresponding length of the ideal (1×1) structure, and the adsorption height was set at 0.12-0.13 nm, 10% shorter than the ideal interlayer distance of GaAs(001) planes. These values are in good agreement with the results of STM measurements. A modulation of the inter-dimer distance was also found, suggesting the existence of missing dimers.

  11. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  12. Multilayer metal-oxide-metal nanopatterns via nanoimprint and strip-off for multispectral resonance

    NASA Astrophysics Data System (ADS)

    Jeon, Sohee; Sung, Sang-Keun; Jang, Eun-Hwan; Jeong, Junho; Surabhi, Srivathsava; Choi, Jun-Hyuk; Jeong, Jong-Ryul

    2018-01-01

    A fabrication technology for multispectral plasmonic resonators is presented on a basis of metal-insulator-metal (MIM) nanopattern arrays. Resonators comprised of MIM nanopatterns were fabricated using nanoimprint-based transfer and strip-off following MIM depositions. Two different kinds of configuration (web and hole) were developed for three and five layers of MIMs. The corresponding measured transmittance and reflectance spectroscopies were compared to their counterpart finite difference time domain (FDTD) simulation results. The results implied various plasmonic resonance couplings occurred at different locations around the metal structures, dependent on the layer and array configuration. By tuning the model geometry and simulation conditions, agreement between the experimental results and simulation was achieved. This work is believed to provide a viable fabrication method for multispectral resonance filters or sensors.

  13. Solution-Phase Photochemical Nanopatterning Enabled by High-Refractive-Index Beam Pen Arrays.

    PubMed

    Xie, Zhuang; Gordiichuk, Pavlo; Lin, Qing-Yuan; Meckes, Brian; Chen, Peng-Cheng; Sun, Lin; Du, Jingshan S; Zhu, Jinghan; Liu, Yuan; Dravid, Vinayak P; Mirkin, Chad A

    2017-08-22

    A high-throughput, solution-based, scanning-probe photochemical nanopatterning approach, which does not require the use of probes with subwavelength apertures, is reported. Specifically, pyramid arrays made from high-refractive-index polymeric materials were constructed and studied as patterning tools in a conventional liquid-phase beam pen lithography experiment. Two versions of the arrays were explored with either metal-coated or metal-free tips. Importantly, light can be channeled through both types of tips and the appropriate solution phase (e.g., H 2 O or CH 3 OH) and focused on subwavelength regions of a substrate to effect a photoreaction in solution that results in localized patterning of a self-assembled monolayer (SAM)-coated Au thin film substrate. Arrays with as many as 4500 pyramid-shaped probes were used to simultaneously initiate thousands of localized free-radical photoreactions (decomposition of a lithium acylphosphinate photoinitiator in an aqueous solution) that result in oxidative removal of the SAM. The technique is attractive since it allows one to rapidly generate features less than 200 nm in diameter, and the metal-free tips afford more than 10-fold higher intensity than the tips with nanoapertures over a micrometer propagation length. In principle, this mask-free method can be utilized as a versatile tool for performing a wide variety of photochemistries across multiple scales that may be important in high-throughput combinatorial screening applications related to chemistry, biology, and materials science.

  14. Nanopatterning of optical surfaces during low-energy ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Liao, Wenlin; Dai, Yifan; Xie, Xuhui

    2014-06-01

    Ion beam figuring (IBF) provides a highly deterministic method for high-precision optical surface fabrication, whereas ion-induced microscopic morphology evolution would occur on surfaces. Consequently, the fabrication specification for surface smoothness must be seriously considered during the IBF process. In this work, low-energy ion nanopatterning of our frequently used optical material surfaces is investigated to discuss the manufacturability of an ultrasmooth surface. The research results indicate that ion beam sputtering (IBS) can directly smooth some amorphous or amorphizable material surfaces, such as fused silica, Si, and ULE under appropriate processing conditions. However, for IBS of a Zerodur surface, preferential sputtering together with curvature-dependent sputtering overcome ion-induced smoothing mechanisms, leading to the granular nanopatterns' formation and the coarsening of the surface. Furthermore, the material property difference at microscopic scales and the continuous impurity incorporation would affect the ion beam smoothing of optical surfaces. Overall, IBS can be used as a promising technique for ultrasmooth surface fabrication, which strongly depends on processing conditions and material characters.

  15. Chemical Composition of Nanoporous Layer Formed by Electrochemical Etching of p-Type GaAs.

    PubMed

    Bioud, Youcef A; Boucherif, Abderraouf; Belarouci, Ali; Paradis, Etienne; Drouin, Dominique; Arès, Richard

    2016-12-01

    We have performed a detailed characterization study of electrochemically etched p-type GaAs in a hydrofluoric acid-based electrolyte. The samples were investigated and characterized through cathodoluminescence (CL), X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). It was found that after electrochemical etching, the porous layer showed a major decrease in the CL intensity and a change in chemical composition and in the crystalline phase. Contrary to previous reports on p-GaAs porosification, which stated that the formed layer is composed of porous GaAs, we report evidence that the porous layer is in fact mainly constituted of porous As 2 O 3 . Finally, a qualitative model is proposed to explain the porous As 2 O 3 layer formation on p-GaAs substrate.

  16. Reflection high energy electron diffraction and reflectance difference studies of surface anisotropy in InGaAs chemical beam epitaxy on flat and vicinal (001) GaAs

    NASA Astrophysics Data System (ADS)

    Junno, B.; Paulsson, G.; Miller, M.; Samuelson, L.

    1994-03-01

    InGaAs quantum wells (QWs) were grown in a chemical beam epitaxy (CBE) machine with trimethylindium (TMI), triethylgallium (TEG) and tertiarybutylarsine (TBA) as precursors. Growth was monitored in-situ by reflectance difference (RD) and reflection high energy electron diffraction (RHEED), on both flat and vicinal (2° off in the <111> A direction) (001)GaAs substrates. The RD was monitored at 632.8 nm. At this wavelength the RD signal from a GaAs surface is primarily related to the absorption by Ga dimers. When InGaAs had been grown, both the average RD signal and the amplitude of the RD oscillations for the subsequent growth of GaAs increased significantly, compared to GaAs growth on GaAs. This In influence was found to persist even after the growth of 20-30 ML of pure GaAs. As a result we were able to monitor growth oscillations with RD and RHEED simultaneously during growth of quantum wells of InGaAs in GaAs. As a conclusion to these observations we suggest that the group III dimer bond concentration, detected in the RD signal, increases.

  17. Biophysical model of bacterial cell interactions with nanopatterned cicada wing surfaces.

    PubMed

    Pogodin, Sergey; Hasan, Jafar; Baulin, Vladimir A; Webb, Hayden K; Truong, Vi Khanh; Phong Nguyen, The Hong; Boshkovikj, Veselin; Fluke, Christopher J; Watson, Gregory S; Watson, Jolanta A; Crawford, Russell J; Ivanova, Elena P

    2013-02-19

    The nanopattern on the surface of Clanger cicada (Psaltoda claripennis) wings represents the first example of a new class of biomaterials that can kill bacteria on contact based solely on their physical surface structure. The wings provide a model for the development of novel functional surfaces that possess an increased resistance to bacterial contamination and infection. We propose a biophysical model of the interactions between bacterial cells and cicada wing surface structures, and show that mechanical properties, in particular cell rigidity, are key factors in determining bacterial resistance/sensitivity to the bactericidal nature of the wing surface. We confirmed this experimentally by decreasing the rigidity of surface-resistant strains through microwave irradiation of the cells, which renders them susceptible to the wing effects. Our findings demonstrate the potential benefits of incorporating cicada wing nanopatterns into the design of antibacterial nanomaterials. Copyright © 2013 Biophysical Society. Published by Elsevier Inc. All rights reserved.

  18. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  19. Large area nano-patterning /writing on gold substrate using dip - pen nanolithography (DPN)

    NASA Astrophysics Data System (ADS)

    Saini, Sudhir Kumar; Vishwakarma, Amit; Agarwal, Pankaj B.; Pesala, Bala; Agarwal, Ajay

    2014-10-01

    Dip Pen Nanolithography (DPN) is utilized to pattern large area (50μmX50μm) gold substrate for application in fabricating Nano-gratings. For Nano-writing 16-MHA ink coated AFM tip was prepared using double dipping procedure. Gold substrate is fabricated on thermally grown SiO2 substrate by depositing ˜5 nm titanium layer followed by ˜30nm gold using DC pulse sputtering. The gratings were designed using period of 800nm and 25% duty cycle. Acquired AFM images indicate that as the AFM tip proceeds for nano-writing, line width decreases from 190nm to 100nm. This occurs probably due to depreciation of 16-MHA molecules in AFM tip as writing proceeds.

  20. Gold-catalyzed oxide nanopatterns for the directed assembly of Ge island arrays on Si.

    PubMed

    Robinson, Jeremy T; Ratto, Fulvio; Moutanabbir, Oussama; Heun, Stefan; Locatelli, Andrea; Mentes, T Onur; Aballe, Lucia; Dubon, Oscar D

    2007-09-01

    The heteroepitaxial growth of Ge on Au-patterned Si(001) is investigated using in situ spectromicroscopy. Patterning of a hydrogen-terminated Si surface with a square array of Au dots followed by brief exposure to air leads to the spontaneous, local oxidation of Si. The resulting oxide nanopattern limits the surface migration of Au during annealing up to 600 degrees C, resulting in complete preservation of the Au pattern. Subsequent deposition of Ge induces a redistribution of Au across the surface even as the oxide nanopattern persists. As a result, the oxide pattern drives the growth of Ge islands into an ordered assembly, while Au decorates the surfaces of the Ge islands and modifies their shape.

  1. Homojunction GaAs solar cells grown by close space vapor transport

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Boucher, Jason W.; Ritenour, Andrew J.; Greenaway, Ann L.

    2014-06-08

    We report on the first pn junction solar cells grown by homoepitaxy of GaAs using close space vapor transport (CSVT). Cells were grown both on commercial wafer substrates and on a CSVT absorber film, and had efficiencies reaching 8.1%, open circuit voltages reaching 909 mV, and internal quantum efficiency of 90%. The performance of these cells is partly limited by the electron diffusion lengths in the wafer substrates, as evidenced by the improved peak internal quantum efficiency in devices fabricated on a CSVT absorber film. Unoptimized highly-doped n-type emitters also limit the photocurrent, indicating that thinner emitters with reduced doping,more » and ultimately wider band gap window or surface passivation layers, are required to increase the efficiency.« less

  2. Raman scattering studies of strain effects in (100) and (311)B GaAs1-xBix epitaxial layers

    NASA Astrophysics Data System (ADS)

    Steele, J. A.; Lewis, R. A.; Henini, M.; Lemine, O. M.; Alkaoud, A.

    2013-11-01

    We report room-temperature Raman studies of strained (100) and (311)B GaAs1-xBix epitaxial layers for x ≤ 0.039. The Raman spectra exhibit a two-mode behavior, as well as disorder-activated GaAs-like phonons. The experimental results show that the GaAs-like LO(Γ) mode experiences a strong composition-dependent redshift as a result of alloying. The peak frequency decreases linearly from the value for pure GaAs (˜293 cm-1) with the alloyed Bi fraction x and the introduced in-plane lattice strain ɛ∥, by ΔωLO=Δωalloy-Δωstrain. X-ray diffraction measurements are used to determine x and ɛ∥ allowing Δωalloy to be decoupled and is estimated to be -12(±4) cm-1/x for (100) GaAs1-xBix. ΔωLO is measured to be roughly double for samples grown on (311)B-oriented substrates to that of (100) GaAs. This large difference in redshift is accounted for by examining the Bi induced strain, effects from alloying, and defects formed during high-index (311)B crystal growth.

  3. Anisotropic MoS2 Nanosheets Grown on Self-Organized Nanopatterned Substrates.

    PubMed

    Martella, Christian; Mennucci, Carlo; Cinquanta, Eugenio; Lamperti, Alessio; Cappelluti, Emmanuele; Buatier de Mongeot, Francesco; Molle, Alessandro

    2017-05-01

    Manipulating the anisotropy in 2D nanosheets is a promising way to tune or trigger functional properties at the nanoscale. Here, a novel approach is presented to introduce a one-directional anisotropy in MoS 2 nanosheets via chemical vapor deposition (CVD) onto rippled patterns prepared on ion-sputtered SiO 2 /Si substrates. The optoelectronic properties of MoS 2 are dramatically affected by the rippled MoS 2 morphology both at the macro- and the nanoscale. In particular, strongly anisotropic phonon modes are observed depending on the polarization orientation with respect to the ripple axis. Moreover, the rippled morphology induces localization of strain and charge doping at the nanoscale, thus causing substantial redshifts of the phonon mode frequencies and a topography-dependent modulation of the MoS 2 workfunction, respectively. This study paves the way to a controllable tuning of the anisotropy via substrate pattern engineering in CVD-grown 2D nanosheets. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. New Passivation Methods of GaAs.

    DTIC Science & Technology

    1980-01-01

    Fabrication of Thin Nitride Layers on GaAs 33 - 35 CHAPTER 7 Passivation of InGaAsP 36 - 37 CHAPTER 8 Emulsions on GaAs Surfaces 38 - 42 APPENDIX...not yet given any useful results. The deposition of SiO2 by using emulsions is pursued and first results on the possibility of GaAs doping are...glycol-tartaric acid based aqueous solution was used in order to anodically oxidise the gate notch after the source and drain ohmic contacts were formed

  5. Method of making photovoltaic cell

    DOEpatents

    Cruz-Campa, Jose Luis; Zhou, Xiaowang; Zubia, David

    2017-06-20

    A photovoltaic solar cell comprises a nano-patterned substrate layer. A plurality of nano-windows are etched into an intermediate substrate layer to form the nano-patterned substrate layer. The nano-patterned substrate layer is positioned between an n-type semiconductor layer composed of an n-type semiconductor material and a p-type semiconductor layer composed of a p-type semiconductor material. Semiconductor material accumulates in the plurality of nano-windows, causing a plurality of heterojunctions to form between the n-type semiconductor layer and the p-type semiconductor layer.

  6. Engineered liquid crystal anchoring energies with nanopatterned surfaces.

    PubMed

    Gear, Christopher; Diest, Kenneth; Liberman, Vladimir; Rothschild, Mordechai

    2015-01-26

    The anchoring energy of liquid crystals was shown to be tunable by surface nanopatterning of periodic lines and spaces. Both the pitch and height were varied using hydrogen silsesquioxane negative tone electron beam resist, providing for flexibility in magnitude and spatial distribution of the anchoring energy. Using twisted nematic liquid crystal cells, it was shown that this energy is tunable over an order of magnitude. These results agree with a literature model which predicts the anchoring energy of sinusoidal grooves.

  7. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  8. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  9. Structural properties of GaAsN grown on (001) GaAs by metalorganic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ok, Young-Woo; Choi, Chel-Jong; Seong, Tae-Yeon; Uesugi, K.; Suemune, I.

    2001-07-01

    Detailed transmission electron microscopy (TEM) and transmission electron diffraction (TED) examination has been made of metalorganic molecular beam epitaxial GaAsN layers grown on (001) GaAs substrates. TEM results show that lateral composition modulation occurs in the GaAs1-xNx layer (x 6.75%). It is shown that increasing N composition and Se (dopant) concentration leads to poor crystallinity. It is also shown that the addition of Se increases N composition. Atomic force microscopy (AFM) results show that the surfaces of the samples experience a morphological change from faceting to islanding, as the N composition and Se concentration increase. Based on the TEM and AFM results, a simple model is given to explain the formation of the lateral composition modulation.

  10. Influence of buffer-layer construction and substrate orientation on the electron mobilities in metamorphic In{sup 0.70}Al{sup 0.30}As/In{sup 0.76}Ga{sup 0.24}As/In{sup 0.70}Al{sup 0.30}As structures on GaAs substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulbachinskii, V. A., E-mail: kulb@mig.phys.msu.ru; Oveshnikov, L. N.; Lunin, R. A.

    The influence of construction of the buffer layer and misorientation of the substrate on the electrical properties of In{sup 0.70}Al{sup 0.30}As/In{sup 0.76}Ga{sup 0.24}As/In{sup 0.70}Al{sup 0.30}As quantum wells on a GaAs substrate is studied. The temperature dependences (in the temperature range of 4.2 K < T < 300 K) and field dependences (in magnetic fields as high as 6 T) of the sample resistances are measured. Anisotropy of the resistances in different crystallographic directions is detected; this anisotropy depends on the substrate orientation and construction of the metamorphic buffer layer. In addition, the Hall effect and the Shubnikov–de Haas effect aremore » studied. The Shubnikov–de Haas effect is used to determine the mobilities of electrons separately in several occupied dimensionally quantized subbands in different crystallographic directions. The calculated anisotropy of mobilities is in agreement with experimental data on the anisotropy of the resistances.« less

  11. Multi-junction, monolithic solar cell using low-band-gap materials lattice matched to GaAs or Ge

    DOEpatents

    Olson, Jerry M.; Kurtz, Sarah R.; Friedman, Daniel J.

    2001-01-01

    A multi-junction, monolithic, photovoltaic solar cell device is provided for converting solar radiation to photocurrent and photovoltage with improved efficiency. The solar cell device comprises a plurality of semiconductor cells, i.e., active p/n junctions, connected in tandem and deposited on a substrate fabricated from GaAs or Ge. To increase efficiency, each semiconductor cell is fabricated from a crystalline material with a lattice constant substantially equivalent to the lattice constant of the substrate material. Additionally, the semiconductor cells are selected with appropriate band gaps to efficiently create photovoltage from a larger portion of the solar spectrum. In this regard, one semiconductor cell in each embodiment of the solar cell device has a band gap between that of Ge and GaAs. To achieve desired band gaps and lattice constants, the semiconductor cells may be fabricated from a number of materials including Ge, GaInP, GaAs, GaInAsP, GaInAsN, GaAsGe, BGaInAs, (GaAs)Ge, CuInSSe, CuAsSSe, and GaInAsNP. To further increase efficiency, the thickness of each semiconductor cell is controlled to match the photocurrent generated in each cell. To facilitate photocurrent flow, a plurality of tunnel junctions of low-resistivity material are included between each adjacent semiconductor cell. The conductivity or direction of photocurrent in the solar cell device may be selected by controlling the specific p-type or n-type characteristics for each active junction.

  12. Analysis of twin defects in GaAs(111)B molecular beam epitaxy growth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yeonjoon; Cich, Michael J.; Zhao, Rian

    2000-05-01

    The formation of twin is common during GaAs(111) and GaN(0001) molecular beam epitaxy (MBE) metalorganic chemical vapor deposition growth. A stacking fault in the zinc-blende (ZB)(111) direction can be described as an insertion of one monolayer of wurtzite structure, sandwiched between two ZB structures that have been rotated 60 degree sign along the growth direction. GaAs(111)A/B MBE growth within typical growth temperature regimes is complicated by the formation of pyramidal structures and 60 degree sign rotated twins, which are caused by faceting and stacking fault formation. Although previous studies have revealed much about the structure of these twins, a well-establishedmore » simple nondestructive characterization method which allows the measurement of total aerial density of the twins does not exist at present. In this article, the twin density of AlGaAs layers grown on 1 degree sign miscut GaAs(111)B substrates has been measured using high resolution x-ray diffraction, and characterized with a combination of Nomarski microscopy, atomic force microscopy, and transmission electron microscopy. These comparisons permit the relationship between the aerial twin density and the growth condition to be determined quantitatively. (c) 2000 American Vacuum Society.« less

  13. Velocity surface measurements for ZnO films over /001/-cut GaAs

    NASA Technical Reports Server (NTRS)

    Kim, Yoonkee; Hunt, William D.; Liu, Yongsheng; Jen, Cheng-Kuei

    1994-01-01

    A potential application for a piezoelectic film deposited on a GaAs substrate is the monolithic integration of surface acoustic wave (SAW) devices with GaAs electronics. Knowledge of the SAW properties of the filmed structure is critical for the optimum design of such devices. In this article, the measurements of the velocity surface, which directly affects the SAW diffraction, on the bare and metallized ZnO/SiO2 or Si3N4/GaAs /001/-cut samples are reported using two different techniques: (1) knife-edge laser probe, (2) line-focus-beam scanning acoustic microscope. Comparisons, such as measurement accuracy and tradeoffs, between the former (dry) and the latter (wet) method are given. It is found that near the group of zone axes (110) propagation direction the autocollimating SAW property of the bare GaAs changes into a noncollimating one for the layered structure, but a reversed phenomenon exists near the group of zone axes (100) direction. The passivation layer of SiO2 or Si3N4 (less than 0.2 micrometer thick) and the metallization layer change the relative velocity but do not significantly affect the velocity surface. On the other hand, the passivation layer reduces the propagation loss by 0.5-1.3 dB/microseconds at 240 MHz depending upon the ZnO film thickness. Our SAW propagation measurements agree well with theorectical calculations. We have also obtained the anisotropy factors for samples with ZnO films of 1.6, 2.8, and 4.0 micrometer thickness. Comparisons concerning the piezoelectric coupling and acoustic loss between dc triode and rf magnetron sputtered ZnO films are provided.

  14. Growth and characterization of α and β-phase tungsten films on various substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jeong-Seop; Cho, Jaehun; You, Chun-Yeol, E-mail: cyyou@inha.ac.kr

    2016-03-15

    The growth conditions of tungsten thin films were investigated using various substrates including Si, Si/SiO{sub 2}, GaAs, MgO, and Al{sub 2}O{sub 3}, and recipes were discovered for the optimal growth conditions of thick metastable β-phase tungsten films on Si, GaAs, and Al{sub 2}O{sub 3} substrates, which is an important material in spin orbit torque studies. For the Si/SiO{sub 2} substrate, the crystal phase of the tungsten films was different depending upon the tungsten film thickness, and the transport properties were found to dramatically change with the thickness owing to a change in phase from the α + β phase to the α-phase.more » It is shown that the crystal phase changes are associated with residual stress in the tungsten films and that the resistivity is closely related to the grain sizes.« less

  15. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    NASA Astrophysics Data System (ADS)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  16. Electron beam-induced graft polymerization of acrylic acid and immobilization of arginine-glycine-aspartic acid-containing peptide onto nanopatterned polycaprolactone.

    PubMed

    Sun, Hui; Wirsén, Anders; Albertsson, Ann-Christine

    2004-01-01

    Electron beam- (EB-) induced graft polymerization of acrylic acid and the subsequent immobilization of arginine-glycine-aspartic acid (RGD) peptide onto nanopatterned polycaprolactone with parallel grooves is reported. A high concentration of carboxylic groups was introduced onto the polymer substrate by EB-induced polymerization of acrylic acid. In the coupling of the RGD peptide to the carboxylated polymer surface, a three-step peptide immobilization process was used. This process included the activation of surface carboxylic acid into an active ester intermediate by use of 1-(3-dimethylaminopropyl)-3-ethylcarbodiimide hydrochloride (EDC) and N-hydroxysuccinimide (NHS), the introduction of disulfide groups by use of 2-(2-pyridinyldithio)ethanamine hydrochloride (PDEA), and final immobilization of the peptide via a thiol-disulfide exchange reaction. The extent of coupling was measured by UV spectroscopy. A preliminary study of the in vitro behavior of keratinocytes (NCTC 2544) cultured on the acrylic acid-grafted and RGD peptide-coupled surface showed that most cells grown on the coupled samples had a spread-rounded appearance, while the majority of cells tended to be elongated along the grooves on uncoupled substrates.

  17. Phosphine Functionalization GaAs(111)A Surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Traub, M.; Biteen, J; Michalak, D

    Phosphorus-functionalized GaAs surfaces have been prepared by exposure of Cl-terminated GaAs(111)A surfaces to triethylphosphine (PEt3) or trichlorophosphine (PCl3), or by the direct functionalization of the native-oxide terminated GaAs(111)A surface with PCl3. The presence of phosphorus on each functionalized surface was confirmed by X-ray photoelectron spectroscopy. High-resolution, soft X-ray photoelectron spectroscopy was used to evaluate the As and Ga 3d regions of such surfaces. On PEt3 treated surfaces, the Ga 3d spectra exhibited a bulk Ga peak as well as peaks that were shifted to 0.35, 0.92 and 1.86 eV higher binding energy. These peaks were assigned to residual Cl-terminated Gamore » surface sites, surficial Ga2O and surficial Ga2O3, respectively. For PCl3-treated surfaces, the Ga 3d spectra displayed peaks ascribable to bulk Ga(As), Ga2O, and Ga2O3, as well as a peak shifted 0.30 eV to higher binding energy relative to the bulk signal. A peak corresponding to Ga(OH)3, observed on the Cl-terminated surface, was absent from all of the phosphine-functionalized surfaces. After reaction of the Cl-terminated GaAs(111)A surface with PCl3 or PEt3, the As 3d spectral region was free of As oxides and As0. Although native oxide-terminated GaAs surfaces were free of As oxides after reaction with PCl3, such surfaces contained detectable amounts of As0. Photoluminescence measurements indicted that phosphine-functionalized surfaces prepared from Cl-terminated GaAs(111)A surfaces had better electrical properties than the native-oxide capped GaAs(111)A surface, while the native-oxide covered surface treated with PCl3 showed no enhancement in PL intensity.« less

  18. Insulators obtained by electron cyclotron resonance plasmas on Si or GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diniz, J.A.; Doi, I.; Swart, J.W

    2003-03-15

    Silicon oxynitride (SiO{sub x}N{sub y}) and nitride (SiN{sub x}) insulators have been deposited or grown (with or without silane in the gas mixture, respectively) by electron cyclotron resonance (ECR) plasmas on Si and/or GaAs substrates at room temperature (20 deg. C) and low pressures (up to 10 mTorr). Chemical bonding characteristics of the SiO{sub x}N{sub y} and SiN{sub x} films were evaluated using Fourier transform infrared spectrometry (FTIR). The profile measurements determined the film thickness, the deposition (or oxidation) rate and the etch rates in buffered HF (BHF). The refractive indexes and the thicknesses were determined by ellipsometry. The effectivemore » interface charge densities were determined by capacitance-voltage (C-V) measurements. With these processes and analyses, different films were obtained and optimized. Suitable gate insulators for metal-insulator-semiconductor (MIS) devices with low interface charge densities were developed: (a) SiN{sub x} films deposited by ECR-chemical vapor deposition (ECR-PECVD) on GaAs substrates; (b) SiO{sub x}N{sub y} insulators obtained by low-energy molecular nitrogen ion ({sup 28}N{sub 2}{sup +}) implantation (energy of 5 keV and dose of 1x10{sup 15}/cm{sup 2}) in Si substrates prior to high-density O{sub 2} ECR plasma oxidation; and (c) SiO{sub x}N{sub y} insulators grown (without silane in the gas mixture) by O{sub 2}/N{sub 2}/Ar ECR plasma 'oxynitridation'. Furthermore, some SiN{sub x} films also present very good masking characteristics for local oxidation of silicon process.« less

  19. Combination of surface- and interference-enhanced Raman scattering by CuS nanocrystals on nanopatterned Au structures

    PubMed Central

    Yeryukov, Nikolay A; Sveshnikova, Larisa L; Duda, Tatyana A; Rodyakina, Ekaterina E; Gridchin, Victor A; Sheremet, Evgeniya S; Zahn, Dietrich R T

    2015-01-01

    Summary We present the results of a Raman study of optical phonons in CuS nanocrystals (NCs) with a low areal density fabricated through the Langmuir–Blodgett technology on nanopatterned Au nanocluster arrays using a combination of surface- and interference-enhanced Raman scattering (SERS and IERS, respectively). Micro-Raman spectra of one monolayer of CuS NCs deposited on a bare Si substrate reveal only features corresponding to crystalline Si. However, a new relatively strong peak occurs in the Raman spectrum of CuS NCs on Au nanocluster arrays at 474 cm−1. This feature is related to the optical phonon mode in CuS NCs and manifests the SERS effect. For CuS NCs deposited on a SiO2 layer this phonon mode is also observed due to the IERS effect. Its intensity changes periodically with increasing SiO2 layer thickness for different laser excitation lines and is enhanced by a factor of about 30. CuS NCs formed on Au nanocluster arrays fabricated on IERS substrates combine the advantages of SERS and IERS and demonstrate stronger SERS enhancement allowing for the observation of Raman signals from CuS NCs with an ultra-low areal density. PMID:25977845

  20. Nitridation of porous GaAs by an ECR ammonia plasma

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  1. Photoluminescence spectroscopy and the effective mass theory of strained (In,Ga)As/GaAs heterostructures grown on (112)B GaAs substrates

    NASA Technical Reports Server (NTRS)

    Henderson, R. H.; Sun, D.; Towe, E.

    1995-01-01

    The photoluminescence characteristics of pseudomorphic In(0.19)Ga(0.81)As/GaAs quantum well structures grown on both the conventional (001) and the unconventional (112)B GaAs substrate are investigated. It is found that the emission spectra of the structures grown on the (112)B surface exhibit some spectral characteristics not observed on similar structures grown on the (001) surface. A spectral blue shift of the e yields hh1 transition with increasing optical pump intensity is observed for the quantum wells on the (112) surface. This shift is interpreted to be evidence of a strain-induced piezoelectric field. A second spectral feature located within the band gap of the In(0.19)Ga(0.81)As layer is also observed for the (112) structure; this feature is thought to be an impurity-related emission. The expected transition energies of the quantum well structures are calculated using the effective mass theory based on the 4 x 4 Luttinger valence band Hamiltonian, and related strain Hamiltonian.

  2. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    ERIC Educational Resources Information Center

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  3. Lindemann histograms as a new method to analyse nano-patterns and phases

    NASA Astrophysics Data System (ADS)

    Makey, Ghaith; Ilday, Serim; Tokel, Onur; Ibrahim, Muhamet; Yavuz, Ozgun; Pavlov, Ihor; Gulseren, Oguz; Ilday, Omer

    The detection, observation, and analysis of material phases and atomistic patterns are of great importance for understanding systems exhibiting both equilibrium and far-from-equilibrium dynamics. As such, there is intense research on phase transitions and pattern dynamics in soft matter, statistical and nonlinear physics, and polymer physics. In order to identify phases and nano-patterns, the pair correlation function is commonly used. However, this approach is limited in terms of recognizing competing patterns in dynamic systems, and lacks visualisation capabilities. In order to solve these limitations, we introduce Lindemann histogram quantification as an alternative method to analyse solid, liquid, and gas phases, along with hexagonal, square, and amorphous nano-pattern symmetries. We show that the proposed approach based on Lindemann parameter calculated per particle maps local number densities to material phase or particles pattern. We apply the Lindemann histogram method on dynamical colloidal self-assembly experimental data and identify competing patterns.

  4. GaAs VLSI technology and circuit elements for DSP

    NASA Astrophysics Data System (ADS)

    Mikkelson, James M.

    1990-10-01

    Recent progress in digital GaAs circuit performance and complexity is presented to demonstrate the current capabilities of GaAs components. High density GaAs process technology and circuit design techniques are described and critical issues for achieving favorable complexity speed power and cost tradeoffs are reviewed. Some DSP building blocks are described to provide examples of what types of DSP systems could be implemented with present GaAs technology. DIGITAL GaAs CIRCUIT CAPABILITIES In the past few years the capabilities of digital GaAs circuits have dramatically increased to the VLSI level. Major gains in circuit complexity and power-delay products have been achieved by the use of silicon-like process technologies and simple circuit topologies. The very high speed and low power consumption of digital GaAs VLSI circuits have made GaAs a desirable alternative to high performance silicon in hardware intensive high speed system applications. An example of the performance and integration complexity available with GaAs VLSI circuits is the 64x64 crosspoint switch shown in figure 1. This switch which is the most complex GaAs circuit currently available is designed on a 30 gate GaAs gate array. It operates at 200 MHz and dissipates only 8 watts of power. The reasons for increasing the level of integration of GaAs circuits are similar to the reasons for the continued increase of silicon circuit complexity. The market factors driving GaAs VLSI are system design methodology system cost power and reliability. System designers are hesitant or unwilling to go backwards to previous design techniques and lower levels of integration. A more highly integrated system in a lower performance technology can often approach the performance of a system in a higher performance technology at a lower level of integration. Higher levels of integration also lower the system component count which reduces the system cost size and power consumption while improving the system reliability

  5. Staircase and saw-tooth field emission steps from nanopatterned n-type GaSb surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kildemo, M.; Levinsen, Y. Inntjore; Le Roy, S.

    2009-09-15

    High resolution field emission experiments from nanopatterned GaSb surfaces consisting of densely packed nanocones prepared by low ion-beam-energy sputtering are presented. Both uncovered and metal-covered nanopatterned surfaces were studied. Surprisingly, the field emission takes place by regular steps in the field emitted current. Depending on the field, the steps are either regular, flat, plateaus, or saw-tooth shaped. To the author's knowledge, this is the first time that such results have been reported. Each discrete jump in the field emission may be understood in terms of resonant tunneling through an extended surface space charge region in an n-type, high aspect ratio,more » single GaSb nanocone. The staircase shape may be understood from the spatial distribution of the aspect ratio of the cones.« less

  6. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    NASA Technical Reports Server (NTRS)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  7. Intermixing optical and microwave signals in GaAs microstrip circuits for phase-locking applications

    NASA Astrophysics Data System (ADS)

    Li, Ming G.; Chauchard, Eve A.; Lee, Chi H.; Hung, Hing-Loi A.

    1990-12-01

    The microwave modulation of the interference generated by optical beams that are reflected from the top and bottom surfaces of GaAs substrate adjacent to a microstrip line is studied. The detected modulation is used to directly characterize the electrooptic effect. This optical-microwave intermixing technique is applied to phase-lock a free-running microwave oscillator with picosecond laser pulses. One potential application of this technique is for the optical on-wafer characterization of MMICs.

  8. GaN-Based Light-Emitting Diodes Grown on Nanoscale Patterned Sapphire Substrates with Void-Embedded Cortex-Like Nanostructures

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Sheng; Yeh, J. Andrew

    2011-09-01

    High-efficiency GaN-based light-emitting diodes (LEDs) with an emitting wavelength of 438 nm were demonstrated utilizing nanoscale patterned sapphire substrates with void-embedded cortex-like nanostructures (NPSS-VECN). Unlike the previous nanopatterned sapphire substrates, the presented substrate has a new morphology that can not only improve the crystalline quality of GaN epilayers but also generate a void-embedded nanostructural layer to enhance light extraction. Under a driving current of 20 mA, the external quantum efficiency of an LED with NPSS-VECN is enhanced by 2.4-fold compared with that of the conventional LED. Moreover, the output powers of two devices respectively are 33.1 and 13.9 mW.

  9. Modeling and Simulation of Capacitance-Voltage Characteristics of a Nitride GaAs Schottky Diode

    NASA Astrophysics Data System (ADS)

    Ziane, Abderrezzaq; Amrani, Mohammed; Benamara, Zineb; Rabehi, Abdelaziz

    2018-06-01

    A nitride GaAs Schottky diode has been fabricated by the nitridation of GaAs substrates using a radio frequency discharge nitrogen plasma source with a layer thickness of approximately 0.7 nm of GaN. The capacitance-voltage (C-V) characteristics of the Au/GaN/GaAs structure were investigated at room temperature for different frequencies, ranging from 1 kHz to 1 MHz. The C-V measurements for the Au/GaN/GaAs Schottky diode were found to be strongly dependent on the bias voltage and the frequency. The capacitance curves depict an anomalous peak and a negative capacitance phenomenon, indicating the presence of continuous interface state density behavior. A numerical drift-diffusion model based on the Scharfetter-Gummel algorithm was elaborated to solve a system composed of the Poisson and continuities equations. In this model, we take into account the continuous interface state density, and we have considered exponential and Gaussian distributions of trap states in the band gap. The effects of the GaAs doping concentration and the trap state density are discussed. We deduce the shape and values of the trap states, then we validate the developed model by fitting the computed C-V curves with experimental measurements at low frequency.

  10. Confinement induced ordering in dewetting of ultra-thin polymer bilayers on nanopatterned substrates.

    PubMed

    Bhandaru, Nandini; Das, Anuja; Mukherjee, Rabibrata

    2016-01-14

    We report the dewetting of a thin bilayer of polystyrene (PS) and poly(methylmethacrylate) (PMMA) on a topographically patterned nonwettable substrate comprising an array of pillars, arranged in a square lattice. With a gradual increase in the concentration of the PMMA solution (Cn-PMMA), the morphology of the bottom layer changes to: (1) an aligned array of spin dewetted droplets arranged along substrate grooves at very low Cn-PMMA; (2) an interconnected network of threads surrounding each pillar at intermediate Cn-PMMA; and (3) a continuous bottom layer at higher Cn-PMMA. On the other hand the morphology of the PS top layer depends largely on the nature of the pre-existing bottom layer, in addition to Cn-PS. An ordered array of PMMA core-PS shell droplets forms right after spin coating when both Cn-PMMA and Cn-PS are very low. Bilayers with all other initial configurations evolve during thermal annealing, resulting in a variety of ordered structures. Unique morphologies realized include laterally coexisting structures of the two polymers confined within the substrate grooves due to initial rupture of the bottom layer on the substrate followed by a squeezing flow of the top layer; an array of core-shell and single polymer droplets arranged in an alternating order etc., to highlight a few. Such structures cannot be fabricated by any stand-alone lithography technique. On the other hand, in some cases the partially dewetted bottom layer imparts stability to an intact top PS layer against dewetting. Apart from ordering, under certain specific conditions significant miniaturization and downsizing of dewetted feature periodicity and dimension as compared to dewetting of a single layer on a flat substrate is observed. With the help of a morphology phase diagram we show that ordering is achieved over a wide combination of Cn-PMMA and Cn-PS, though the morphology and dewetting pathway differs significantly with variation in the thickness of the individual layers.

  11. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    NASA Astrophysics Data System (ADS)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  12. Manipulation of the response of human endothelial colony-forming cells by focal adhesion assembly using gradient nanopattern plates.

    PubMed

    Cui, Long-Hui; Joo, Hyung Joon; Kim, Dae Hwan; Seo, Ha-Rim; Kim, Jung Suk; Choi, Seung-Cheol; Huang, Li-Hua; Na, Ji Eun; Lim, I-Rang; Kim, Jong-Ho; Rhyu, Im Joo; Hong, Soon Jun; Lee, Kyu Back; Lim, Do-Sun

    2018-01-01

    Nanotopography plays a pivotal role in the regulation of cellular responses. Nonetheless, little is known about how the gradient size of nanostructural stimuli alters the responses of endothelial progenitor cells without chemical factors. Herein, the fabrication of gradient nanopattern plates intended to mimic microenvironment nanotopography is described. The gradient nanopattern plates consist of nanopillars of increasing diameter ranges [120-200 nm (GP 120/200), 200-280 nm (GP 200/280), and 280-360 nm (GP 280/360)] that were used to screen the responses of human endothelial colony-forming cells (hECFCs). Nanopillars with a smaller nanopillar diameter caused the cell area and perimeter of hECFCs to decrease and their filopodial outgrowth to increase. The structure of vinculin (a focal adhesion marker in hECFCs) was also modulated by nanostructural stimuli of the gradient nanopattern plates. Moreover, Rho-associated protein kinase (ROCK) gene expression was significantly higher in hECFCs cultured on GP 120/200 than in those on flat plates (no nanopillars), and ROCK suppression impaired the nanostructural-stimuli-induced vinculin assembly. These results suggest that the gradient nanopattern plates generate size-specific nanostructural stimuli suitable for manipulation of the response of hECFCs, in a process dependent on ROCK signaling. This is the first evidence of size-specific nanostructure-sensing behavior of hECFCs. Nano feature surfaces are of growing interest as materials for a controlled response of various cells. In this study, we successfully fabricated gradient nanopattern plates to manipulate the response of blood-derived hECFCs without any chemical stimulation. Interestingly, we find that the sensitive nanopillar size for manipulation of hECFCs is range between 120 nm and 200 nm, which decreased the area and increased the filopodial outgrowth of hECFCs. Furthermore, we only modulate the nanopillar size to increase ROCK expression can be an

  13. Nanopatterned muscle cell patches for enhanced myogenesis and dystrophin expression in a mouse model of muscular dystrophy.

    PubMed

    Yang, Hee Seok; Ieronimakis, Nicholas; Tsui, Jonathan H; Kim, Hong Nam; Suh, Kahp-Yang; Reyes, Morayma; Kim, Deok-Ho

    2014-02-01

    Skeletal muscle is a highly organized tissue in which the extracellular matrix (ECM) is composed of highly-aligned cables of collagen with nanoscale feature sizes, and provides structural and functional support to muscle fibers. As such, the transplantation of disorganized tissues or the direct injection of cells into muscles for regenerative therapy often results in suboptimal functional improvement due to a failure to integrate with native tissue properly. Here, we present a simple method in which biodegradable, biomimetic substrates with precisely controlled nanotopography were fabricated using solvent-assisted capillary force lithography (CFL) and were able to induce the proper development and differentiation of primary mononucleated cells to form mature muscle patches. Cells cultured on these nanopatterned substrates were highly-aligned and elongated, and formed more mature myotubes as evidenced by up-regulated expression of the myogenic regulatory factors Myf5, MyoD and myogenin (MyoG). When transplanted into mdx mice models for Duchenne muscular dystrophy (DMD), the proposed muscle patches led to the formation of a significantly greater number of dystrophin-positive muscle fibers, indicating that dystrophin replacement and myogenesis is achievable in vivo with this approach. These results demonstrate the feasibility of utilizing biomimetic substrates not only as platforms for studying the influences of the ECM on skeletal muscle function and maturation, but also to create transplantable muscle cell patches for the treatment of chronic and acute muscle diseases or injuries. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. Fabrication of non-hexagonal close packed colloidal array on a substrate by transfer

    NASA Astrophysics Data System (ADS)

    Banik, Meneka; Mukherjee, Rabibrata

    Self-organized colloidal arrays find application in fabrication of solar cells with advanced light management strategies. We report a simple spincoating based approach for fabricating two dimensional colloidal crystals with hexagonal and non-hexagonal close packed assembly on flat and nanopatterned substrates. The non-HCP arrays were fabricated by spin coating the particles onto soft lithographically fabricated substrates. The substrate patterns impose directionality to the particles by confining them within the grooves. We have developed a technique by which the HCP and non-HCP arrays can be transferred to any surface. For this purpose the colloidal arrays were fabricated on a UV degradable PMMA layer, resulting in transfer of the particles on UV exposure. This allows the colloidal structures to be transported across substrates irrespective of their surface energy, wettability or morphology. Since the particles are transferred without exposing it to any kind of chemical or thermal environment, it can be utilized for placing particles on top of thin film solar cells for improving their absorption efficiency.

  15. Single Junction InGaP/GaAs Solar Cells Grown on Si Substrates using SiGe Buffer Layers

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Carlin, J. A.; Andre, C. L.; Hudait, M. K.; Gonzalez, M.; Wilt, D. M.; Clark, E. B.; Jenkins, P.; Scheiman, D.; Allerman, A.

    2002-01-01

    Single junction InGaP/GaAs solar cells displaying high efficiency and record high open circuit voltage values have been grown by metalorganic chemical vapor deposition on Ge/graded SiGe/Si substrates. Open circuit voltages as high as 980 mV under AM0 conditions have been verified to result from a single GaAs junction, with no evidence of Ge-related sub-cell photoresponse. Current AM0 efficiencies of close to 16% have been measured for a large number of small area cells, whose performance is limited by non-fundamental current losses due to significant surface reflection resulting from greater than 10% front surface metal coverage and wafer handling during the growth sequence for these prototype cells. It is shown that at the material quality currently achieved for GaAs grown on Ge/SiGe/Si substrates, namely a 10 nanosecond minority carrier lifetime that results from complete elimination of anti-phase domains and maintaining a threading dislocation density of approximately 8 x 10(exp 5) per square centimeter, 19-20% AM0 single junction GaAs cells are imminent. Experiments show that the high performance is not degraded for larger area cells, with identical open circuit voltages and higher short circuit current (due to reduced front metal coverage) values being demonstrated, indicating that large area scaling is possible in the near term. Comparison to a simple model indicates that the voltage output of these GaAs on Si cells follows ideal behavior expected for lattice mismatched devices, demonstrating that unaccounted for defects and issues that have plagued other methods to epitaxially integrate III-V cells with Si are resolved using SiGe buffers and proper GaAs nucleation methods. These early results already show the enormous and realistic potential of the virtual SiGe substrate approach for generating high efficiency, lightweight and strong III-V solar cells.

  16. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    PubMed

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  17. Selective MBE growth of hexagonal networks of trapezoidal and triangular GaAs nanowires on patterned (1 1 1)B substrates

    NASA Astrophysics Data System (ADS)

    Tamai, Isao; Hasegawa, Hideki

    2007-04-01

    As a combination of novel hardware architecture and novel system architecture for future ultrahigh-density III-V nanodevice LSIs, the authors' group has recently proposed a hexagonal binary decision diagram (BDD) quantum circuit approach where gate-controlled path switching BDD node devices for a single or few electrons are laid out on a hexagonal nanowire network to realize a logic function. In this paper, attempts are made to establish a method to grow highly dense hexagonal nanowire networks for future BDD circuits by selective molecular beam epitaxy (MBE) on (1 1 1)B substrates. The (1 1 1)B orientation is suitable for BDD architecture because of the basic three-fold symmetry of the BDD node device. The growth experiments showed complex evolution of the cross-sectional structures, and it was explained in terms of kinetics determining facet boundaries. Straight arrays of triangular nanowires with 60 nm base width as well as hexagonal arrays of trapezoidal nanowires with a node density of 7.5×10 6 cm -2 were successfully grown with the aid of computer simulation. The result shows feasibility of growing high-density hexagonal networks of GaAs nanowires with precise control of the shape and size.

  18. Design and fabrication of GaAs OMIST photodetector

    NASA Astrophysics Data System (ADS)

    Kang, Xuejun; Lin, ShiMing; Liao, Qiwei; Gao, Junhua; Liu, Shi'an; Cheng, Peng; Wang, Hongjie; Zhang, Chunhui; Wang, Qiming

    1998-08-01

    We designed and fabricated GaAs OMIST (Optical-controlled Metal-Insulator-Semiconductor Thyristor) device. Using oxidation of AlAs layer that is grown by MBE forms the Ultra- Thin semi-Insulating layer (UTI) of the GAAS OMIST. The accurate control and formation of high quality semi-insulating layer (AlxOy) are the key processes for fabricating GaAs OMIST. The device exhibits a current-controlled negative resistance region in its I-V characteristics. When illuminated, the major effect of optical excitation is the reduction of the switching voltage. If the GaAs OMIST device is biased at a voltage below its dark switching voltage Vs, sufficient incident light can switch OMIST from high impedance low current 'off' state to low impedance high current 'on' state. The absorbing material of OMIST is GaAS, so if the wavelength of incident light within 600 to approximately 850 nm can be detected effectively. It is suitable to be used as photodetector for digital optical data process. The other attractive features of GaAs OMIST device include suitable conducted current, switching voltage and power levels for OEIC, high switch speed and high sensitivity to light or current injection.

  19. Mechanical strength and tribological behavior of ion-beam deposited boron nitride films on non-metallic substrates

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Buckley, Donald H.; Pouch, John J.; Alterovitz, Samuel A.; Sliney, Harold E.

    1987-01-01

    An investigation was conducted to examine the mechanical strength and tribological properties of boron nitride (BN) films ion-beam deposited on silicon (Si), fused silica (SiO2), gallium arsenide (GaAs), and indium phosphide (InP) substrates in sliding contact with a diamond pin under a load. The results of the investigation indicate that BN films on nonmetallic substrates, like metal films on metallic substrates, deform elastically and plastically in the interfacial region when in contact with a diamond pin. However, unlike metal films and substrates, BN films on nonmetallic substrates can fracture when they are critically loaded. Not only does the yield pressure (hardness) of Si and SiO2 substrates increase by a factor of 2 in the presence of a BN film, but the critical load needed to fracture increases as well. The presence of films on the brittle substrates can arrest crack formation. The BN film reduces adhesion and friction in the sliding contact. BN adheres to Si and SiO2 and forms a good quality film, while it adheres poorly to GaAs and InP. The interfacial adhesive strengths were 1 GPa for a BN film on Si and appreciably higher than 1 GPa for a BN film on SiO2.

  20. Mechanical strength and tribological behavior of ion-beam-deposited boron nitride films on non-metallic substrates

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.; Sliney, Harold E.; Buckley, Donald H.

    1987-01-01

    An investigation was conducted to examine the mechanical strength and tribological properties of boron nitride (BN) films ion-beam deposited on silicon (Si), fused silica (SiO2), gallium arsenide (GaAs), and indium phosphide (InP) substrates in sliding contact with a diamond pin under a load. The results of the investigation indicate that BN films on nonmetallic substrates, like metal films on metallic substrates, deform elastically and plastically in the interfacial region when in contact with a diamond pin. However, unlike metal films and substrates, BN films on nonmetallic substrates can fracture when they are critically loaded. Not only does the yield pressure (hardness) of Si and SiO2 substrates increase by a factor of 2 in the presence of a BN film, but the critical load needed to fracture increases as well. The presence of films on the brittle substrates can arrest crack formation. The BN film reduces adhesion and friction in the sliding contact. BN adheres to Si and SiO2 and forms a good quality film, while it adheres poorly to GaAs and InP. The interfacial adhesive strengths were 1 GPa for a BN film on Si and appreciably higher than 1 GPa for a BN film on SiO2.

  1. Characteristics of GaAs with inverted thermal conversion

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    GaAs crystals exhibiting inverted thermal conversion (ITC) of resistivity were investigated in conjunction with standard semiinsulating (SI) GaAs regarding characteristics important in device processing. It was established that dislocation density and Si implant activation are unaffected by transformation to the ITC state. However, in ITC GaAs the controlled increase of the EL2 (native midgap donor) concentration during annealing makes it possible to attain resistivities one order of magnitude greater (e.g., about 10 to the 9th ohm cm of 300 K) than those attained in standard SI GaAs (e.g., 10 to the 7th-10 to the 8th ohm cm).

  2. RNA nanopatterning on graphene

    NASA Astrophysics Data System (ADS)

    Li, Q.; Froning, J. P.; Pykal, M.; Zhang, S.; Wang, Z.; Vondrák, M.; Banáš, P.; Čépe, K.; Jurečka, P.; Šponer, J.; Zbořil, R.; Dong, M.; Otyepka, M.

    2018-07-01

    Graphene-based materials enable the sensing of diverse biomolecules using experimental approaches based on electrochemistry, spectroscopy, or other methods. Although basic sensing was achieved, it had until now not been possible to understand and control biomolecules’ structural and morphological organization on graphene surfaces (i.e. their stacking, folding/unfolding, self-assembly, and nano-patterning). Here we present the insight into structural and morphological organization of biomolecules on graphene in water, using an RNA hairpin as a model system. We show that the key parameters governing the RNA’s behavior on the graphene surface are the number of graphene layers, RNA concentration, and temperature. At high concentrations, the RNA forms a film on the graphene surface with entrapped nanobubbles. The density and the size of the bubbles depend on the number of graphene layers. At lower concentrations, unfolded RNA stacks on the graphene and forms molecular clusters on the surface. Such a control over the conformational behavior of interacting biomolecules at graphene/water interfaces would facilitate new applications of graphene derivatives in biotechnology and biomedicine.

  3. Large-scale fabrication of polymer/Ag core-shell nanorod array as flexible SERS substrate by combining direct nanoimprint and electroless deposition

    NASA Astrophysics Data System (ADS)

    Liu, Sisi; Xu, Zhimou; Sun, Tangyou; Zhao, Wenning; Wu, Xinghui; Ma, Zhichao; Xu, Haifeng; He, Jian; Chen, Cunhua

    2014-06-01

    We demonstrate a highly sensitive surface-enhanced Raman scattering (SERS) substrate, which consists of Ag nanoparticles (NPs) assembled on the surface of a nanopatterned polymer film. The fabrication route of a polymer/Ag core-shell nanorod (PACSN) array employed a direct nanoimprint technique to create a high-resolution polymer nanorod array. The obtained nanopatterned polymer film was subjected to electroless deposition to form a sea-cucumber-like Ag shell over the surface of the polymer nanorod. The morphology and structures of PACSNs were analyzed by using scanning electron microscopy and X-ray diffraction. The as-synthesized PACSNs exhibited a remarkable SERS activity and Raman signal reproducibility to rhodamine 6G, and a concentration down to 10-12 M can be identified. The effect of electroless deposition time of Ag NPs onto the polymer nanorod surface was investigated. It was found that the electroless deposition time played an important role in SERS activity. Our results revealed that the combination of direct nanoimprint and electroless deposition provided a convenient and cost-effective way for large-scale fabrication of reliable SERS substrates without the requirement of expensive instruments.

  4. Study of a MHEMT heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2015-08-15

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for themore » (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure.« less

  5. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    The handbook discusses the history of GaAs solar cell development, presents equations useful for working with GaAs solar cells, describes commonly used instrumentation techniques for assessing radiation effects in solar cells and fundamental processes occurring in solar cells exposed to ionizing radiation, and explains why radiation decreases the electrical performance of solar cells. Three basic elements required to perform solar array degradation calculations: degradation data for GaAs solar cells after irradiation with 1 MeV electrons at normal incidence; relative damage coefficients for omnidirectional electron and proton exposure; and the definition of the space radiation environment for the orbit of interest, are developed and used to perform a solar array degradation calculation.

  6. Modeling the Effects of Nanopatterned Surfaces on Wetting States of Droplets

    NASA Astrophysics Data System (ADS)

    Xiao, Ke; Zhao, Yanping; Ouyang, Gang; Li, Xinlei

    2017-04-01

    An analytic thermodynamic model has been established to quantitatively investigate the wetting states of droplets on nanopatterned surfaces. Based on the calculations for the free energies of droplets with the Wenzel state and the Cassie-Baxter state, it is found that the size and shape of nanostructured surfaces play crucial roles in wetting states. In detail, for nanohole-patterned surfaces, the deep and thin nanoholes lead to the Cassie-Baxter state, and contrarily, the shallow and thick nanoholes result in the Wenzel state. However, the droplets have the Wenzel state on the patterned surfaces with small height and radii nanopillars and have the Cassie-Baxter state when the height and radii of nanopillars are large. Furthermore, the intuitive phase diagrams of the wetting states of the droplet in the space of surface geometrical parameters are obtained. The theoretical results are in good agreement with the experimental observations and reveal physical mechanisms involved in the effects of nanopatterned surfaces on wetting states, which implies that these studies may provide useful guidance to the conscious design of patterned surfaces to control the wetting states of droplets.

  7. Gas-assisted electron-beam-induced nanopatterning of high-quality titanium oxide.

    PubMed

    Riazanova, A V; Costanzi, B N; Aristov, A I; Rikers, Y G M; Mulders, J J L; Kabashin, A V; Dahlberg, E Dan; Belova, L M

    2016-03-18

    Electron-beam-induced deposition of titanium oxide nanopatterns is described. The precursor is titanium tetra-isopropoxide, delivered to the deposition point through a needle and mixed with oxygen at the same point via a flow through a separate needle. The depositions are free of residual carbon and have an EDX determined stoichiometry of TiO2.2. High resolution transmission electron microscopy and Raman spectroscopy studies reveal an amorphous structure of the fabricated titanium oxide. Ellipsometric characterization of the deposited material reveals a refractive index of 2.2-2.4 RIU in the spectral range of 500-1700 nm and a very low extinction coefficient (lower than 10(-6) in the range of 400-1700 nm), which is consistent with high quality titanium oxide. The electrical resistivity of the titanium oxide patterned with this new process is in the range of 10-40 GΩ cm and the measured breakdown field is in the range of 10-70 V μm(-1). The fabricated nanopatterns are important for a variety of applications, including field-effect transistors, memory devices, MEMS, waveguide structures, bio- and chemical sensors.

  8. Gas-assisted electron-beam-induced nanopatterning of high-quality titanium oxide

    NASA Astrophysics Data System (ADS)

    Riazanova, A. V.; Costanzi, B. N.; Aristov, A. I.; Rikers, Y. G. M.; Mulders, J. J. L.; Kabashin, A. V.; Dahlberg, E. Dan; Belova, L. M.

    2016-03-01

    Electron-beam-induced deposition of titanium oxide nanopatterns is described. The precursor is titanium tetra-isopropoxide, delivered to the deposition point through a needle and mixed with oxygen at the same point via a flow through a separate needle. The depositions are free of residual carbon and have an EDX determined stoichiometry of TiO2.2. High resolution transmission electron microscopy and Raman spectroscopy studies reveal an amorphous structure of the fabricated titanium oxide. Ellipsometric characterization of the deposited material reveals a refractive index of 2.2-2.4 RIU in the spectral range of 500-1700 nm and a very low extinction coefficient (lower than 10-6 in the range of 400-1700 nm), which is consistent with high quality titanium oxide. The electrical resistivity of the titanium oxide patterned with this new process is in the range of 10-40 GΩ cm and the measured breakdown field is in the range of 10-70 V μm-1. The fabricated nanopatterns are important for a variety of applications, including field-effect transistors, memory devices, MEMS, waveguide structures, bio- and chemical sensors.

  9. Modification of electrical properties of silicon dioxide through intrinsic nano-patterns

    NASA Astrophysics Data System (ADS)

    Majee, Subimal; Barshilia, Devesh; Banerjee, Debashree; Kumar, Sanjeev; Mishra, Prabhash; Akhtar, Jamil

    2018-05-01

    The inherent network of nanopores and voids in silicon dioxide (SiO2) is generally undesirable for aspects of film quality, electrical insulation and dielectric performance. However, if we view these pores as natural nano-patterns embedded in a dielectric matrix then that opens up new vistas for exploration. The nano-pattern platform can be used to tailor electrical, optical, magnetic and mechanical properties of the carrier film. In this article we report the tunable electrical properties of thermal SiO2 thin-film achieved through utilization of the metal-nanopore network where the pores are filled with metallic Titanium (Ti). Without any intentional chemical doping, we have shown that the electrical resistivity of the oxide film can be controlled through physical filling up of the intrinsic oxide nanopores with Ti. The electrical resistivity of the composite film remains constant even after complete removal of the metal from the film surface except the pores. Careful morphological, electrical and structural analyses are carried out to establish that the presence of Ti in the nanopores play a crucial role in the observed conductive nature of the nanoporous film.

  10. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1979-01-01

    The optimization of space processing of GaAs is described. The detailed compositional, structural, and electronic characterization of GaAs on a macro- and microscale and the relationships between growth parameters and the properties of GaAs are among the factors discussed. The key parameters limiting device performance are assessed.

  11. Investigation of Surface Enhanced Coherent Raman Scattering on Nano-patterned Insect Wings

    NASA Astrophysics Data System (ADS)

    Ujj, Laszlo; Lawhead, Carlos

    2015-03-01

    Many insect wings (cicadas, butterflies, mosquitos) poses nano-patterned surface structure. Characterization of surface morphology and chemical composition of insect wings is important to understand the extreme mechanical properties and the biophysical functionalities of the wings. We have measured the image of the membrane of a cicada's wing with the help of Scanning Electron Microscopy (SEM). The results confirm the existing periodic structure of the wing measured previously. In order to identify the chemical composition of the wing, we have deposited silver nanoparticles on it and applied Coherent anti-Stokes Raman Spectroscopy to measure the vibrational spectra of the molecules comprising the wing for the first time. The measured spectra are consistent with the original assumption that the wing membrane is composed of protein, wax, and chitin. The results of these studies can be used to measure other nano-patterned surfaces and to make artificial materials in the future. Authors grateful for financial support from the Department of Physics of the College of Sciences Engineering and Health of UWF and the Pall Corporation for SEM imaging.

  12. Strain-driven growth of GaAs(111) quantum dots with low fine structure splitting

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yerino, Christopher D.; Jung, Daehwan; Lee, Minjoo Larry, E-mail: minjoo.lee@yale.edu

    2014-12-22

    Symmetric quantum dots (QDs) on (111)-oriented surfaces are promising candidates for generating polarization-entangled photons due to their low excitonic fine structure splitting (FSS). However, (111) QDs are difficult to grow. The conventional use of compressive strain to drive QD self-assembly fails to form 3D nanostructures on (111) surfaces. Instead, we demonstrate that (111) QDs self-assemble under tensile strain by growing GaAs QDs on an InP(111)A substrate. Tensile GaAs self-assembly produces a low density of QDs with a symmetric triangular morphology. Coherent, tensile QDs are observed without dislocations, and the QDs luminescence at room temperature. Single QD measurements reveal low FSSmore » with a median value of 7.6 μeV, due to the high symmetry of the (111) QDs. Tensile self-assembly thus offers a simple route to symmetric (111) QDs for entangled photon emitters.« less

  13. Reduction of Line Edge Roughness of Polystyrene-block-Poly(methyl methacrylate) Copolymer Nanopatterns By Introducing Hydrogen Bonding at the Junction Point of Two Block Chains.

    PubMed

    Lee, Kyu Seong; Lee, Jaeyong; Kwak, Jongheon; Moon, Hong Chul; Kim, Jin Kon

    2017-09-20

    To apply well-defined block copolymer nanopatterns to next-generation lithography or high-density storage devices, small line edge roughness (LER) of nanopatterns should be realized. Although polystyrene-block-poly(methyl methacrylate) copolymer (PS-b-PMMA) has been widely used to fabricate nanopatterns because of easy perpendicular orientation of the block copolymer nanodomains and effective removal of PMMA block by dry etching, the fabricated nanopatterns show poorer line edge roughness (LER) due to relatively small Flory-Huggins interaction parameter (χ) between PS and PMMA chains. Here, we synthesized PS-b-PMMA with urea (U) and N-(4-aminomethyl-benzyl)-4-hydroxymethyl-benzamide (BA) moieties at junction of PS and PMMA chains (PS-U-BA-PMMA) to improve the LER. The U-BA moieties serves as favorable interaction (hydrogen bonding) sites. The LER of PS line patterns obtained from PS-U-BA-PMMA was reduced ∼25% compared with that obtained from neat PS-b-PMMA without BA and U moieties. This is attributed to narrower interfacial width induced by hydrogen bonding between two blocks, which is confirmed by small-angle X-ray scattering. This result implies that the introduction of hydrogen bonding into block copolymer interfaces offers an opportunity to fabricate well-defined nanopatterns with improved LER by block copolymer self-assembly, which could be a promising alternative to next-generation extreme ultraviolet lithography.

  14. Characterisation of semi-insulating GaAs

    NASA Technical Reports Server (NTRS)

    Walukiewicz, W.; Pawlowicz, L.; Lagowski, J.; Gatos, H. C.

    1982-01-01

    Hole and electron mobilities as functions of temperature and ionised impurity concentration are calculated for GaAs. It is shown that these calculations, when used to analyse electrical properties of semi-insulating GaAs, enable an assessment of the Fermi energy position and ionised impurity concentration to be made. In contrast to previous work, the analysis does not require any phenomenological assumptions.

  15. Crystal Growth of Device Quality Gaas in Space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.

    1985-01-01

    The GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and (3) investigation of electronic properties and phenomena controlling device applications and device performance. This effort is aimed at the essential ground-based program which would insure successful experimentation with and eventually processing of GaAs in near zero gravity environment. It is believed that this program addresses in a unique way materials engineering aspects which bear directly on the future exploitation of the potential of GaAs and related materials in device and systems applications.

  16. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The crystal growth, device processing and device related properties and phenomena of GaAs are investigated. Our GaAs research evolves about these key thrust areas. The overall program combines: (1) studies of crystal growth on novel approaches to engineering of semiconductor materials (i.e., GaAs and related compounds); (2) investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; (3) investigation of electronic properties and phenomena controlling device applications and device performance. The ground based program is developed which would insure successful experimentation with and eventually processing of GaAs in a near zero gravity environment.

  17. Supernormal hardness increase of dilute Ga(As, N) thin films

    NASA Astrophysics Data System (ADS)

    Berggren, Jonas; Hanke, Michael; Luna, Esperanza; Trampert, Achim

    2017-03-01

    Hardness of epitaxial GaAs1-xNx films on GaAs(001) with different film thicknesses, varying from 80 to 700 nm, and nitrogen compositions x between zero (pure GaAs) and 0.031, were studied by means of nano-indentation. As a result, a disproportionate and monotonic increase by 17% in hardness was proved in the dilute range from GaAs to GaAs0.969N0.031. We are tracing this observation to solid solution strengthening, an extrinsic effect based on dislocation pinning due to interstitial nitrogen. On the other hand, intrinsic effects related to different electronegativities of As and N (i.e., altered bonding conditions) could be ruled out. Furthermore, in tensilely strained GaAs1-xNx layers, the appearance of cracks acts as the main strain relieving mechanism. A correlation between cracking and hardness reduction is investigated and discussed as a further relaxation pathway.

  18. Highly Tunable Complementary Micro/Submicro-Nanopatterned Surfaces Combining Block Copolymer Self-Assembly and Colloidal Lithography.

    PubMed

    Chang, Tongxin; Du, Binyang; Huang, Haiying; He, Tianbai

    2016-08-31

    Two kinds of large-area ordered and highly tunable micro/submicro-nanopatterned surfaces in a complementary manner were successfully fabricated by elaborately combining block copolymer self-assembly and colloidal lithography. Employing a monolayer of polystyrene (PS) colloidal spheres assembled on top as etching mask, polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) or polystyrene-block-poly(4-vinylpyridine) (PS-b-P4VP) micelle films were patterned into micro/submicro patches by plasma etching, which could be further transferred into micropatterned metal nanoarrays by subsequent metal precursor loading and a second plasma etching. On the other hand, micro/submicro-nanopatterns in a complementary manner were generated via preloading a metal precursor in initial micelle films before the assembly of PS colloidal spheres on top. Both kinds of micro/submicro-nanopatterns showed good fidelity at the micro/submicroscale and nanoscale; meanwhile, they could be flexibly tuned by the sample and processing parameters. Significantly, when the PS colloidal sphere size was reduced to 250 nm, a high-resolution submicro-nanostructured surface with 3-5 metal nanoparticles in each patch or a single-nanoparticle interconnected honeycomb network was achieved. Moreover, by applying gold (Au) nanoparticles as anchoring points, micronanopatterned Au arrays can serve as a flexible template to pattern bovine serum albumin (BSA) molecules. This facile and cost-effective approach may provide a novel platform for fabrication of micropatterned nanoarrays with high tunability and controllability, which are promising in the applications of biological and microelectronic fields.

  19. Fabrication and characterization of the noble metal nanostructures on the GaAs surface

    NASA Astrophysics Data System (ADS)

    Gladskikh, Polina V.; Gladskikh, Igor A.; Toropov, Nikita A.; Vartanyan, Tigran A.

    2016-04-01

    Self-assembled silver, gold, and copper nanostructures on the monocrystalline GaAs (100) wafer surface were obtained via physical vapor deposition and characterized by optical reflection spectroscopy, scanning electron microscopy, and current-voltage curve measurements. Reflection spectra of the samples with Ag equivalent thicknesses of 2, 5, 7.5, and 10 nm demonstrated wide plasmonic bands in the visible range of spectra. Thermal annealing of the nanostructures led to narrowing of the plasmonic bands of Au and Ag nanostructures caused by major transformations of the film morphology. While the as prepared films predominantly had a small scale labyrinth structure, after annealing well-separated nanoislands are formed on the gallium arsenide surface. A clear correlation between films morphology and their optical and electrical properties is elucidated. Annealing of the GaAs substrate with Ag nanostructures at 100 °C under control of the resistivity allowed us to obtain and fix the structure at the percolation threshold. It is established that the samples at the percolation threshold possess the properties of resistance switching and hysteresis.

  20. Doping assessment in GaAs nanowires.

    PubMed

    Goktas, N Isik; Fiordaliso, E M; LaPierre, R R

    2018-06-08

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  1. Doping assessment in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Isik Goktas, N.; Fiordaliso, E. M.; LaPierre, R. R.

    2018-06-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p–n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs was studied. The GaAs NWs were grown on (111) Si by molecular beam epitaxy using the self-assisted method. The dopant incorporation in the self-assisted GaAs NWs was investigated using Raman spectroscopy, photoluminescence, secondary ion mass spectrometry and electron holography. Be-doped NWs showed similar carrier concentration as compared to thin film (TF) standards. However, Te-doped NWs showed at least a one order of magnitude lower carrier concentration as compared to TF standards. Dopant incorporation mechanisms in NWs are discussed.

  2. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics.

    PubMed

    Broderick, Christopher A; Jin, Shirong; Marko, Igor P; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L; Stolz, Wolfgang; Rorison, Judy M; O'Reilly, Eoin P; Volz, Kerstin; Sweeney, Stephen J

    2017-04-19

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs 1-x Bi x /GaN y As 1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs 0.967 Bi 0.033 /GaN 0.062 As 0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  3. GaAs1-xBix/GaNyAs1-y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    NASA Astrophysics Data System (ADS)

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O'Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-04-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1-xBix/GaNyAs1-y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications.

  4. InGaN-Based Light-Emitting Diodes Grown on a Micro/Nanoscale Hybrid Patterned Sapphire Substrate.

    PubMed

    Ke, Wen-Cheng; Lee, Fang-Wei; Chiang, Chih-Yung; Liang, Zhong-Yi; Chen, Wei-Kuo; Seong, Tae-Yeon

    2016-12-21

    A hybrid patterned sapphire substrate (hybrid-PSS) was prepared using an anodic aluminum oxide etching mask to transfer nanopatterns onto a conventional patterned sapphire substrate with microscale patterns (bare-PSS). The threading dislocation (TD) suppression of light-emitting diodes (LEDs) grown on a hybrid-PSS (HP-LED) exhibits a smaller reverse leakage current compared with that of LEDs grown on a bare-PSS (BP-LED). The strain-free GaN buffer layer and fully strained InGaN active layer were evidenced by cross-sectional Raman spectra and reciprocal space mapping of the X-ray diffraction intensity for both samples. The calculated piezoelectric fields for both samples are close, implying that the quantum-confined Stark effect was not a dominant mechanism influencing the electroluminescence (EL) peak wavelength under a high injection current. The bandgap shrinkage effect of the InGaN well layer was considered to explain the large red-shifted EL peak wavelength under high injection currents. The estimated LED chip temperatures rise from room temperature to 150 °C and 75 °C for BP-LED and HP-LED, respectively, at a 600-mA injection current. This smaller temperature rise of the LED chip is attributed to the increased contact area between the sapphire and the LED structural layer because of the embedded nanopattern. Although the chip generates more heat at high injection currents, the accumulated heat can be removed to outside the chip effectively. The high diffuse reflection (DR) rate of hybrid-PSS increases the escape probability of photons, resulting in an increase in the viewing angle of the LEDs from 130° to 145°. The efficiency droop was reduced from 46% to 35%, effects which can be attributed to the elimination of TDs and strain relaxation by embedded nanopatterns. In addition, the light output power of HP-LED at 360-mA injection currents exhibits a ∼ 22.3% enhancement, demonstrating that hybrid-PSSs are beneficial to apply in high-power LEDs.

  5. Plasma deposited diamondlike carbon on GaAs and InP

    NASA Technical Reports Server (NTRS)

    Warner, J. D.; Pouch, J. J.; Alterovitz, S. A.; Liu, D. C.; Lanford, W. A.

    1984-01-01

    The properties of diamond like carbon films grown by RF flow discharge 30 kHz plasma using methane are reported. The Cls XPS line shape of films showed localized hybrid carbon bonds as low as 40 to as high as 95 percent. Infrared spectroscopy and N(15) nuclear reaction profiling data indicated 35 to 42 percent hydrogen, depending inversely on deposition temperature. The deposition rate of films on Si falls off exponentially with substrate temperature, and nucleation does not occur above 200 C on GaAs and InP. Optical data of the films showed bandgap values of 2.0 to 2.4 eV increasing monotonically with CH4 flow rate.

  6. Structure of high-index GaAs surfaces - the discovery of the stable GaAs(2511) surface

    NASA Astrophysics Data System (ADS)

    Jacobi, K.; Geelhaar, L.; Márquez, J.

    We present a brief overview of surface structures of high-index GaAs surfaces, putting emphasis on recent progress in our own laboratory. By adapting a commercial scanning tunneling microscope (STM) to our molecular beam epitaxy and ultra high vacuum analysis chamber system, we have been able to atomically resolve the GaAs( {1} {1} {3})B(8 ×1), (114)Aα2(2×1), (137), (3715), and (2511) surface structures. In cooperation with P. Kratzer and M. Scheffler from the Theory Department of the Fritz-Haber Institute we determined the structure of some of these surfaces by comparing total-energy calculations and STM image simulations with the atomically resolved STM images. We present the results for the {112}, {113}, and {114} surfaces. Then we describe what led us to proceed into the inner parts of the stereographic triangle and to discover the hitherto unknown stable GaAs(2511) surface.

  7. Electrode pattern design for GaAs betavoltaic batteries

    NASA Astrophysics Data System (ADS)

    Haiyang, Chen; Jianhua, Yin; Darang, Li

    2011-08-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  8. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  9. Photoemission study of CaF2- and SrF2-GaAs(110) interfaces formed at room temperature

    NASA Astrophysics Data System (ADS)

    Mao, D.; Young, K.; Kahn, A.; Zanoni, R.; McKinley, J.; Margaritondo, G.

    1989-06-01

    Interfaces formed by evaporating CaF2 or SrF2 on room-temperature GaAs(110) are studied with synchrotron-radiation photoemission spectroscopy. The fluoride films grow uniformly on the GaAs surface. The deposition of CaF2 and SrF2 induces a large initial band bending on p-type GaAs (~0.9 eV) and a small initial band bending on n-type GaAs (~0.25 eV). The valence band is dominated by the F 2p peak which shifts toward high binding energies by ~1.5 eV after the deposition of >=16 Å fluoride. This shift reflects an increase in the valence-band offset between the two materials as the film forms. The final band offsets are estimated at 7.7 and 8.0 eV for CaF2 and SrF2, respectively, and are in qualitative agreement with those expected from the fluoride-Si data. Core-level measurements indicate that no reaction or decomposition of the MF2 molecule takes place at the interface. The F 2s core-level line shape and the increase in the binding-energy separation of F 2s and Ca 3p with increasing coverage suggest the presence of an interface F component. Contrary to the CaF2/Si case, no measurable Ca-substrate bonding effect is observed. The dissociative effect of uv irradiation on the CaF2 film is also investigated.

  10. Understanding and Curing Structural Defects in Colloidal GaAs Nanocrystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Srivastava, Vishwas; Liu, Wenyong; Janke, Eric M.

    2017-02-22

    Nearly three decades since the first report on the synthesis of colloidal GaAs nanocrystals (NCs), the preparation and properties of this material remain highly controversial. Traditional synthetic routes either fail to produce the GaAs phase or result in materials that do not show expected optical properties such as excitonic transitions. In this work, we demonstrate a variety of synthetic routes toward crystalline GaAs NCs. By using a combination of Raman, EXAFS and transient absorption spectroscopies, we conclude that unusual optical properties of 2 colloidal GaAs NCs can be related to the presence of vacancies and lattice disorder. We introduce novelmore » molten salt based annealing approach to alleviate these structural defects and show the emergence of size-dependent excitonic transitions in colloidal GaAs quantum dots.« less

  11. Automatic hammering of nano-patterns on special polymer film by using a vibrating AFM tip

    PubMed Central

    2012-01-01

    Complicated nano-patterns with linewidth less than 18 nm can be automatically hammered by using atomic force microscopy (AFM) tip in tapping mode with high speed. In this study, the special sample was thin poly(styrene-ethylene/butylenes-styrene) (SEBS) block copolymer film with hexagonal spherical microstructures. An ordinary silicon tip was used as a nano-hammer, and the entire hammering process is controlled by a computer program. Experimental results demonstrate that such structure-tailored thin films enable AFM tip hammering to be performed on their surfaces. Both imprinted and embossed nano-patterns can be generated by using a vibrating tip with a larger tapping load and by using a predefined program to control the route of tip movement as it passes over the sample’s surface. Specific details for the fabrication of structure-tailored SEBS film and the theory for auto-hammering patterns were presented in detail. PMID:22889045

  12. Overcoming Ehrlich-Schwöbel barrier in (1 1 1)A GaAs molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ritzmann, Julian; Schott, Rüdiger; Gross, Katherine; Reuter, Dirk; Ludwig, Arne; Wieck, Andreas D.

    2018-01-01

    In this work, we first study the effect of different growth parameters on the molecular beam epitaxy (MBE) growth of GaAs layers on (1 1 1)A oriented substrates. After that we present a method for the MBE growth of atomically smooth layers by sequences of growth and annealing phases. The samples exhibit low surface roughness and good electrical properties shown by atomic force microscopy (AFM), scanning electron microscopy (SEM) and van-der-Pauw Hall measurements.

  13. Gate Drain Underlapped-PNIN-GAA-TFET for Comprehensively Upgraded Analog/RF Performance

    NASA Astrophysics Data System (ADS)

    Madan, Jaya; Chaujar, Rishu

    2017-02-01

    This work integrates the merits of gate-drain underlapping (GDU) and N+ source pocket on cylindrical gate all around tunnel FET (GAA-TFET) to form GDU-PNIN-GAA-TFET. It is analysed that the source pocket located at the source-channel junction narrows the tunneling barrier width at the tunneling junction and thereby enhances the ON-state current of GAA-TFET. Further, it is obtained that the GDU resists the extension of carrier density (built-up under the gated region) towards the drain side (under the underlapped length), thereby suppressing the ambipolar current and reducing the parasitic capacitances of GAA-TFET. Consequently, the amalgamated merits of both engineering schemes are obtained in GDU-PNIN-GAA-TFET that thus conquers the greatest challenges faced by TFET. Thus, GDU-PNIN-GAA-TFET results in an up-gradation in the overall performance of GAA-TFET. Moreover, it is realised that the RF figure of merits FOMs such as cut-off frequency (fT) and maximum oscillation frequency (fMAX) are also considerably improved with integration of source pocket on GAA-TFET. Thus, the improved analog and RF performance of GDU-PNIN-GAA-TFET makes it ideal for low power and high-speed applications.

  14. Growth and Photovoltaic Properties of High-Quality GaAs Nanowires Prepared by the Two-Source CVD Method.

    PubMed

    Wang, Ying; Yang, Zaixing; Wu, Xiaofeng; Han, Ning; Liu, Hanyu; Wang, Shuobo; Li, Jun; Tse, WaiMan; Yip, SenPo; Chen, Yunfa; Ho, Johnny C

    2016-12-01

    Growing high-quality and low-cost GaAs nanowires (NWs) as well as fabricating high-performance NW solar cells by facile means is an important development towards the cost-effective next-generation photovoltaics. In this work, highly crystalline, dense, and long GaAs NWs are successfully synthesized using a two-source method on non-crystalline SiO2 substrates by a simple solid-source chemical vapor deposition method. The high V/III ratio and precursor concentration enabled by this two-source configuration can significantly benefit the NW growth and suppress the crystal defect formation as compared with the conventional one-source system. Since less NW crystal defects would contribute fewer electrons being trapped by the surface oxides, the p-type conductivity is then greatly enhanced as revealed by the electrical characterization of fabricated NW devices. Furthermore, the individual single NW and high-density NW parallel arrays achieved by contact printing can be effectively fabricated into Schottky barrier solar cells simply by employing asymmetric Ni-Al contacts, along with an open circuit voltage of ~0.3 V. All these results indicate the technological promise of these high-quality two-source grown GaAs NWs, especially for the realization of facile Schottky solar cells utilizing the asymmetric Ni-Al contact.

  15. Improvements of MCT MBE Growth on GaAs

    NASA Astrophysics Data System (ADS)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  16. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  17. Panel fabrication utilizing GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  18. GaAs1−xBix/GaNyAs1−y type-II quantum wells: novel strain-balanced heterostructures for GaAs-based near- and mid-infrared photonics

    PubMed Central

    Broderick, Christopher A.; Jin, Shirong; Marko, Igor P.; Hild, Konstanze; Ludewig, Peter; Bushell, Zoe L.; Stolz, Wolfgang; Rorison, Judy M.; O’Reilly, Eoin P.; Volz, Kerstin; Sweeney, Stephen J.

    2017-01-01

    The potential to extend the emission wavelength of photonic devices further into the near- and mid-infrared via pseudomorphic growth on conventional GaAs substrates is appealing for a number of communications and sensing applications. We present a new class of GaAs-based quantum well (QW) heterostructure that exploits the unusual impact of Bi and N on the GaAs band structure to produce type-II QWs having long emission wavelengths with little or no net strain relative to GaAs, while also providing control over important laser loss processes. We theoretically and experimentally demonstrate the potential of GaAs1−xBix/GaNyAs1−y type-II QWs on GaAs and show that this approach offers optical emission and absorption at wavelengths up to ~3 µm utilising strain-balanced structures, a first for GaAs-based QWs. Experimental measurements on a prototype GaAs0.967Bi0.033/GaN0.062As0.938 structure, grown via metal-organic vapour phase epitaxy, indicate good structural quality and exhibit both photoluminescence and absorption at room temperature. The measured photoluminescence peak wavelength of 1.72 μm is in good agreement with theoretical calculations and is one of the longest emission wavelengths achieved on GaAs to date using a pseudomorphically grown heterostructure. These results demonstrate the significant potential of this new class of III-V heterostructure for long-wavelength applications. PMID:28422129

  19. Sub-wavelength Laser Nanopatterning using Droplet Lenses

    NASA Astrophysics Data System (ADS)

    Duocastella, Martí; Florian, Camilo; Serra, Pere; Diaspro, Alberto

    2015-11-01

    When a drop of liquid falls onto a screen, e.g. a cell phone, the pixels lying underneath appear magnified. This lensing effect is a combination of the curvature and refractive index of the liquid droplet. Here, the spontaneous formation of such lenses is exploited to overcome the diffraction limit of a conventional laser direct-writing system. In particular, micro-droplets are first laser-printed at user-defined locations on a surface and they are later used as lenses to focus the same laser beam. Under conditions described herein, nanopatterns can be obtained with a reduction in spot size primarily limited by the refractive index of the liquid. This all-optics approach is demonstrated by writing arbitrary patterns with a feature size around 280 nm, about one fourth of the processing wavelength.

  20. Facile fabrication of uniaxial nanopatterns on shape memory polymer substrates using a complete bottom-up approach

    NASA Astrophysics Data System (ADS)

    Chen, Zhongbi; Krishnaswamy, Sridhar

    2014-03-01

    In earlier work, we have demonstrated an assisted self-assembly fabrication method for unidirectional submicron patterns using pre-programmed shape memory polymers (SMP) as the substrate in an organic/inorganic bilayer structure. In this paper, we propose a complete bottom-up method for fabrication of uniaxial wrinkles whose wavelength is below 300 nm. The method starts with using the aforementioned self-assembled bi-layer wrinkled surface as the template to make a replica of surface wrinkles on a PDMS layer which is spin-coated on a pre-programmed SMP substrate. When the shape recovery of the substrate is triggered by heating it to its transition temperature, the substrate has been programmed in such a way that it shrinks uniaxially to return to its permanent shape. Consequently, the wrinkle wavelength on PDMS reduces accordingly. A subsequent contact molding process is carried out on the PDMS layer spin-coated on another pre-programmed SMP substrate, but using the wrinkled PDMS surface obtained in the previous step as the master. By activating the shape recovery of the substrate, the wrinkle wavelength is further reduced a second time in a similar fashion. Our experiments showed that the starting wavelength of 640 nm decreased to 290 nm after two cycles of recursive molding. We discuss the advantages and limitations of our recursive molding approach compared to the prevalent top-down fabrication methods represented by lithography. The present study is expected to o er a simple and cost-e ective fabrication method of nano-scale uniaxial wrinkle patterns with the potential for large-scale mass-production.

  1. Comparisons of single event vulnerability of GaAs SRAMS

    NASA Astrophysics Data System (ADS)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  2. Selective bactericidal activity of nanopatterned superhydrophobic cicada Psaltoda claripennis wing surfaces.

    PubMed

    Hasan, Jafar; Webb, Hayden K; Truong, Vi Khanh; Pogodin, Sergey; Baulin, Vladimir A; Watson, Gregory S; Watson, Jolanta A; Crawford, Russell J; Ivanova, Elena P

    2013-10-01

    The nanopattern on the surface of Clanger cicada (Psaltoda claripennis) wings represents the first example of a new class of biomaterials that can kill bacteria on contact based solely on its physical surface structure. As such, they provide a model for the development of novel functional surfaces that possess an increased resistance to bacterial contamination and infection. Their effectiveness against a wide spectrum of bacteria, however, is yet to be established. Here, the bactericidal properties of the wings were tested against several bacterial species, possessing a range of combinations of morphology and cell wall type. The tested species were primarily pathogens, and included Bacillus subtilis, Branhamella catarrhalis, Escherichia coli, Planococcus maritimus, Pseudomonas aeruginosa, Pseudomonas fluorescens, and Staphylococcus aureus. The wings were found to consistently kill Gram-negative cells (i.e., B. catarrhalis, E. coli, P. aeruginosa, and P. fluorescens), while Gram-positive cells (B. subtilis, P. maritimus, and S. aureus) remained resistant. The morphology of the cells did not appear to play any role in determining cell susceptibility. The bactericidal activity of the wing was also found to be quite efficient; 6.1 ± 1.5 × 10(6) P. aeruginosa cells in suspension were inactivated per square centimeter of wing surface after 30-min incubation. These findings demonstrate the potential for the development of selective bactericidal surfaces incorporating cicada wing nanopatterns into the design.

  3. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Technical Reports Server (NTRS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-01-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  4. Prediction of dislocation generation during Bridgman growth of GaAs crystals

    NASA Astrophysics Data System (ADS)

    Tsai, C. T.; Yao, M. W.; Chait, Arnon

    1992-11-01

    Dislocation densities are generated in GaAs single crystals due to the excessive thermal stresses induced by temperature variations during growth. A viscoplastic material model for GaAs, which takes into account the movement and multiplication of dislocations in the plastic deformation, is developed according to Haasen's theory. The dislocation density is expressed as an internal state variable in this dynamic viscoplastic model. The deformation process is a nonlinear function of stress, strain rate, dislocation density and temperature. The dislocation density in the GaAs crystal during vertical Bridgman growth is calculated using a nonlinear finite element model. The dislocation multiplication in GaAs crystals for several temperature fields obtained from thermal modeling of both the GTE GaAs experimental data and artificially designed data are investigated.

  5. IMPATT Diodes Based on 〈111〉, 〈100〉, and 〈110〉 Oriented GaAs: A Comparative Study to Search the Best Orientation for Millimeter-Wave Atmospheric Windows

    PubMed Central

    Banerjee, Bhadrani; Tripathi, Anvita; Das, Adrija; Singh, Kumari Alka; Banerjee, J. P.

    2015-01-01

    The authors have carried out the large-signal (L-S) simulation of double-drift region (DDR) impact avalanche transit time (IMPATT) diodes based on 〈111〉, 〈100〉, and 〈110〉 oriented GaAs. A nonsinusoidal voltage excited (NSVE) L-S simulation technique is used to investigate both the static and L-S performance of the above-mentioned devices designed to operate at millimeter-wave (mm-wave) atmospheric window frequencies, such as 35, 94, 140, and 220 GHz. Results show that 〈111〉 oriented GaAs diodes are capable of delivering maximum RF power with highest DC to RF conversion efficiency up to 94 GHz; however, the L-S performance of 〈110〉 oriented GaAs diodes exceeds their other counterparts while the frequency of operation increases above 94 GHz. The results presented in this paper will be helpful for the future experimentalists to choose the GaAs substrate of appropriate orientation to fabricate DDR GaAs IMPATT diodes at mm-wave frequencies. PMID:27347524

  6. Laser generation in microdisc resonators with InAs/GaAs quantum dots transferred on a silicon substrate

    NASA Astrophysics Data System (ADS)

    Nadtochiy, A. M.; Kryzhanovskaya, N. V.; Maximov, M. V.; Zhukov, A. E.; Moiseev, E. I.; Kulagina, M. M.; Vashanova, K. A.; Zadiranov, Yu. M.; Mukhin, I. S.; Arakcheeva, E. M.; Livshits, D.; Lipovskii, A. A.

    2013-09-01

    Microdisc resonators based on InAs/GaAs quantum dots separated from a GaAs substrate by selective etching and fixed to a silicon substrate by epoxy glue are studied using luminescence spectroscopy. A disc resonator 6 μm in diameter exhibits quasi-single-mode laser generation at a temperature of 78 K with a threshold power of 320 μW and λ/Δλ ˜ 27000.

  7. I-V curve hysteresis induced by gate-free charging of GaAs nanowires' surface oxide

    NASA Astrophysics Data System (ADS)

    Alekseev, P. A.; Geydt, P.; Dunaevskiy, M. S.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2017-09-01

    The control of nanowire-based device performance requires knowledge about the transport of charge carriers and its limiting factors. We present the experimental and modeled results of a study of electrical properties of GaAs nanowires (NWs), considering their native oxide cover. Measurements of individual vertical NWs were performed by conductive atomic force microscopy (C-AFM). Experimental C-AFM observations with numerical simulations revealed the complex resistive behavior of NWs. A hysteresis of current-voltage characteristics of the p-doped NWs as-grown on substrates with different types of doping was registered. The emergence of hysteresis was explained by the trapping of majority carriers in the surface oxide layer near the reverse-biased barriers under the source-drain current. It was found that the accumulation of charge increases the current for highly doped p+-NWs on n+-substrates, while for moderately doped p-NWs on p+-substrates, charge accumulation decreases the current due to blocking of the conductive channel of NWs.

  8. Nanopatterned reconfigurable spin-textures for magnonics

    NASA Astrophysics Data System (ADS)

    Albisetti, E.; Petti, D.; Pancaldi, M.; Madami, M.; Tacchi, S.; Curtis, J.; King, W. P.; Papp, A.; Csaba, G.; Porod, W.; Vavassori, P.; Riedo, E.; Bertacco, R.

    The control of spin-waves holds the promise to enable energy-efficient information transport and wave-based computing. Conventionally, the engineering of spin-waves is achieved via physically patterning magnetic structures such as magnonic crystals and micro-nanowires. We demonstrate a new concept for creating reconfigurable magnonic nanostructures, by crafting at the nanoscale the magnetic anisotropy landscape of a ferromagnet exchange-coupled to an antiferromagnet. By performing a highly localized field cooling with the hot tip of a scanning probe microscope, magnetic structures, with arbitrarily oriented magnetization and tunable unidirectional anisotropy, are patterned without modifying the film chemistry and topography. We demonstrate that, in such structures, the spin-wave excitation and propagation can be spatially controlled at remanence, and can be tuned by external magnetic fields. This opens the way to the use of nanopatterned spin-textures, such as domains and domain walls, for exciting and manipulating magnons in reconfigurable nanocircuits. Partially funded by the EC through project SWING (no. 705326).

  9. Design of epitaxial CdTe solar cells on InSb substrates

    DOE PAGES

    Song, Tao; Kanevce, Ana; Sites, James R.

    2015-11-01

    Epitaxial CdTe has been shown by others to have a radiative recombination rate approaching unity, high carrier concentration, and low defect density. It has, therefore, become an attractive candidate for high-efficiency solar cells, perhaps becoming competitive with GaAs. The choice of substrate is a key design feature for epitaxial CdTe solar cells, and several possibilities (CdTe, Si, GaAs, and InSb) have been investigated by others. All have challenges, and these have generally been addressed through the addition of intermediate layers between the substrate and CdTe absorber. InSb is an attractive substrate choice for CdTe devices, because it has a closemore » lattice match with CdTe, it has low resistivity, and it is easy to contact. However, the valence-band alignment between InSb and p-type CdTe, which can both impede hole current and enhance forward electron current, is not favorable. Three strategies to address the band-offset problem are investigated by numerical simulation: heavy doping of the back part of the CdTe layer, incorporation of an intermediate CdMgTe or CdZnTe layer, and the formation of an InSb tunnel junction. Lastly, wach of these strategies is predicted to be helpful for higher cell performance, but a combination of the first two should be most effective.« less

  10. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1983-01-01

    GaAs device technology has recently reached a new phase of rapid advancement, made possible by the improvement of the quality of GaAs bulk crystals. At the same time, the transition to the next generation of GaAs integrated circuits and optoelectronic systems for commercial and government applications hinges on new quantum steps in three interrelated areas: crystal growth, device processing and device-related properties and phenomena. Special emphasis is placed on the establishment of quantitative relationships among crystal growth parameters-material properties-electronic properties and device applications. The overall program combines studies of crystal growth on novel approaches to engineering of semiconductor material (i.e., GaAs and related compounds); investigation and correlation of materials properties and electronic characteristics on a macro- and microscale; and investigation of electronic properties and phenomena controlling device applications and device performance.

  11. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    PubMed Central

    Ohno, Takeo; Oyama, Yutaka

    2012-01-01

    In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE), in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor. PMID:27877466

  12. Electrically conducting nanopatterns formed by chemical e-beam lithography via gold nanoparticle seeds.

    PubMed

    Schaal, Patrick A; Besmehn, Astrid; Maynicke, Eva; Noyong, Michael; Beschoten, Bernd; Simon, Ulrich

    2012-02-07

    We report the formation of thiol nanopatterns on SAM covered silicon wafers by converting sulfonic acid head groups via e-beam lithography. These thiol groups act as binding sites for gold nanoparticles, which can be enhanced to form electrically conducting nanostructures. This approach serves as a proof-of-concept for the combination of top-down and bottom-up processes for the generation of electrical devices on silicon.

  13. Upright and Inverted Single-Junction GaAs Solar Cells Grown by Hydride Vapor Phase Epitaxy

    DOE PAGES

    Simon, John; Schulte, Kevin L.; Jain, Nikhil; ...

    2016-10-19

    Hydride vapor phase epitaxy (HVPE) is a low-cost alternative to conventional metal-organic vapor phase epitaxy (MOVPE) growth of III-V solar cells. In this work, we show continued improvement of the performance of HVPE-grown single-junction GaAs solar cells. We show over an order of magnitude improvement in the interface recombination velocity between GaAs and GaInP layers through the elimination of growth interrupts, leading to increased short-circuit current density and open-circuit voltage compared with cells with interrupts. One-sun conversion efficiencies as high as 20.6% were achieved with this improved growth process. Solar cells grown in an inverted configuration that were removed frommore » the substrate showed nearly identical performance to on-wafer cells, demonstrating the viability of HVPE to be used together with conventional wafer reuse techniques for further cost reduction. As a result, these devices utilized multiple heterointerfaces, showing the potential of HVPE for the growth of complex and high-quality III-V devices.« less

  14. Crystallographic orientation of epitaxial BaTiO3 films: The role of thermal-expansion mismatch with the substrate

    NASA Astrophysics Data System (ADS)

    Srikant, V.; Tarsa, E. J.; Clarke, D. R.; Speck, J. S.

    1995-02-01

    Expitaxial ferroelectric BaTiO3 thin films have been grown on (001) MgO and MgO-buffered (001) GaAs substrates by pulsed laser deposition to explore the effect of substrate lattice parameter. X-ray-diffraction studies showed that the BaTiO3 films on both MgO single-crystal substrates and MgO-buffered (001) GaAs substrates have a cube-on-cube epitaxy; however, for the BaTiO3 films grown on MgO the spacing of the planes parallel to the substrate was close to the c-axis dimension of the unconstrained tetragonal phase, whereas the BaTiO3 films on MgO/GaAs exhibited a spacing closer to the a-axis dimension of the unconstrained tetragonal phase. The cube-on-cube epitaxy was maintained through the heterostructures even when thin epitaxial intermediate buffer layers of SrTiO3 and La(0.5)Sr(0.5)CoO3 were used. The intermediate layers had no effect on the position of the BaTiO3 peak in theta - 2 theta scans. Together, these observations indicate that, for the materials combinations studied, it is the thermal-expansion mismatch between the film and the underlying substrate that determines the crystallographic orientation of the BaTiO3 film. Preliminary measurements indicate that the BaTiO3 films are 'weakly' ferroelectric.

  15. Surface plasmon enhanced SWIR absorption at the ultra n-doped substrate/PbSe nanostructure layer interface

    NASA Astrophysics Data System (ADS)

    Wittenberg, Vladimir; Rosenblit, Michael; Sarusi, Gabby

    2017-08-01

    This work presents simulation results of the plasmon enhanced absorption that can be achieved in the short wavelength infrared (SWIR - 1200 nm to 1800 nm) spectral range at the interface between ultra-heavily doped substrates and a PbSe nanostructure non-epitaxial growth absorbing layer. The absorption enhancement simulated in this study is due to surface plasmon polariton (SPP) excitation at the interface between these ultra-heavily n-doped GaAs or GaN substrates, which are nearly semimetals to SWIR light, and an absorption layer made of PbSe nano-spheres or nano-columns. The ultra-heavily doped GaAs or GaN substrates are simulated as examples, based on the Drude-Lorentz permittivity model. In the simulation, the substrates and the absorption layer were patterned jointly to forma blazed lattice, and then were back-illuminated using SWIR with a central wavelength of 1500 nm. The maximal field enhancement achieved was 17.4 with a penetration depth of 40 nm. Thus, such architecture of an ultra-heavily doped semiconductor and infrared absorbing layer can further increase the absorption due to the plasmonic enhanced absorption effect in the SWIR spectral band without the need to use a metallic layer as in the case of visible light.

  16. A 1.2 THz Planar Tripler Using GaAs Membrane Based Chips

    NASA Technical Reports Server (NTRS)

    Bruston, J.; Maestrini, A.; Pukala, D.; Martin, S.; Nakamura, B.; Mehdi, I.

    2001-01-01

    Fabrication technology for submillimeter-wave monolithic circuits has made tremendous progress in recent years and it is now possible to fabricate sub-micron GaAs Schottky devices on a number of substrate types, such as membranes, frame-less membranes or substrateless circuits. These new technologies allow designers to implement very high frequency circuits, either Schottky mixers or multipliers, in a radically new manner. This paper will address the design, fabrication, and preliminary results of a 1.2 THz planar tripler fabricated on a GaAs frame-less membrane, the concept of which was described previously. The tripler uses a diode pair in an antiparallel configuration similar to designs used at lower frequency. To date, this tripler has produced a peak output power of 80 microW with 0.9% efficiency at room temperature (at 1126 GHz). The measured fix-tuned 3 dB bandwidth is about 3.5%. When cooled, the output power reached a peak of 195 microW at 120 K and 250 microW at 50 K. The ease with which this circuit was implemented along with the superb achieved performance indicates that properly designed planar devices such as this tripler can now usher in a new era of practical very high frequency multipliers.

  17. Oxidation of GaAs substrates to enable β-Ga2O3 films for sensors and optoelectronic devices

    NASA Astrophysics Data System (ADS)

    Mao, Howard; Alhalaili, Badriyah; Kaya, Ahmet; Dryden, Daniel M.; Woodall, Jerry M.; Islam, M. Saif

    2017-08-01

    A very simple and inexpensive method for growing β-Ga2O3 films by heating GaAs wafers at high temperature in a furnace was found to contribute to large-area, high-quality β-Ga2O3 nanoscale thin films as well as nanowires depending on the growth conditions. We present the material characterization results including the optical band gap, Schottky barrier height with metal (gold), field ionization and photoconductance of β-Ga2O3 film and nanowires.

  18. Long-term radiation effects on GaAs solar cell characteristics

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Doviak, M. J.

    1978-01-01

    This report investigates preliminary design considerations which should be considered for a space experiment involving Gallium Arsenide (GaAs) solar cells. The electron radiation effects on GaAs solar cells were conducted in a laboratory environment, and a statistical analysis of the data is presented. In order to augment the limited laboratory data, a theoretical investigation of the effect of radiation on GaAs solar cells is also developed. The results of this study are empirical prediction equations which can be used to estimate the actual damage of electrical characteristics in a space environment. The experimental and theoretical studies also indicate how GaAs solar cell parameters should be designed in order to withstand the effects of electron radiation damage.

  19. AC-coupled GaAs microstrip detectors with a new type of integrated bias resistors

    NASA Astrophysics Data System (ADS)

    Irsigler, R.; Geppert, R.; Göppert, R.; Hornung, M.; Ludwig, J.; Rogalla, M.; Runge, K.; Schmid, Th.; Söldner-Rembold, A.; Webel, M.; Weber, C.

    1998-02-01

    Full-size single-sided GaAs microstrip detectors with integrated coupling capacitors and bias resistors have been fabricated on 3″ substrate wafers. PECVD deposited SiO 2 and {SiO 2}/{Si 3N 4} layers were used to provide coupling capacitances of 32.5 and 61.6 pF/cm, respectively. The resistors are made of sputtered CERMET using simple lift of technique. The sheet resistivity of 78 kΩ/□ and the thermal coefficient of resistance of less than 4 × 10 -3/°C satisfy the demands of small area biasing resistors, working on a wide temperature range.

  20. GaAs thin films and methods of making and using the same

    DOEpatents

    Boettcher, Shannon; Ritenour, Andrew; Boucher, Jason; Greenaway, Ann

    2016-06-14

    Disclosed herein are embodiments of methods for making GaAs thin films, such as photovoltaic GaAs thin films. The methods disclosed herein utilize sources, precursors, and reagents that do not produce (or require) toxic gas and that are readily available and relatively low in cost. In some embodiments, the methods are readily scalable for industrial applications and can provide GaAs thin films having properties that are at least comparable to or potentially superior to GaAs films obtained from conventional methods.

  1. MOCVD Growth of III-V Photodetectors and Light Emitters for Integration of Optoelectronic Devices on Si substrates

    NASA Astrophysics Data System (ADS)

    Geng, Yu

    With the increase of clock speed and wiring density in integrated circuits, inter-chip and intra-chip interconnects through conventional electrical wires encounter increasing difficulties because of the large power loss and bandwidth limitation. Optical interconnects have been proposed as an alternative to copper-based interconnects and are under intense study due to their large data capacity, high data quality and low power consumption. III-V compound semiconductors offer high intrinsic electron mobility, small effective electron mass and direct bandgap, which make this material system advantageous for high-speed optoelectronic devices. The integration of III-V optoelectronic devices on Si substrates will provide the combined advantage of a high level of integration and large volume production of Si-based electronic circuitry with the superior electrical and optical performance of III-V components, paving the way to a new generation of hybrid integrated circuits. In this thesis, the direct heteroepitaxy of photodetectors (PDs) and light emitters using metal-organic chemical vapor deposition for the integration of photonic devices on Si substrates were studied. First we studied the selective-area growth of InP/GaAs on patterned Si substrates for PDs. To overcome the loading effect, a multi-temperature composite growth technique for GaAs was developed. By decreasing various defects such as dislocations and anti-phase domains, the GaAs and InP buffer layers are with good crystalline quality and the PDs show high speed and low dark current performance both at the edge and center of the large growth well. Then the growth and fabrication of GaAs/AlGaAs QW lasers were studied. Ellipsometry was used to calibrate the Al composition of AlGaAs. Thick p and n type AlGaAs with a mirrorlike surface were grown by high V/III ratio and high temperature. The GaAs/AlGaAs broad area QW laser was successfully grown and fabricated on GaAs substrate and showed a pulsed lasing result

  2. Nonlinear response of GaAs gratings in the extraordinary transmission regime.

    PubMed

    Vincenti, Maria Antonietta; de Ceglia, Domenico; Scalora, Michael

    2011-12-01

    We theoretically describe a way to enhance harmonic generation from subwavelength slits milled on semiconductor substrates in strongly absorptive regimes. The metal-like response typical of semiconductors, like GaAs and GaP, triggers enhanced transmission and nonlinear optical phenomena in the deep UV range. We numerically study correlations between linear and nonlinear responses and their intricacies in infinite arrays, and highlight differences between nonlinear surface and magnetic sources, and intrinsic χ((2)) and χ((3)) contributions to harmonic generation. The results show promising efficiencies at wavelengths below 120 nm, and reveal coupling of TE and TM polarizations for pump and harmonic signals. A downconversion process that can regenerate pump photons with polarization orthogonal to the incident pump is also discussed. © 2011 Optical Society of America

  3. Sulfur doping of GaAs with (NH4)2Sx solution

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Lam

    1999-01-01

    A novel technique for sulfur doping to GaAs was demonstrated. The surface of GaAs was treated with (NH4)2Sx solution, subsequent to annealing using either furnace or rapid thermal processing. Sulfur atoms adsorbed at the surface of GaAs during the (NH4)2Sx treatment diffuse into GaAs during the annealing. The diffusion profiles of sulfur in both types of annealing treatments show a concave shape from the GaAs surface. Diffusion constants of sulfur determined using the Boltzmann-Matano technique increase with the decrease of sulfur concentration via the depth from the surface of GaAs. This suggests that immobile sulfur donor SAs+ forms at the near surface interacts with a Ga divacancy, and results in the production of mobile As interstitials, IAs. The IAs moves fast toward the inside of GaAs and kickout the SAs+ donor, producing a fast diffusing species of interstitial S atoms. The diffusion coefficients of sulfur determined are 2.5×10-14 cm2/s at 840 °C and 5×10-12 cm2/s at 900 °C. The sulfur doping technique is applied to the fabrication of metal-semiconductor field-effect transistors (MESFETs). The MESFETs with 1.0 μm gate length exhibit transconductance of 190 mS/mm, demonstrating the applicability of this technique to the formation of active channel layer of MESFETs.

  4. Relation between trinucleotide GAA repeat length and sensory neuropathy in Friedreich's ataxia.

    PubMed

    Santoro, L; De Michele, G; Perretti, A; Crisci, C; Cocozza, S; Cavalcanti, F; Ragno, M; Monticelli, A; Filla, A; Caruso, G

    1999-01-01

    To verify if GAA expansion size in Friedreich's ataxia could account for the severity of sensory neuropathy. Retrospective study of 56 patients with Friedreich's ataxia selected according to homozygosity for GAA expansion and availability of electrophysiological findings. Orthodromic sensory conduction velocity in the median nerve was available in all patients and that of the tibial nerve in 46 of them. Data of sural nerve biopsy and of a morphometric analysis were available in 12 of the selected patients. The sensory action potential amplitude at the wrist (wSAP) and at the medial malleolus (m mal SAP) and the percentage of myelinated fibres with diameter larger than 7, 9, and 11 microm in the sural nerve were correlated with disease duration and GAA expansion size on the shorter (GAA1) and larger (GAA2) expanded allele in each pair. Pearson's correlation test and stepwise multiple regression were used for statistical analysis. A significant inverse correlation between GAA1 size and wSAP, m mal SAP, and percentage of myelinated fibres was found. Stepwise multiple regression showed that GAA1 size significantly affects electrophysiological and morphometric data, whereas duration of disease has no effect. The data suggest that the severity of the sensory neuropathy is probably genetically determined and that it is not progressive.

  5. Comparison of photoemission characteristics between square and circular wire array GaAs photocathodes.

    PubMed

    Deng, Wenjuan; Peng, Xincun; Zou, Jijun; Wang, Weilu; Liu, Yun; Zhang, Tao; Zhang, Yijun; Zhang, Daoli

    2017-11-10

    Two types of negative electron affinity gallium arsenide (GaAs) wire array photocathodes were fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material. High density GaAs wire arrays with high periodicity and good morphology were verified using scanning electron microscopy, and photoluminescence spectra confirmed the wire arrays had good crystalline quality. Reflection spectra showed that circular GaAs wire arrays had superior light trapping compared with square ones. However, after Cs/O activation, the square GaAs wire array photocathodes showed enhanced spectral response. The integral sensitivity of the square wire array photocathodes was approximately 2.8 times that of the circular arrays.

  6. Optical and electrical properties of GaN-based light emitting diodes grown on micro- and nano-scale patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Chiu, Ching-Hsueh; Lin, Chien-Chung; Deng, Dongmei; Kuo, Hao-Chung; Lau, Kei-May

    2011-10-01

    We investigate the optical and electrical characteristics of the GaN-based light emitting diodes (LEDs) grown on Micro and Nano-scale Patterned silicon substrate (MPLEDs and NPLEDs). The transmission electron microscopy (TEM) images reveal the suppression of threading dislocation density in InGaN/GaN structure on nano-pattern substrate due to nanoscale epitaxial lateral overgrowth (NELOG). The plan-view and cross-section cathodoluminescence (CL) mappings show less defective and more homogeneous active quantum well region growth on nano-porous substrates. From temperature dependent photoluminescence (PL) and low temperature time-resolved photoluminescence (TRPL) measurement, NPLEDs has better carrier confinement and higher radiative recombination rate than MPLEDs. In terms of device performance, NPLEDs exhibits smaller electroluminescence (EL) peak wavelength blue shift, lower reverse leakage current and decreases efficiency droop compared with the MPLEDs. These results suggest the feasibility of using NPSi for the growth of high quality and power LEDs on Si substrates.

  7. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    NASA Astrophysics Data System (ADS)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  8. Interface demarcation in GaAs by current pulsing

    NASA Technical Reports Server (NTRS)

    Matthiesen, D. H.; Kafalas, J. A.; Duchene, G. A.; Bellows, A. H.

    1990-01-01

    GTE Laboratories is currently conducting a program to investigate the effect of convection in the melt on the properties of bulk grown gallium arsenide (GaAs). In addition to extensive ground based experimentation, a Get Away Special growth system has been developed to grow two GaAs crystals aboard the Space Shuttle, each with a one inch diameter. In order to perform a complete segregation analysis of the crystals grown in space, it is necessary to measure the interface shape and growth rate as well as the spatial distribution of the selenium dopant. The techniques for interface demarcation in selenium doped GaAs by current pulsing have been developed at GTE Laboratories and successful interface demarcation has been achieved for current pulses ranging from 20 to 90 amps, in both single crystal and polycrystalline regions.

  9. Advanced GaAs Process Modeling. Volume 1

    DTIC Science & Technology

    1989-05-01

    COSATI CODES 18 . SUBJECT TERMS (Continue on reverse if necessary and identify by block number) FIELD GROUP SUB-GROUP Gallium Arsenide, MESFET, Process...Background 9 3.2 Model Calculations 10 3.3 Conclusions 17 IV. ION-IMPLANTATION INTO GaAs PROFILE DETERMINATION 18 4.1 Ion Implantation Profile...Determination in GaAs 18 4.1.1. Background 18 4.1.2. Experimental Measurements 20 4.1.3. Results 22 4.1.3.1 Ion-Energy Dependence 22 4.1.3.2. Tilt and Rotation

  10. Failure Mechanisms of GaAs Transistors - A Literature Survey

    DTIC Science & Technology

    1990-03-01

    doping profile cannot be as sharp as with epitaxial methods. This is the result of the statistics of the implantation and the general diffusion that...Speed GaAs Logic Gates 5.1 GaAs PLANAR TRANSITOR STRUCTURES USED IN IC’S Some planar transistor structures used in IC’s with examples of the

  11. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  12. Photon counting microstrip X-ray detectors with GaAs sensors

    NASA Astrophysics Data System (ADS)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  13. Interface structure and composition of MoO3/GaAs(0 0 1)

    NASA Astrophysics Data System (ADS)

    Sarkar, Anirban; Ashraf, Tanveer; Grafeneder, Wolfgang; Koch, Reinhold

    2018-04-01

    We studied growth, structure, stress, oxidation state as well as surface and interface structure and composition of thermally-evaporated thin MoO3 films on the technologically important III/V-semiconductor substrate GaAs(0 0 1). The MoO3 films grow with Mo in the 6+  oxidation state. The electrical resistance is tunable by the oxygen partial pressure during deposition from transparent insulating to semi-transparant halfmetallic. In the investigated growth temperature range (room temperature to 200 °C) no diffraction spots are detected by x-ray diffraction. However, high resolution transmission electron microscopy reveals the formation of MoO3 nanocrystal grains with diameters of 5–8 nm. At the interface a  ≈3 nm-thick intermediate layer has formed, where the single-crystal lattice of GaAs gradually transforms to the nanocrystalline MoO3 structure. This interpretation is corroborated by our in situ and real-time stress measurements evidencing a two-stage growth process as well as by elemental interface analysis revealing coexistance of Ga, As, Mo, and oxygen in a intermediate layer of 3–4 nm.

  14. Cathodoluminescence Characterization of Ion Implanted GaAs.

    DTIC Science & Technology

    1980-03-01

    technique that can be used to characterize the semiconductor device "in situ" before further processing can save the Air Force valuable time as well...Patterson Air Force Base,Ohio i! i ill i I ;Wow AFIT/DS/PH/80- I.i1I LEVELOO CATHODOLUMINESCENCE CHARACTERIZATION OF ION IPLANTED GaAs D I SSERUrAT ION...CATODOLUMINESCENCE CHARACTERIZATION .’ a .... OF ION IMPLANTED GaAs’ - .. .. Dtriy’ t’ c:’/ A’: t 1. - Cc;-,P by an i’or Milton L one B.S., M.S. Major USAF Approved

  15. Amplification in Double Heterostructure GaAs Lasers.

    DTIC Science & Technology

    1981-03-15

    done, for example, in the book by Siegman . When the laser signal which is to be amplified is a single mode, it is important to include the possibility...k A’AD-A097 862 AEROSPACE CORP EL SEGUNDO CA ELECTRONICS RESEARCH LAP) P 5 20/5 I AMPLIFICATION IN DOUBLE HETEROSTRUCTURE GAAS LASERS .(U IMAR al E...GARMIRE, M CHANG F04701-80-C-0081I UNCLASSIFIED TR GO81(6930 03)-2 SD-TA8-30 NL Amplification in Double Heterostructure GaAs Lasers E. GARMIRE nd M

  16. GaAs Surface Passivation for Device Applications.

    DTIC Science & Technology

    1981-12-01

    Ga203.’" . QI. a) / b) x 2.5 •• 24 21 18 As3d a) b) x 2. / 0 II 2 46 43 40 BINDING ENERGY (eV) Fig. 3 XPS spectra from a Ga2O3 covered GaAs surface of Ga...wU 24 21 Gas 18 SAs3d As2O3 ) .. 46 43 40 BINDING ENERGY (e) Fig. 4 XPS spectra from a AsJ03- Ga2O3 covered GaAs surface of Ga 3d (upper panel) and As

  17. Inverted thermal conversion - GaAs, a new alternative material for integrated circuits

    NASA Technical Reports Server (NTRS)

    Lagowski, J.; Gatos, H. C.; Kang, C. H.; Skowronski, M.; Ko, K. Y.

    1986-01-01

    A new type of GaAs is developed which exhibits inverted thermal conversion (ITC); i.e., it converts from conducting to semiinsulating upon annealing at about 850 C. In device fabrication, its low resistivity prior to high-temperature processing differentiates ITC GaAs from the standard semiinsulating GaAs. The ITC characteristics are obtained through control of the concentration of the midgap donor EL2 based on heat treatment and crystal-growth modification. Thus EL2 does not exist in the conducting state of ITC GaAs. Conversion to the semiinsulating state during 850 C annealing is caused by the formation of EL2.

  18. Transverse magnetic focussing of heavy holes in a (100) GaAs quantum well

    NASA Astrophysics Data System (ADS)

    Rendell, M.; Klochan, O.; Srinivasan, A.; Farrer, I.; Ritchie, D. A.; Hamilton, A. R.

    2015-10-01

    We perform magnetic focussing of high mobility holes confined in a shallow GaAs/Al0.33Ga0.67As quantum well grown on a (100) GaAs substrate. We observe ballistic focussing of holes over a path length of up to 4.9 μm with a large number of focussing peaks. We show that additional structure on the focussing peaks can be caused by a combination of the finite width of the injector quantum point contact and Shubnikov-de Haas oscillations. These results pave the way to studies of spin-dependent magnetic focussing and spin relaxation lengths in two-dimentional hole systems without complications of crystal anisotropies and anisotropic g-tensors.

  19. Stepwise molding, etching, and imprinting to form libraries of nanopatterned substrates.

    PubMed

    Zhao, Zhi; Cai, Yangjun; Liao, Wei-Ssu; Cremer, Paul S

    2013-06-04

    Herein, we describe a novel colloidal lithographic strategy for the stepwise patterning of planar substrates with numerous complex and unique designs. In conjunction with colloidal self-assembly, imprint molding, and capillary force lithography, reactive ion etching was used to create complex libraries of nanoscale features. This combinatorial strategy affords the ability to develop an exponentially increasing number of two-dimensional nanoscale patterns with each sequential step in the process. Specifically, dots, triangles, circles, and lines could be assembled on the surface separately and in combination with each other. Numerous architectures are obtained for the first time with high uniformity and reproducibility. These hexagonal arrays were made from polystyrene and gold features, whereby each surface element could be tuned from the micrometer size scale down to line widths of ~35 nm. The patterned area could be 1 cm(2) or even larger. The techniques described herein can be combined with further steps to make even larger libraries. Moreover, these polymer and metal features may prove useful in optical, sensing, and electronic applications.

  20. Near-field photochemical and radiation-induced chemical fabrication of nanopatterns of a self-assembled silane monolayer

    PubMed Central

    Hentschel, Carsten; Fontein, Florian; Stegemann, Linda; Hoeppener, Christiane; Fuchs, Harald; Hoeppener, Stefanie

    2014-01-01

    Summary A general concept for parallel near-field photochemical and radiation-induced chemical processes for the fabrication of nanopatterns of a self-assembled monolayer (SAM) of (3-aminopropyl)triethoxysilane (APTES) is explored with three different processes: 1) a near-field photochemical process by photochemical bleaching of a monomolecular layer of dye molecules chemically bound to an APTES SAM, 2) a chemical process induced by oxygen plasma etching as well as 3) a combined near-field UV-photochemical and ozone-induced chemical process, which is applied directly to an APTES SAM. All approaches employ a sandwich configuration of the surface-supported SAM, and a lithographic mask in form of gold nanostructures fabricated through colloidal sphere lithography (CL), which is either exposed to visible light, oxygen plasma or an UV–ozone atmosphere. The gold mask has the function to inhibit the photochemical reactions by highly localized near-field interactions between metal mask and SAM and to inhibit the radiation-induced chemical reactions by casting a highly localized shadow. The removal of the gold mask reveals the SAM nanopattern. PMID:25247126

  1. Microfluidic Channels on Nanopatterned Substrates: Monitoring Protein Binding to Lipid Bilayers with Surface-Enhanced Raman Spectroscopy

    PubMed Central

    Banerjee, Amrita; Perez-Castillejos, R.; Hahn, D.; Smirnov, Alex I.; Grebel, H.

    2013-01-01

    We used Surface Enhanced Raman Spectroscopy (SERS) to detect binding events between streptavidin and biotinylated lipid bilayers. The binding events took place at the surface between microfluidic channels and anodized aluminum oxide (AAO) with the latter serving as substrates. The bilayers were incorporated in the substrate pores. It was revealed that non-bound molecules were easily washed away and that large suspended cells (Salmonella enterica) are less likely to interfere with the monitoring process: when focusing to the lower surface of the channel, one may resolve mostly the bound molecules. PMID:24932024

  2. Study of structural properties of cubic InN films on GaAs(001) substrates by molecular beam epitaxy and migration enhanced epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Casallas-Moreno, Y. L.; Perez-Caro, M.; Gallardo-Hernandez, S.

    InN epitaxial films with cubic phase were grown by rf-plasma-assisted molecular beam epitaxy (RF-MBE) on GaAs(001) substrates employing two methods: migration-enhanced epitaxy (MEE) and conventional MBE technique. The films were synthesized at different growth temperatures ranging from 490 to 550 Degree-Sign C, and different In beam fluxes (BEP{sub In}) ranging from 5.9 Multiplication-Sign 10{sup -7} to 9.7 Multiplication-Sign 10{sup -7} Torr. We found the optimum conditions for the nucleation of the cubic phase of the InN using a buffer composed of several thin layers, according to reflection high-energy electron diffraction (RHEED) patterns. Crystallographic analysis by high resolution X-ray diffraction (HR-XRD)more » and RHEED confirmed the growth of c-InN by the two methods. We achieved with the MEE method a higher crystal quality and higher cubic phase purity. The ratio of cubic to hexagonal components in InN films was estimated from the ratio of the integrated X-ray diffraction intensities of the cubic (002) and hexagonal (1011) planes measured by X-ray reciprocal space mapping (RSM). For MEE samples, the cubic phase of InN increases employing higher In beam fluxes and higher growth temperatures. We have obtained a cubic purity phase of 96.4% for a film grown at 510 Degree-Sign C by MEE.« less

  3. Comparison of the reactivity of alkyl and alkyl amine precursors with native oxide GaAs(100) and InAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Henegar, A. J., , Dr.; Gougousi, T., , Prof.

    2016-12-01

    In this manuscript we compare the interaction of alkyl (trimethyl aluminum) and alkyl amine (tetrakis dimethylamino titanium) precursors during thermal atomic layer deposition with III-V native oxides. For that purpose we deposit Al2O3 and TiO2, using H2O as the oxidizer, on GaAs(100) and InAs(100) native oxide surfaces. We find that there are distinct differences in the behavior of the two films. For the Al2O3 ALD very little native oxide removal happens after the first few ALD cycles while the interaction of the alkyl amine precursor for TiO2 and the native oxides continues well after the surface has been covered with 2 nm of TiO2. This difference is traced to the superior properties of Al2O3 as a diffusion barrier. Differences are also found in the behavior of the arsenic oxides of the InAs and GaAs substrates. The arsenic oxides from the InAs surface are found to mix more efficiently in the growing dielectric film than those from the GaAs surface. This difference is attributed to lower native oxide stability as well as an initial diffusion path formation by the indium oxides.

  4. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    DTIC Science & Technology

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  5. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model.

    PubMed

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; Te Riele, Hein; Pook, Mark A

    2012-04-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. Copyright © 2012 Elsevier Inc. All rights reserved.

  6. The mismatch repair system protects against intergenerational GAA repeat instability in a Friedreich ataxia mouse model

    PubMed Central

    Ezzatizadeh, Vahid; Pinto, Ricardo Mouro; Sandi, Chiranjeevi; Sandi, Madhavi; Al-Mahdawi, Sahar; te Riele, Hein; Pook, Mark A.

    2013-01-01

    Friedreich ataxia (FRDA) is an autosomal recessive neurodegenerative disorder caused by a dynamic GAA repeat expansion mutation within intron 1 of the FXN gene. Studies of mouse models for other trinucleotide repeat (TNR) disorders have revealed an important role of mismatch repair (MMR) proteins in TNR instability. To explore the potential role of MMR proteins on intergenerational GAA repeat instability in FRDA, we have analyzed the transmission of unstable GAA repeat expansions from FXN transgenic mice which have been crossed with mice that are deficient for Msh2, Msh3, Msh6 or Pms2. We find in all cases that absence of parental MMR protein not only maintains transmission of GAA expansions and contractions, but also increases GAA repeat mutability (expansions and/or contractions) in the offspring. This indicates that Msh2, Msh3, Msh6 and Pms2 proteins are not the cause of intergenerational GAA expansions or contractions, but act in their canonical MMR capacity to protect against GAA repeat instability. We further identified differential modes of action for the four MMR proteins. Thus, Msh2 and Msh3 protect against GAA repeat contractions, while Msh6 protects against both GAA repeat expansions and contractions, and Pms2 protects against GAA repeat expansions and also promotes contractions. Furthermore, we detected enhanced occupancy of Msh2 and Msh3 proteins downstream of the FXN expanded GAA repeat, suggesting a model in which Msh2/3 dimers are recruited to this region to repair mismatches that would otherwise produce intergenerational GAA contractions. These findings reveal substantial differences in the intergenerational dynamics of expanded GAA repeat sequences compared with expanded CAG/CTG repeats, where Msh2 and Msh3 are thought to actively promote repeat expansions. PMID:22289650

  7. Oxygen in GaAs - Direct and indirect effects

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Skowronski, M.; Pawlowicz, L.; Lagowski, J.

    1984-01-01

    Oxygen has profound effects on the key electronic properties and point defects of GaAs crystals. Thus, when added in the growth system, it decreases the free electron concentration and enhances the concentration of deep donors in the resulting crystals. Both of these effects are highly beneficial for achieving semi-insulating material and have been utilized for that purpose. They have been attributed to the tendency of oxygen to getter silicon impurities during crystal growth. Only recently, it has been found that oxygen in GaAs introduces also a midgap level, ELO, with essentially the same activation energy as EL2 but with four times greater electron capture cross section. The present report reassesses the electrical and optical properties of the midgap levels in GaAs crystals grown by the horizontal Bridgman (HB) and the Czochralski-LEC techniques. Emphasis is placed on the identification of the specific effects of ELO.

  8. Performance and temperature dependencies of proton irradiated n/p GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    The n/p homojunction GaAs cell is found to be more radiation resistant than p/nheteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increase temperature dependency of maximum power for the GaAs n/p cells is attributed largely to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  9. GaAs Spectrometer for Electron Spectroscopy at Europa

    NASA Astrophysics Data System (ADS)

    Lioliou, G.; Barnett, A. M.

    2016-12-01

    We propose a GaAs based electron spectrometer for a hypothetical future mission orbiting Europa. Previous observations at Europa's South Pole with the Hubble Space Telescope of hydrogen Lyman-α and oxygen OI 130.4 nm emissions were consistent with water vapor plumes [Roth et al., 2014, Science 343, 171]. Future observations and analysis of plumes on Europa could provide information about its subsurface structure and the distribution of liquid water within its icy shells [Rhoden at al. 2015, Icarus 253, 169]. In situ low energy (1keV - 100keV) electron spectroscopy along with UV imaging either in situ or with the Hubble Space Telescope Wide Field Camera 3 or similar would allow verification of the auroral observations being due to electron impact excitation of water vapor plumes. The proposed spectrometer includes a novel GaAs p+-i-n+ photodiode and a custom-made charge-sensitive preamplifier. The use of an early prototype GaAs detector for direct electron spectroscopy has already been demonstrated in ground based applications [Barnett et al., 2012, J. Instrum. 7, P09012]. Based on previous radiation hardness measurements of GaAs, the expected duration of the mission without degradation of the detector performance is estimated to be 4 months. Simulations and laboratory experiments characterising the detection performance of the proposed system are presented.

  10. Ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Weiquan; Becker, Jacob; Liu, Shi

    2014-05-28

    This paper reports the proposal, design, and demonstration of ultra-thin GaAs single-junction solar cells integrated with a reflective back scattering layer to optimize light management and minimize non-radiative recombination. According to our recently developed semi-analytical model, this design offers one of the highest potential achievable efficiencies for GaAs solar cells possessing typical non-radiative recombination rates found among commercially available III-V arsenide and phosphide materials. The structure of the demonstrated solar cells consists of an In{sub 0.49}Ga{sub 0.51}P/GaAs/In{sub 0.49}Ga{sub 0.51}P double-heterostructure PN junction with an ultra-thin 300 nm thick GaAs absorber, combined with a 5 μm thick Al{sub 0.52}In{sub 0.48}P layer with amore » textured as-grown surface coated with Au used as a reflective back scattering layer. The final devices were fabricated using a substrate-removal and flip-chip bonding process. Solar cells with a top metal contact coverage of 9.7%, and a MgF{sub 2}/ZnS anti-reflective coating demonstrated open-circuit voltages (V{sub oc}) up to 1.00 V, short-circuit current densities (J{sub sc}) up to 24.5 mA/cm{sup 2}, and power conversion efficiencies up to 19.1%; demonstrating the feasibility of this design approach. If a commonly used 2% metal grid coverage is assumed, the anticipated J{sub sc} and conversion efficiency of these devices are expected to reach 26.6 mA/cm{sup 2} and 20.7%, respectively.« less

  11. Photo-recovery of electron-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Meulenberg, Andrew

    1995-01-01

    The first long-term (3000 hours) UV testing of unirradiated and 1 MeV electron-irradiated GaAs solar cells, with multilayer-coated coverslides to reduce solar array operating temperature, has produced some unexpected and important results. Two results, independent of the coverslide coatings, are of particular importance in terms of the predictability of GaAs solar-array lifetime in space: ( 1) The GaAs/Ge solar cells used for this series of tests displayed a much higher radiation degradation than that predicted based on JPL Solar Cell Radiation Handbook data. Covered cells degraded more in Isc than did bare cells. Short-term illumination at 60 C did not produce significant recovery (-1%) of the radiation damage. (2) However, electron radiation damage to these GaAs solar celIs anneals at 40 C when exposed to approximately 1 sun AM0 UV light sources for extended periods. The effect appears to be roughly linear with time (-1% of lsc per 1000 UVSH), is large (greater than or equal to 3%), and has not yet saturated (at 3000 hours). This photo-recovery of radiation damage to GaAs solar cells is a new effect and potentially important to the spacecraft community. The figure compares the effects of extended UV on irradiated and unirradiated GaAs solar cells with INTELSAT-6 Si cells. The effect and its generality, the extent of and conditions for photo-recovery, and the implications of such recovery for missions in radiation environments have not yet been determined.

  12. Defect interactions in GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1984-01-01

    The two-sublattice structural configuration of GaAs and deviations from stoichiometry render the generation and interaction of electrically active point defects (and point defect complexes) critically important for device applications and very complex. Of the defect-induced energy levels, those lying deep into the energy band are very effective lifetime ""killers". The level 0.82 eV below the condition band, commonly referred to as EL2, is a major deep level, particularly in melt-grown GaAs. This level is associated with an antisite defect complex (AsGa - VAS). Possible mechanisms of its formation and its annihilation were further developed.

  13. High Quality of Liquid Phase-Deposited SiON on GaAs MOS Capacitor with Multiple Treatments

    NASA Astrophysics Data System (ADS)

    Lee, Ming-Kwei; Yen, Chih-Feng; Yeh, Min-Yen

    2016-08-01

    Silicon oxynitride (SiON) film on a p-type (100) GaAs substrate by liquid phase deposition has been characterized. Aqueous solutions of hydrofluosilicic acid, ammonia and boric acid were used as growth precursors. The electrical characteristics of SiON film are much improved on GaAs with (NH4)2S treatment. With post-metallization annealing (PMA), hydrogen ions further passivate traps in the SiON/GaAs film and interface. Both PMA and (NH4)2S treatments on a SiON/GaAs MOS capacitor produce better interface quality and lower interface state density (Dit) compared with ones without hydrogen and sulfur passivations. The leakage current densities are improved to 7.1 × 10-8 A/cm2 and 1.8 × 10-7 A/cm2 at ±2 V. The dielectric constant of 5.6 and the effective oxide charges of -5.3 × 1010 C/cm2 are obtained. The hysteresis offset of the hysteresis loop is only 0.09 V. The lowest Dit is 2.7 × 1011 cm-2/eV at an energy of about 0.66 eV from the edge of the valence band.

  14. Heterojunction photovoltaics using GaAs nanowires and conjugated polymers.

    PubMed

    Ren, Shenqiang; Zhao, Ni; Crawford, Samuel C; Tambe, Michael; Bulović, Vladimir; Gradecak, Silvija

    2011-02-09

    We demonstrate an organic/inorganic solar cell architecture based on a blend of poly(3-hexylthiophene) (P3HT) and narrow bandgap GaAs nanowires. The measured increase of device photocurrent with increased nanowire loading is correlated with structural ordering within the active layer that enhances charge transport. Coating the GaAs nanowires with TiO(x) shells passivates nanowire surface states and further improves the photovoltaic performance. We find that the P3HT/nanowire cells yield power conversion efficiencies of 2.36% under white LED illumination for devices containing 50 wt % of TiO(x)-coated GaAs nanowires. Our results constitute important progress for the use of nanowires in large area solution processed hybrid photovoltaic cells and provide insight into the role of structural ordering in the device performance.

  15. Robust nanopatterning by laser-induced dewetting of metal nanofilms.

    PubMed

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2006-08-28

    We have observed nanopattern formation with robust and controllable spatial ordering by laser-induced dewetting in nanoscopic metal films. Pattern evolution in Co film of thickness 1≤h≤8 nm on SiO(2) was achieved under multiple pulse irradiation using a 9 ns pulse laser. Dewetting leads to the formation of cellular patterns which evolve into polygons that eventually break up into nanoparticles with unimodal size distribution and short range ordering in nearest neighbour spacing R. Spatial ordering was attributed to a hydrodynamic thin film instability and resulted in a predictable variation of R and particle diameter D with h. The length scales R and D were found to be independent of the laser energy. These results suggest that spatially ordered metal nanoparticles can be robustly assembled by laser-induced dewetting.

  16. Transient GaAs plasmonic metasurfaces at terahertz frequencies

    DOE PAGES

    Yang, Yuanmu; Kamaraju, N.; Campione, Salvatore; ...

    2016-12-09

    Here we demonstrate the ultrafast formation of terahertz (THz) metasurfaces through all-optical creation of spatially modulated carrier density profiles in a deep-subwavelength GaAs film. The switch-on of the transient plasmon mode, governed by the GaAs effective electron mass and electron–phonon interactions, is revealed by structured-optical pump THz probe spectroscopy, on a time scale of 500 fs. By modulating the carrier density using different pump fluences, we observe a wide tuning of the electric dipole resonance of the transient GaAs metasurface from 0.5 THz to 1.7 THz. Furthermore, we numerically demonstrate that the metasurface presented here can be generalized to moremore » complex architectures for realizing functionalities such as perfect absorption, leading to a 30 dB modulation depth. In conclusion, the platform also provides a pathway to achieve ultrafast manipulation of infrared beams in the linear and, potentially, nonlinear regime.« less

  17. GaAs QWIP Array Containing More Than a Million Pixels

    NASA Technical Reports Server (NTRS)

    Jhabvala, Murzy; Choi, K. K.; Gunapala, Sarath

    2005-01-01

    A 1,024 x 1,024-pixel array of quantum-well infrared photodetectors (QWIPs) has been built on a 1.8 x 1.8- cm GaAs chip. In tests, the array was found to perform well in detecting images at wavelengths from 8 to 9 m in operation at temperatures between 60 and 70 K. The largest-format QWIP prior array that performed successfully in tests contained 512 x 640 pixels. There is continuing development effort directed toward satisfying actual and anticipated demands to increase numbers of pixels and pixel sizes in order to increase the imaging resolution of infrared photodetector arrays. A 1,024 x 1,024-pixel and even larger formats have been achieved in the InSb and HgCdTe material systems, but photodetector arrays in these material systems are very expensive and manufactured by fewer than half a dozen large companies. In contrast, GaAs-photodetector-array technology is very mature, and photodetectors in the GaAs material system can be readily manufactured by a wide range of industrial technologists, by universities, and government laboratories. There is much similarity between processing in the GaAs industry and processing in the pervasive silicon industry. With respect to yield and cost, the performance of GaAs technology substantially exceeds that of InSb and HgCdTe technologies. In addition, GaAs detectors can be designed to respond to any portion of the wavelength range from 3 to about 16 micrometers - a feature that is very desirable for infrared imaging. GaAs QWIP arrays, like the present one, have potential for use as imaging sensors in infrared measuring instruments, infrared medical imaging systems, and infrared cameras.

  18. Spin-lattice relaxation of optically polarized nuclei in p -type GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Cherbunin, R. V.; Sokolov, P. S.; Yakovlev, D. R.; Bayer, M.; Suter, D.; Kavokin, K. V.

    2018-04-01

    Spin-lattice relaxation of the nuclear spin system in p -type GaAs is studied using a three-stage experimental protocol including optical pumping and measuring the difference of the nuclear spin polarization before and after a dark interval of variable length. This method allows us to measure the spin-lattice relaxation time T1 of optically pumped nuclei "in the dark," that is, in the absence of illumination. The measured T1 values fall into the subsecond time range, being three orders of magnitude shorter than in earlier studied n -type GaAs. The drastic difference is further emphasized by magnetic-field and temperature dependencies of T1 in p -GaAs, showing no similarity to those in n -GaAs. This unexpected behavior finds its explanation in the spatial selectivity of the optical pumping in p -GaAs, that is only efficient in the vicinity of shallow donors, together with the quadrupole relaxation of nuclear spins, which is induced by electric fields within closely spaced donor-acceptor pairs. The developed theoretical model explains the whole set of experimental results.

  19. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    NASA Astrophysics Data System (ADS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-12-01

    Molecular-Beam Epitaxy growth of multiple In 0.4Ga 0.6As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4Ga 0.6As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4Ga 0.6As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  20. Implementation and Performance of GaAs Digital Signal Processing ASICs

    NASA Technical Reports Server (NTRS)

    Whitaker, William D.; Buchanan, Jeffrey R.; Burke, Gary R.; Chow, Terrance W.; Graham, J. Scott; Kowalski, James E.; Lam, Barbara; Siavoshi, Fardad; Thompson, Matthew S.; Johnson, Robert A.

    1993-01-01

    The feasibility of performing high speed digital signal processing in GaAs gate array technology has been demonstrated with the successful implementation of a VLSI communications chip set for NASA's Deep Space Network. This paper describes the techniques developed to solve some of the technology and implementation problems associated with large scale integration of GaAs gate arrays.

  1. Paths to light trapping in thin film GaAs solar cells.

    PubMed

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  2. Crystal growth of GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.; Pawlowicz, L. M.; Dabkowski, F.; Li, C. J.

    1984-01-01

    It is shown that stoichiometry variations in the GaAs melt during growth constitute the most critical parameter regarding defect formations and their interactions; this defect structure determines all relevant characteristics of GaAs. Convection in the melt leads to stoichiometric variations. Growth in axial magnetic fields reduces convection and permits the study of defect structure. In order to control stoichiometry in space and to accommodate expansion during solidification, a partially confined configuration was developed. A triangular prism is employed to contain the growth melt. This configuration permits the presence of the desired vapor phase in contact with the melt for controlling the melt stoichiometry.

  3. A model for proton-irradiated GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Wilson, J. W.; Walker, G. H.; Outlaw, R. A.; Stock, L. V.

    1982-01-01

    A simple model for proton radiation damage in GaAs heteroface solar cells is developed. The model includes the effects of spatial nonuniformity of low energy proton damage. Agreement between the model and experimental proton damage data for GaAs heteroface solar cells is satisfactory. An extension of the model to include angular isotropy, as is appropriate for protons in space, is shown to result in significantly less cell damage than for normal proton incidence.

  4. Fabrication of novel two-dimensional nanopatterned conductive PEDOT:PSS films for organic optoelectronic applications.

    PubMed

    Petti, Lucia; Rippa, Massimo; Capasso, Rossella; Nenna, Giuseppe; De Girolamo Del Mauro, Anna; Pandolfi, Giuseppe; Maglione, Maria Grazia; Minarini, Carla

    2013-06-12

    This paper presents a novel strategy to fabricate two-dimensional poly(3,4 ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) photonic crystals (PCs) combining electron beam lithography (EBL) and plasma etching (PE) processes. The surface morphology of PEDOT:PSS PCs after mild oxygen plasma treatment was investigated by scanning electron microscopy. The effects on light extraction are studied experimentally. Vertical extraction of light was found to be strongly dependent on the geometric parameters of the PCs. By changing the lattice type from triangular to square and the geometrical parameters of the photonic structures, the resonance peak could be tuned from a narrow blue emission at 445 nm up to a green emission at 525 nm with a full width at half-maximum of 20 nm, which is in good agreement with Bragg's diffraction theory and free photon band structure. Both finite-difference time-domain and plane wave expansion methods are used to calculate the resonant frequencies and the photonic band structures in the two-dimensional photonic crystals showing a very good agreement with the experiment results. A 2D nanopatterned transparent anode was also fabricated onto a flexible polyethylene terephthalate (PET) substrate and it was integrated into an organic light-emitting diode (OLED). The obtained results fully confirm the feasibility of the developed process of micro/nano patterning PEDOT:PSS. Engineered polymer electrodes prepared by this unique method are useful in a wide variety of high-performance flexible organic optoelectronics.

  5. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    NASA Astrophysics Data System (ADS)

    Schulze, C. S.; Huang, X.; Prohl, C.; Füllert, V.; Rybank, S.; Maddox, S. J.; March, S. D.; Bank, S. R.; Lee, M. L.; Lenz, A.

    2016-04-01

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration of III-V optoelectronic components into silicon-based technology.

  6. High efficiency thin-film GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.

    1977-01-01

    Several oxidation techniques are discussed which have been found to increase the open circuit (V sub oc) of metal-GaAs Schottky barrier solar cells, the oxide chemistry, attempts to measure surface state parameters, the evolving characteristics of the solar cell as background contamination (has been decreased, but not eliminated), results of focused Nd/YAG laser beam recrystallization of Ge films evaporated onto tungsten, and studies of AMOS solar cells fabricated on sliced polycrystalline GaAs wafers. Also discussed are projected materials availability and costs for GaAs thin-film solar cells.

  7. Arsenic-induced intensity oscillations in reflection high-energy electron diffraction measurements. [during MBE of GaAs and InAs

    NASA Technical Reports Server (NTRS)

    Lewis, B. F.; Fernandez, R.; Grunthaner, F. J.; Madhukar, A.

    1986-01-01

    A technique of arsenic-induced RHEED intensity oscillations has been used to accurately measure arsenic incorporation rates as a function of substrate temperature during the homoepitaxial growths of both GaAs and InAs by molecular beam epitaxy (MBE). Measurements were made at growth temperatures from 350 to 650 C and at arsenic fluxes of 0.1 to 10.0 monolayer/s. The method measures only the arsenic actually incorporated into the growing film and does not include the arsenic lost in splitting the arsenic tetramers or lost by evaporation from the sample.

  8. Electrical isolation, thermal stability and rf loss in a multilayer GaAs planar doped barrier diode structure bombarded by H+ and Fe+ ions

    NASA Astrophysics Data System (ADS)

    Vo, V. T.; Koon, K. L.; Hu, Z. R.; Dharmasiri, C. N.; Subramaniam, S. C.; Rezazadeh, A. A.

    2004-04-01

    Electrical isolation in multilayer GaAs planar doped barrier (PDB) diode structures produced by H+ and Fe+ ion implantation were investigated. For an H+ bombardment with a dose of 1×1015cm-2, a sheet resistivity as high as 3×108 Ω/sq and thermal stability up to 400 °C has been achieved. For samples bombarded by Fe+ ions, a similar high sheet resistivity has also been achieved although a longer annealing time (15 min) and a higher annealing temperature (550 °C) were needed. The rf dissipation losses of coplanar waveguide (CPW) "thru" lines fabricated on bombarded multilayer PDBD structure samples were also examined. The measured rf losses were 1.65 dB/cm at 10 GHz and 3 dB/cm at 40 GHz, similar to the values that a CPW line exhibits on a semi-isolating GaAs substrate.

  9. Study on dielectric and piezoelectric properties of 0.7 Pb(Mg1/3Nb2/3)O3-0.3 PbTiO3 single crystal with nano-patterned composite electrode

    PubMed Central

    Chang, Wei-Yi; Huang, Wenbin; Bagal, Abhijeet; Chang, Chih-Hao; Tian, Jian; Han, Pengdi; Jiang, Xiaoning

    2013-01-01

    Effect of nano-patterned composite electrode and backswitching poling technique on dielectric and piezoelectric properties of 0.7 Pb(Mg1/3Nb2/3)O3-0.3 PbTiO3 was studied in this paper. Composite electrode consists of Mn nano-patterns with pitch size of 200 nm, and a blanket layer of Ti/Au was fabricated using a nanolithography based lift-off process, heat treatment, and metal film sputtering. Composite electrode and backswitching poling resulted in 27% increase of d33 and 25% increase of dielectric constant, and we believe that this is attributed to regularly defined nano-domains and irreversible rhombohedral to monoclinic phase transition in crystal. The results indicate that nano-patterned composite electrode and backswitching poling has a great potential in domain engineering of relaxor single crystals for advanced devices. PMID:24170960

  10. Micro-fluidic channels on nanopatterned substrates: Monitoring protein binding to lipid bilayers with surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Banerjee, Amrita; Perez-Castillejos, R.; Hahn, D.; Smirnov, Alex I.; Grebel, H.

    2010-04-01

    We used surface-enhanced Raman spectroscopy (SERS) to detect binding events between streptavidin and biotinylated lipid bilayers. The binding events took place at the surface between micro-fluidic channels and anodized aluminum oxide (AAO) with the latter serving as substrates. The bilayers were incorporated in the substrate pores. It was revealed that non-bound molecules were easily washed away and that large suspended cells ( Salmonella enterica) are less likely to interfere with the monitoring process: when focusing to the lower surface of the channel, one may resolve mostly the bound molecules.

  11. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  12. DFT algorithms for bit-serial GaAs array processor architectures

    NASA Technical Reports Server (NTRS)

    Mcmillan, Gary B.

    1988-01-01

    Systems and Processes Engineering Corporation (SPEC) has developed an innovative array processor architecture for computing Fourier transforms and other commonly used signal processing algorithms. This architecture is designed to extract the highest possible array performance from state-of-the-art GaAs technology. SPEC's architectural design includes a high performance RISC processor implemented in GaAs, along with a Floating Point Coprocessor and a unique Array Communications Coprocessor, also implemented in GaAs technology. Together, these data processors represent the latest in technology, both from an architectural and implementation viewpoint. SPEC has examined numerous algorithms and parallel processing architectures to determine the optimum array processor architecture. SPEC has developed an array processor architecture with integral communications ability to provide maximum node connectivity. The Array Communications Coprocessor embeds communications operations directly in the core of the processor architecture. A Floating Point Coprocessor architecture has been defined that utilizes Bit-Serial arithmetic units, operating at very high frequency, to perform floating point operations. These Bit-Serial devices reduce the device integration level and complexity to a level compatible with state-of-the-art GaAs device technology.

  13. High-efficiency, radiation-resistant GaAs space cells

    NASA Technical Reports Server (NTRS)

    Bertness, K. A.; Ristow, M. Ladle; Grounner, M.; Kuryla, M. S.; Werthen, J. G.

    1991-01-01

    Although many GaAs solar cells are intended for space applicatons, few measurements of cell degradation after radiation are available, particularly for cells with efficiencies exceeding 20 percent (one-sun, AMO). Often the cell performance is optimized for the highest beginning-of-life (BOL) efficiency, despite the unknown effect of such design on end-of-life (EOL) efficiencies. The results of a study of the radiation effects on p-n GaAs cells are presented. The EOL efficiency of GaAs space cell can be increased by adjusting materials growth parameters, resulting in a demonstration of 16 percent EOL efficiency at one-sun, AMO. Reducing base doping levels to below 3 x 10(exp 17)/cu m and decreasing emitter thickness to 0.3 to 0.5 micron for p-n cells led to significant improvements in radiation hardness as measured by EOL/BOL efficiency ratios for irradiation of 10(exp -15)/sq cm electrons at 1 MeV. BOL efficiency was not affected by changes in emitter thickness but did improve with lower base doping.

  14. The role of carbon in ion beam nano-patterning of silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharjee, S.; UGC-DAE Consortium for Scientific Research, III/LB-8, Saltlake, Kolkata 700098; Karmakar, P.

    2013-10-28

    We report a comparative study of nano-pattern formations on a carbon film and a smooth Si(100) surface following inert and chemically active ion bombardment. For the case of carbon film, patterns could be formed both by inert (Ar{sup +}) and self (C{sup +}) ion bombardment with the former producing ripples at relatively lower fluence. In contrast, bombardment by inert Ar{sup +} failed to form the nano patterns on Si surface, while bombardment by the same energy C{sup +} generated the ripples. Thus, impurity induced chemical effect seems to be crucial rather than the Bradley-Harper or Carter-Vishnyakov effects for destabilizing themore » surface for ripple formation.« less

  15. Formation of embedded plasmonic Ga nanoparticle arrays and their influence on GaAs photoluminescence

    NASA Astrophysics Data System (ADS)

    Kang, M.; Jeon, S.; Jen, T.; Lee, J.-E.; Sih, V.; Goldman, R. S.

    2017-07-01

    We introduce a novel approach to the seamless integration of plasmonic nanoparticle (NP) arrays into semiconductor layers and demonstrate their enhanced photoluminescence (PL) efficiency. Our approach utilizes focused ion beam-induced self-assembly of close-packed arrays of Ga NPs with tailorable NP diameters, followed by overgrowth of GaAs layers using molecular beam epitaxy. Using a combination of PL spectroscopy and electromagnetic computations, we identify a regime of Ga NP diameter and overgrown GaAs layer thickness where NP-array-enhanced absorption in GaAs leads to enhanced GaAs near-band-edge (NBE) PL efficiency, surpassing that of high-quality epitaxial GaAs layers. As the NP array depth and size are increased, the reduction in spontaneous emission rate overwhelms the NP-array-enhanced absorption, leading to a reduced NBE PL efficiency. This approach provides an opportunity to enhance the PL efficiency of a wide variety of semiconductor heterostructures.

  16. Self-assembled InAs quantum dot formation on GaAs ring-like nanostructure templates

    PubMed Central

    Strom, NW; Wang, Zh M; AbuWaar, ZY; Mazur, Yu I; Salamo, GJ

    2007-01-01

    The evolution of InAs quantum dot (QD) formation is studied on GaAs ring-like nanostructures fabricated by droplet homo-epitaxy. This growth mode, exclusively performed by a hybrid approach of droplet homo-epitaxy and Stransky-Krastanor (S-K) based QD self-assembly, enables one to form new QD morphologies that may find use in optoelectronic applications. Increased deposition of InAs on the GaAs ring first produced a QD in the hole followed by QDs around the GaAs ring and on the GaAs (100) surface. This behavior indicates that the QDs prefer to nucleate at locations of high monolayer (ML) step density.

  17. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  18. Atomic-scale epitaxial aluminum film on GaAs substrate

    NASA Astrophysics Data System (ADS)

    Fan, Yen-Ting; Lo, Ming-Cheng; Wu, Chu-Chun; Chen, Peng-Yu; Wu, Jenq-Shinn; Liang, Chi-Te; Lin, Sheng-Di

    2017-07-01

    Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  19. GaAs optoelectronic neuron arrays

    NASA Technical Reports Server (NTRS)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  20. Investigation of high efficiency GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Olsen, Larry C.; Dunham, Glen; Addis, F. W.; Huber, Dan; Linden, Kurt

    1989-01-01

    Investigations of basic mechanisms which limit the performance of high efficiency GaAs solar cells are discussed. P/N heteroface structures have been fabricated from MOCVD epiwafers. Typical AM1 efficiencies are in the 21 to 22 percent range, with a SERI measurement for one cell being 21.5 percent. The cells are nominally 1.5 x 1.5 cm in size. Studies have involved photoresponse, T-I-V analyses, and interpretation of data in terms of appropriate models to determine key cell parameters. Results of these studies are utilized to determine future approaches for increasing GaAs solar cell efficiencies.

  1. Nanopatterning of Surfaces with Monometallic and Heterobimetallic 1D Coordination Polymers: A Molecular Tectonics Approach at the Solid/Liquid Interface.

    PubMed

    El Garah, Mohamed; Marets, Nicolas; Mauro, Matteo; Aliprandi, Alessandro; Bonacchi, Sara; De Cola, Luisa; Ciesielski, Artur; Bulach, Véronique; Hosseini, Mir Wais; Samorì, Paolo

    2015-07-08

    The self-assembly of multiple molecular components into complex supramolecular architectures is ubiquitous in nature and constitutes one of the most powerful strategies to fabricate multifunctional nanomaterials making use of the bottom-up approach. When spatial confinement in two dimensions on a solid substrate is employed, this approach can be exploited to generate periodically ordered structures from suitably designed molecular tectons. In this study we demonstrate that physisorbed directional periodic arrays of monometallic or heterobimetallic coordination polymers can be generated on a highly oriented pyrolitic graphite surface by combinations of a suitably designed directional organic tecton or metallatecton based on a porphyrin or nickel(II) metalloporphyrin backbone bearing both a pyridyl unit and a terpyridyl unit acting as coordinating sites for CoCl2. The periodic architectures were visualized at the solid/liquid interface with a submolecular resolution by scanning tunneling microscopy and corroborated by combined density functional and time-dependent density functional theory calculations. The capacity to nanopattern the surface for the first time with two distinct metallic centers exhibiting different electronic and optical properties is a key step toward the bottom-up construction of robust multicomponent and, thus, multifunctional molecular nanostructures and nanodevices.

  2. Response of GaAs charge storage devices to transient ionizing radiation

    NASA Astrophysics Data System (ADS)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  3. Crystal growth of device quality GaAs in space

    NASA Technical Reports Server (NTRS)

    Gatos, H. C.; Lagowski, J.

    1985-01-01

    The present program has been aimed at solving the fundamental and technological problems associated with Crystal Growth of Device Quality in Space. The initial stage of the program was devoted strictly to ground-based research. The unsolved problems associated with the growth of bulk GaAs in the presence of gravitational forces were explored. Reliable chemical, structural and electronic characterization methods were developed which would permit the direct relation of the salient materials parameters (particularly those affected by zero gravity conditions) to the electronic characteristics of single crystal GaAs, in turn to device performance. These relationships are essential for the development of optimum approaches and techniques. It was concluded that the findings on elemental semiconductors Ge and Si regarding crystal growth, segregation, chemical composition, defect interactions, and materials properties-electronic properties relationships are not necessarily applicable to GaAs (and to other semiconductor compounds). In many instances totally unexpected relationships were found to prevail.

  4. Blueish green photoluminescence from nitrided GaAs(100) surfaces

    NASA Astrophysics Data System (ADS)

    Shimaoka, Goro; Udagawa, Takashi

    1999-04-01

    Optical and structural studies were made on the Si-doped (100)GaAs surfaces nitrided at a temperature between 650° and 750°C for 15 min in the flowing NH 3 gas. The wavelength of photoluminescence (PL) spectra were observed to be shortened from 820 nm of the GaAs nitrided at 650°C with increasing nitridation temperature. Blueish green PL with wavelengths of approx. 490 nm and 470 nm were emitted from the nitrided surfaces at 700° and 750°C, respectively. Results of AES and SIMS indicated that the surfaces are nitrided as GaAs 1- xN x, (0< x≤1) alloy layer, and the nitrided region also tended to increase as the temperature raised. High-resolution transmission electron microscopic (HRTEM), transmission electron diffraction (TED) and energy dispersive X-ray (EDX) results showed that films peeled off from the nitrided surfaces consisted mainly of hexagonal, wurtzite-type gallium nitride (GaN) with stacking faults and microtwins.

  5. Atomic structure and stoichiometry of In(Ga)As/GaAs quantum dots grown on an exact-oriented GaP/Si(001) substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schulze, C. S.; Prohl, C.; Füllert, V.

    2016-04-04

    The atomic structure and stoichiometry of InAs/InGaAs quantum-dot-in-a-well structures grown on exactly oriented GaP/Si(001) are revealed by cross-sectional scanning tunneling microscopy. An averaged lateral size of 20 nm, heights up to 8 nm, and an In concentration of up to 100% are determined, being quite similar compared with the well-known quantum dots grown on GaAs substrates. Photoluminescence spectra taken from nanostructures of side-by-side grown samples on GaP/Si(001) and GaAs(001) show slightly blue shifted ground-state emission wavelength for growth on GaP/Si(001) with an even higher peak intensity compared with those on GaAs(001). This demonstrates the high potential of GaP/Si(001) templates for integration ofmore » III-V optoelectronic components into silicon-based technology.« less

  6. Nano-cones for broadband light coupling to high index substrates

    NASA Astrophysics Data System (ADS)

    Buencuerpo, J.; Torné, L.; Álvaro, R.; Llorens, J. M.; Dotor, M. L.; Ripalda, J. M.

    2016-12-01

    The moth-eye structure has been proposed several times as an antireflective coating to replace the standard optical thin films. Here, we experimentally demonstrate the feasibility of a dielectric moth-eye structure as an antireflective coating for high-index substrates, like GaAs. The fabricated photonic crystal has Si3N4 cones in a square lattice, sitting on top of a TiO2 index matching layer. This structure attains 1.4% of reflectance power losses in the operation spectral range of GaAs solar cells (440-870 nm), a 12.5% relative reduction of reflection power losses in comparison with a standard bilayer. The work presented here considers a fabrication process based on laser interference lithography and dry etching, which are compatible with solar cell devices. The experimental results are consistent with scattering matrix simulations of the fabricated structures. In a broader spectral range (400-1800 nm), the simulation estimates that the nanostructure also significantly outperforms the standard bilayer coating (3.1% vs. 4.5% reflection losses), a result of interest for multijunction tandem solar cells.

  7. GaAs High Breakdown Voltage Front and Back Side Processed Schottky Detectors for X-Ray Detection

    DTIC Science & Technology

    2007-11-01

    front and back side processed, unintentionally doped bulk gallium -arsenic (GaAs) Schottky detectors and determined that GaAs detectors with a large...a few materials that fulfill these requirements are gallium -arsenic (GaAs) and cadmium-zinc-tellurium (CdZnTe or CZT). They are viable alternative...Whitehill, C.; Pospíšil, S.; Wilhem, I.; Doležal, Z.; Juergensen, H.; Heuken, M. Development of low-pressure vapour -phase epitaxial GaAs for medical imaging

  8. Diffusion and interface evolution during the atomic layer deposition of TiO{sub 2} on GaAs(100) and InAs(100) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ye, Liwang; Gougousi, Theodosia, E-mail: gougousi@umbc.edu

    2016-01-15

    Atomic layer deposition is used to form TiO{sub 2} films from tetrakis dimethyl amino titanium and H{sub 2}O on native oxide GaAs(100) and InAs(100) surfaces. The evolution of the film/substrate interface is examined as a function of the deposition temperature (100–325 °C) using ex situ x-ray photoelectron spectroscopy. An increase in the deposition temperature up to 250 °C leads to enhancement of the native oxide removal. For depositions at 300 °C and above, interface reoxidation is observed during the initial deposition cycles but when the films are thicker than 3 nm, the surface oxides are removed steadily. Based on these observations, two distinct filmmore » growth regimes are identified; up to 250 °C, layer-by-layer dominates while at higher temperatures island growth takes over. Angle resolved x-ray photoelectron spectroscopy measurements performed on 3 nm TiO{sub 2} film deposited at 325 °C on both surfaces demonstrates a very important difference between the two substrates: for GaAs the native oxides remaining in the stack are localized at the interface, while for InAs(100), the indium oxides are mixed in the TiO{sub 2} film.« less

  9. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  10. The ZnSe(110) puzzle - Comparison with GaAs(110)

    NASA Technical Reports Server (NTRS)

    Duke, C. B.; Paton, A.; Kahn, A.; Tu, D.-W.

    1984-01-01

    The surface structure of monocrystalline ZnSe(110) and of 4-5-nm-thick ZnSe(110) layers epitaxially grown on GaAs(110) is investigated by means of elastic LEED and AES; the results are analyzed using the computer programs and R-factor methods of Duke et al. (1981 and 1983), presented in graphs and tables, and compared to those for GaAs(110). Significant differences are attributed to bond-length-conserving outward rotation of Se and inward rotation of Zn in the top layer, with an angle of 4 deg between the actual plane of the cation-anion chain and the truncated bulk surface. The R intensities measured for ZnSe(110) and GaAs(110) are given as Rx = 0.22 and RI = 0.21 and Rx = 0.24 and RI = 0.16, respectively.

  11. First tests of Timepix detectors based on semi-insulating GaAs matrix of different pixel size

    NASA Astrophysics Data System (ADS)

    Zaťko, B.; Kubanda, D.; Žemlička, J.; Šagátová, A.; Zápražný, Z.; Boháček, P.; Nečas, V.; Mora, Y.; Pichotka, M.; Dudák, J.

    2018-02-01

    In this work, we have focused on Timepix detectors coupled with the semi-insulating GaAs material sensor. We used undoped bulk GaAs material with the thickness of 350 μm. We prepared and tested four pixelated detectors with 165 μm and 220 μm pixel size with two versions of technology preparation, without and with wet chemically etched trenches around each pixel. We have carried out adjustment of GaAs Timepix detectors to optimize their performance. The energy calibration of one GaAs Timepix detector in Time-over-threshold mode was performed with the use of 241Am and 133Ba radioisotopes. We were able to detect γ-photons with the energy up to 160 keV. The X-ray imaging quality of GaAs Timepix detector was tested with X-ray source using various samples. After flat field we obtained very promising imaging performance of tested GaAs Timepix detectors.

  12. Performance and temperature dependencies of proton irradiated n/p and p/n GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    n/p homojunction GaAs cells are found to be more radiation resistant than p/n heteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increased temperature dependency of maximum power for the GaAs n/p cells is attributed to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  13. GaAs laser diode pumped Nd:YAG laser

    NASA Technical Reports Server (NTRS)

    Conant, L. C.; Reno, C. W.

    1974-01-01

    A 1.5-mm by 3-cm neodymium-ion doped YAG laser rod has been side pumped using a GaAs laser diode array tuned to the 8680-A absorption line, achieving a multimode average output power of 120 mW for a total input power of 20 W to the final-stage laser diode drivers. The pumped arrangement was designed to take advantage of the high brightness of a conventional GaAs array as a linear source by introducing the pump light through a slit into a close-wrapped gold coated pump cavity. This cavity forms an integrating chamber for the pump light.

  14. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  15. Texture analysis of CoGe2 alloy films grown heteroepitaxially on GaAs(100) using partially ionized beam deposition

    NASA Astrophysics Data System (ADS)

    Mello, K. E.; Murarka, S. P.; Lu, T.-M.; Lee, S. L.

    1997-06-01

    Reflection x-ray pole figure analysis techniques were used to study the heteroepitaxial relationships of the cobalt germanide CoGe2 to GaAs(100). The alloy films were grown using the partially ionized beam deposition technique, in which low energy Ge+ ions are employed to alter the heteroepitaxial orientation of the CoGe2 deposits. The CoGe2[001](100)∥GaAs[100](001) orientation, which has the smallest lattice mismatch, was found to occur for depositions performed at a substrate temperature around 280 °C and with ˜1200 eV Ge+ ions. Lowering the substrate temperature or reducing the Ge+ ion energy leads to CoGe2(100) orientation domination with CoGe2[100](010)∥GaAs[100](001) and CoGe2[100](001)∥GaAs[100](001). Substrate temperature alone was seen to produce only the CoGe2(100) orientation. For CoGe2(001) films, additional energy was required from Ge+ ions in the evaporant stream.

  16. Modified energetics and growth kinetics on H-terminated GaAs (110)

    NASA Astrophysics Data System (ADS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Lorbek, S.; Hlawacek, G.; Teichert, C.; Tejedor, P.

    2013-10-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As4, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  17. Surface segregation and the Al problem in GaAs quantum wells

    NASA Astrophysics Data System (ADS)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  18. Large Signal Modeling and Analysis of the GaAs MESFET.

    DTIC Science & Technology

    1986-07-09

    various dimensions and physical parameters. A powerful computer aided design system can be developed by automating the circuit element and parameter...circuit model of the GaAs MESFET to aid in the designs of microwave MESFET circuits. The circuit elements of this model are obtained either directly...34. -. ’ Abstract The purpose of this work is to develop a large signal signal lumped circuit model of the GaAs MESFET to aid In the designs of microwave MESFET

  19. Structural and electronic properties of isovalent boron atoms in GaAs

    NASA Astrophysics Data System (ADS)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the <110 > directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  20. Laser induced OMCVD growth of AlGaAs on GaAs

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Warner, Joseph D.; Aron, Paul R.; Pouch, John J.; Hoffman, Richard W., Jr.

    1987-01-01

    A major factor limiting the efficiency of the GaAs-GaAlAs solar cell is the rate of recombination at the GaAs-AlGaAs interface. Evidence has been previously reported which indicates that recombination at this interface can be greatly reduced if the AlGaAs layer is grown at lower than normal temperatures. The authors examine the epitaxial growth of AlGaAs on GaAs using a horizontal OMCVD reactor and an excimer laser operating in the UV (lambda = 193 nm) region. The growth temperatures were 450 and 500 C. The laser beam was utilized in two orientations: 75 deg angle of incidence and parallel to the substrate. Film composition and structure were determined by Auger electron spectroscopy (AES) and transmission electron microscopy (TEM). Auger analysis of epilayers grown at 500 C with the laser impinging show no carbon or oxygen contamination of the epitaxial layers or interfaces. TEM diffraction patterns of these same epilayers exhibit single crystal (100) zone axis patterns.

  1. Inhomogeneity in barrier height at graphene/Si (GaAs) Schottky junctions.

    PubMed

    Tomer, D; Rajput, S; Hudy, L J; Li, C H; Li, L

    2015-05-29

    Graphene (Gr) interfaced with a semiconductor forms a Schottky junction with rectifying properties, however, fluctuations in the Schottky barrier height are often observed. In this work, Schottky junctions are fabricated by transferring chemical vapor deposited monolayer Gr onto n-type Si and GaAs substrates. Temperature dependence of the barrier height and ideality factor are obtained by current-voltage measurements between 215 and 350 K. An increase in the zero bias barrier height and decrease in the ideality factor are observed with increasing temperature for both junctions. Such behavior is attributed to barrier inhomogeneities that arise from interfacial disorders as revealed by scanning tunneling microscopy/spectroscopy. Assuming a Gaussian distribution of the barrier heights, mean values of 1.14 ± 0.14 eV and 0.76 ± 0.10 eV are found for Gr/Si and Gr/GaAs junctions, respectively. These findings resolve the origin of barrier height inhomogeneities in these Schottky junctions.

  2. Giant and reversible enhancement of the electrical resistance of GaAs1-xNx by hydrogen irradiation

    NASA Astrophysics Data System (ADS)

    Alvarez, J.; Kleider, J.-P.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Mariucci, L.; Rubini, S.

    2011-08-01

    The electrical properties of untreated and hydrogen-irradiated GaAs1-xNx are investigated by conductive-probe atomic force microscopy (CP-AFM). After hydrogen irradiation, the resistance R of GaAs1-xNx increases by more than three orders of magnitude while that of a N-free GaAs reference slightly decreases. Thermal annealing at 550 °C of H-irradiated GaAs1-xNx restores the pristine electrical properties of the as-grown sample thus demonstrating that this phenomenon is fully reversible. These effects are attributed to the nitrogen-hydrogen complexes that passivate N in GaAs1-xNx (thus restoring the energy gap of N-free GaAs) and, moreover, reduce the carrier scattering time by more than one order of magnitude. This opens up a route to the fabrication of planar conductive/resistive/conductive heterostructures with submicrometer spatial resolution, which is also reported here.

  3. Role of surface energy on the morphology and optical properties of GaP micro & nano structures grown on polar and non-polar substrates

    NASA Astrophysics Data System (ADS)

    Roychowdhury, R.; Kumar, Shailendra; Wadikar, A.; Mukherjee, C.; Rajiv, K.; Sharma, T. K.; Dixit, V. K.

    2017-10-01

    Role of surface energy on the morphology, crystalline quality, electronic structure and optical properties of GaP layer grown on Si (001), Si (111), Ge (111) and GaAs (001) is investigated. GaP layers are grown on four different substrates under identical growth kinetics by metal organic vapour phase epitaxy. The atomic force microscopy images show that GaP layer completely covers the surface of GaAs substrate. On the other hand, the surfaces of Si (001), Si (111), Ge (111) substrates are partially covered with crystallographically morphed GaP island type micro and nano-structures. Origin of these crystallographically morphed GaP island is explained by the theoretical calculation of surface energy of the layer and corresponding substrates respectively. The nature of GaP island type micro and nano-structures and layers are single crystalline with existence of rotational twins on Si and Ge (111) substrates which is confirmed by the phi, omega and omega/2theta scans of high resolution x-ray diffraction. The electronic valence band offsets between the GaP and substrates have been determined from the valence band spectra of ultraviolet photoelectron spectroscopy. The valence electron plasmon of GaP are investigated by studying the energy values of Ga (3d) core level along with loss peaks in the energy dependent photoelectron spectra. The peak observed within the range of 3-6 eV from the Ga (3d) core level in the photoelectron spectra are associated to inter band transitions as their energy values are estimated from the pseudo dielectric function by the spectroscopic ellipsometry.

  4. INTERNATIONAL CONFERENCE ON SEMICONDUCTOR INJECTION LASERS SELCO-87: Multilayer CrPtCr/NiAu ohmic contacts with p-type GaAs in heterojunction laser structures

    NASA Astrophysics Data System (ADS)

    Wójcik, I.; Stareev, G.; Barcz, A.; Domański, M.

    1988-11-01

    Multilayer CrPtCr/NiAu metallization was deposited by sputtering in a magnetron on the p-type side of GaAs in a pulsed laser heterostructure. Heat treatment at 490 °C for 3 min produced a reliable ohmic contact with a specific resistance of 10- 6-10- 5 Ω · cm2, depending on the substrate doping. Secondary-ion mass spectroscopy and Rutherford backscattering methods were used to study the mechanism of formation of a contact.

  5. Influence of substrate misorientation on the photoluminescence and structural properties of InGaAs/GaAsP multiple quantum wells

    NASA Astrophysics Data System (ADS)

    Dong, Hailiang; Sun, Jing; Ma, Shufang; Liang, Jian; Lu, Taiping; Liu, Xuguang; Xu, Bingshe

    2016-03-01

    InGaAs/GaAsP multiple quantum wells (MQWs) were grown by metal-organic chemical vapor deposition on vicinal GaAs (001) substrates with different miscut angles of 0°, 2° and 15° towards [110]. The crystal structures of InGaAs/GaAsP were characterized by high-resolution X-ray diffraction and Raman spectroscopy. The surface morphologies of InGaAs/GaAsP MQWs were observed by atomic force microscopy. The mechanisms for step flow, step bunching and pyramid growth on 0°, 2° and 15° misoriented substrates were discussed. The results provide a comprehensive phenomenological understanding of the self-ordering mechanism of vicinal GaAs substrates, which could be harnessed for designing the quantum optical properties of low-dimensional systems. From low-temperature photoluminescence, it was observed that the luminescence from the MQWs grown on a vicinal surface exhibits a red-shift with respect to the 0° case. An extra emission was observed from the 2° and 15° off samples, indicating the characteristics of quantum wire and pyramidal self-controlled quantum-dot systems, respectively. Its absence from the PL spectrum on 0° surfaces indicates that indium segregation is modified on the surfaces. The relationship between InGaAs/GaAsP MQWs grown on vicinal substrates and their optical and structural properties was explained, which provides a technological basis for obtaining different self-controlled nanostructures.

  6. Testing a GaAs cathode in SRF gun

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10{sup -12} Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs,more » we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to {approx}10{sup -9} Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the

  7. Design of quantum efficiency measurement system for variable doping GaAs photocathode

    NASA Astrophysics Data System (ADS)

    Chen, Liang; Yang, Kai; Liu, HongLin; Chang, Benkang

    2008-03-01

    To achieve high quantum efficiency and good stability has been a main direction to develop GaAs photocathode recently. Through early research, we proved that variable doping structure is executable and practical, and has great potential. In order to optimize variable doping GaAs photocathode preparation techniques and study the variable doping theory deeply, a real-time quantum efficiency measurement system for GaAs Photocathode has been designed. The system uses FPGA (Field-programmable gate array) device, and high speed A/D converter to design a high signal noise ratio and high speed data acquisition card. ARM (Advanced RISC Machines) core processor s3c2410 and real-time embedded system are used to obtain and show measurement results. The measurement precision of photocurrent could reach 1nA, and measurement range of spectral response curve is within 400~1000nm. GaAs photocathode preparation process can be real-time monitored by using this system. This system could easily be added other functions to show the physic variation of photocathode during the preparation process more roundly in the future.

  8. Effect of dopant density on contact potential difference across n-type GaAs homojunctions using Kelvin Probe Force Microscopy

    NASA Astrophysics Data System (ADS)

    Boumenou, C. Kameni; Urgessa, Z. N.; Djiokap, S. R. Tankio; Botha, J. R.; Nel, J.

    2018-04-01

    In this study, cross-sectional surface potential imaging of n+/semi-insulating GaAs junctions is investigated by using amplitude mode kelvin probe force microscopy. The measurements have shown two different potential profiles, related to the difference in surface potential between the semi-insulating (SI) substrate and the epilayers. It is shown that the contact potential difference (CPD) between the tip and the sample is higher on the semi-insulating substrate side than on the n-type epilayer side. This change in CPD across the interface has been explained by means of energy band diagrams indicating the relative Fermi level positions. In addition, it has also been found that the CPD values across the interface are much smaller than the calculated values (on average about 25% of the theoretical values) and increase with the electron density. Therefore, the results presented in study are only in qualitative agreement with the theory.

  9. Electric field effects on the optical properties of buckled GaAs monolayer

    NASA Astrophysics Data System (ADS)

    Bahuguna, Bhagwati Prasad; Saini, L. K.; Sharma, Rajesh O.

    2018-04-01

    Buckled GaAs monolayer has a direct band gap semiconductor with energy gap of 1.31 eV in the absence of electric field. When we applied transverse electric field, the value of band gap decreases with increasing of electric field strength. In our previous work [1], it is observed that the buckled GaAs monolayer becomes metallic at 1.3 V/Å. In the present work, we investigate the optical properties such as photon energy-dependent dielectric functions, extinction coefficient, refractive index, absorption spectrum and reflectivity of buckled GaAs monolayer in the semiconducting phase i.e. absence of external electric field and metallic phase i.e. presence of external electric field using density functional theory.

  10. Deposition of InP on Si Substrates for Monolithic Integration of Advanced Electronics

    DTIC Science & Technology

    1988-05-01

    radiation resistance of InP has been demonstrated (in terms of solar cell experiments) to be quite superior to that of either GaAs or Si.( 1 , 2) In fact... photovoltaic p/n junction devices irradiated by I MeV electrons have been shown to almost totallv recover their electrical performance by annealing at...in the literature.(l5 2 2) The NTT group has succeeded in growing InP films directly on Si substrates and in fabricating solar cells (approximately 3

  11. High efficiency epitaxial GaAs/GaAs and GaAs/Ge solar cell technology using OM/CVD

    NASA Technical Reports Server (NTRS)

    Wang, K. L.; Yeh, Y. C. M.; Stirn, R. J.; Swerdling, S.

    1980-01-01

    A technology for fabricating high efficiency, thin film GaAs solar cells on substrates appropriate for space and/or terrestrial applications was developed. The approach adopted utilizes organometallic chemical vapor deposition (OM-CVD) to form a GaAs layer epitaxially on a suitably prepared Ge epi-interlayer deposited on a substrate, especially a light weight silicon substrate which can lead to a 300 watt per kilogram array technology for space. The proposed cell structure is described. The GaAs epilayer growth on single crystal GaAs and Ge wafer substrates were investigated.

  12. Nuclear spin warm up in bulk n -GaAs

    NASA Astrophysics Data System (ADS)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  13. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  14. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  15. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  16. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  17. 46 CFR Sec. 7 - Operation under current GAA/MSTS Southeast Asia Program.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... provisions of NSA Order 35 (OPR-2) to the particular circumstances of the present GAA/MSTS Southeast Asia... General Agency operations not related to the current GAA/MSTS Southeast Asia Program, NSA Order 35 (OPR-2... lieu of those appearing in sections 3 and 4 of NSA Order 35 (OPR-2). Continental United States ports do...

  18. GaAs Solar Cell Radiation Handbook

    NASA Technical Reports Server (NTRS)

    Anspaugh, B. E.

    1996-01-01

    History of GaAs solar cell development is provided. Photovoltaic equations are described along with instrumentation techniques for measuring solar cells. Radiation effects in solar cells, electrical performance, and spacecraft flight data for solar cells are discussed. The space radiation environment and solar array degradation calculations are addressed.

  19. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  20. Periodic annealing of radiation damage in GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R. Y.; Knechtli, R. C.; Kamath, G. S.

    1980-01-01

    Continuous annealing of GaAs solar cells is compared with periodic annealing to determine their relative effectiveness in minimizing proton radiation damage. It is concluded that continuous annealing of the cells in space at 150 C can effectively reduce the proton radiation damage to the GaAs solar cells. Periodic annealing is most effective if it can be initiated at relatively low fluences (approximating continuous annealing), especially if low temperatures of less than 200 C are to be used. If annealing is started only after the fluence of the damaging protons has accumulated to a high value 10 to the 11th power sq/pcm), effective annealing is still possible at relatively high temperatures. Finally, since electron radiation damage anneals even more easily than proton radiation damage, substantial improvements in GaAs solar cell life can be achieved by incorporating the proper annealing capabilities in solar panels for practical space missions where both electron and proton radiation damage have to be minimized.

  1. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  2. Disruption of Higher Order DNA Structures in Friedreich’s Ataxia (GAA)n Repeats by PNA or LNA Targeting

    PubMed Central

    Bergquist, Helen; Rocha, Cristina S. J.; Álvarez-Asencio, Rubén; Nguyen, Chi-Hung; Rutland, Mark. W.; Smith, C. I. Edvard; Good, Liam; Nielsen, Peter E.; Zain, Rula

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigenetic modifications. With the aim of interfering with higher order H-DNA (like) DNA structures within pathological (GAA)n expansions, we examined sequence-specific interaction of peptide nucleic acid (PNA) with (GAA)n repeats of different lengths (short: n=9, medium: n=75 or long: n=115) by chemical probing of triple helical and single stranded regions. We found that a triplex structure (H-DNA) forms at GAA repeats of different lengths; however, single stranded regions were not detected within the medium size pathological repeat, suggesting the presence of a more complex structure. Furthermore, (GAA)4-PNA binding of the repeat abolished all detectable triplex DNA structures, whereas (CTT)5-PNA did not. We present evidence that (GAA)4-PNA can invade the DNA at the repeat region by binding the DNA CTT strand, thereby preventing non-canonical-DNA formation, and that triplex invasion complexes by (CTT)5-PNA form at the GAA repeats. Locked nucleic acid (LNA) oligonucleotides also inhibited triplex formation at GAA repeat expansions, and atomic force microscopy analysis showed significant relaxation of plasmid morphology in the presence of GAA-LNA. Thus, by inhibiting disease related higher order DNA structures in the Frataxin gene, such PNA and LNA oligomers may have potential for discovery of drugs aiming at recovering Frataxin expression. PMID:27846236

  3. Growth and Properties of Lattice Matched GaAsSbN Epilayer on GaAs for Solar Cell Applications

    NASA Technical Reports Server (NTRS)

    Bharatan, Sudhakar; Iyer, Shanthi; Matney, Kevin; Collis, Ward J.; Nunna Kalyan; Li, Jia; Wu, Liangjin; McGuire, Kristopher; McNeil, Laurie E.

    2006-01-01

    The growth and properties of GaAsSbN single quantum wells (SQWs) are investigated in this work. The heterostructures were grown on GaAs substrates in an elemental solid source molecular beam epitaxy (MBE) system assisted with a RF plasma nitrogen source. A systematic study has been carried out to determine the influence of various growth conditions, such as the growth temperature and the source shutter-opening sequence, on the quality of the grown layers and the incorporation of N and Sb. The effects of ex situ and in situ annealing under As overpressure on the optical properties of the layers have also been investigated. Substrate temperature in the range of 450-470 C was found to be optimum. Simultaneous opening of the source shutters was found to yield sharper QW interfaces. N and Sb incorporations were found to depend strongly upon substrate temperatures and source shutter opening sequences. A significant increase in PL intensity with a narrowing of PL line shape and blue shift in emission energy were observed on annealing the GaAsSbN/GaAs SQW, with in situ annealing under As overpressure providing better results, compared to ex situ annealing.

  4. Wetting, adhesion and friction of superhydrophobic and hydrophilic leaves and fabricated micro/nanopatterned surfaces

    NASA Astrophysics Data System (ADS)

    Bhushan, Bharat; Jung, Yong Chae

    2008-06-01

    Superhydrophobic surfaces have considerable technological potential for various applications due to their extreme water-repellent properties. When two hydrophilic bodies are brought into contact, any liquid present at the interface forms menisci, which increases adhesion/friction and the magnitude is dependent upon the contact angle. Certain plant leaves are known to be superhydrophobic in nature due to their roughness and the presence of a thin wax film on the leaf surface. Various leaf surfaces on the microscale and nanoscale have been characterized in order to separate out the effects of the microbumps and nanobumps and the wax on the hydrophobicity. The next logical step in realizing superhydrophobic surfaces that can be produced is to design surfaces based on understanding of the leaves. The effect of micropatterning and nanopatterning on the hydrophobicity was investigated for two different polymers with micropatterns and nanopatterns. Scale dependence on adhesion was also studied using atomic force microscope tips of various radii. Studies on silicon surfaces patterned with pillars of varying diameter, height and pitch values and deposited with a hydrophobic coating were performed to demonstrate how the contact angles vary with the pitch. The effect of droplet size on contact angle was studied by droplet evaporation and a transition criterion was developed to predict when air pockets cease to exist. Finally, an environmental scanning electron microscope study on the effect of droplet size of about 20 µm radius on the contact angle of patterned surfaces is presented. The importance of hierarchical roughness structure on destabilization of air pockets is discussed.

  5. A comparison of GaAs and Si hybrid solar power systems

    NASA Technical Reports Server (NTRS)

    Heinbockel, J. H.; Roberts, A. S., Jr.

    1977-01-01

    Five different hybrid solar power systems using silicon solar cells to produce thermal and electric power are modeled and compared with a hybrid system using a GaAs cell. Among the indices determined are capital cost per unit electric power plus mechanical power, annual cost per unit electric energy, and annual cost per unit electric plus mechanical work. Current costs are taken to be $35,000/sq m for GaAs cells with an efficiency of 15% and $1000/sq m for Si cells with an efficiency of 10%. It is shown that hybrid systems can be competitive with existing methods of practical energy conversion. Limiting values for annual costs of Si and GaAs cells are calculated to be 10.3 cents/kWh and 6.8 cents/kWh, respectively. Results for both systems indicate that for a given flow rate there is an optimal operating condition for minimum cost photovoltaic output. For Si cell costs of $50/sq m optimal performance can be achieved at concentrations of about 10; for GaAs cells costing 1000/sq m, optimal performance can be obtained at concentrations of around 100. High concentration hybrid systems offer a distinct cost advantage over flat systems.

  6. Nanopatterned submicron pores as a shield for nonspecific binding in surface plasmon resonance-based sensing.

    PubMed

    Raz, Sabina Rebe; Marchesini, Gerardo R; Bremer, Maria G E G; Colpo, Pascal; Garcia, Cesar Pascual; Guidetti, Guido; Norde, Willem; Rossi, Francois

    2012-11-21

    We present a novel approach to tackle the most common drawback of using surface plasmon resonance for analyte screening in complex biological matrices--the nonspecific binding to the sensor chip surface. By using a perforated membrane supported by a polymeric gel structure at the evanescent wave penetration depth, we have fabricated a non-fouling sieve above the sensing region. The sieve shields the evanescent wave from nonspecific interactions which interfere with SPR sensing by minimizing the fouled area of the polymeric gel and preventing the translocation of large particles, e.g. micelles or aggregates. The nanopatterned macropores were fabricated by means of colloidal lithography and plasma enhanced chemical vapor deposition of a polyethylene oxide-like film on top of a polymeric gel matrix commonly used in surface plasmon resonance analysis. The sieve was characterized using surface plasmon resonance imaging, contact angle, atomic force microscopy and scanning electron microscopy. The performance of the sieve was studied using an immunoassay for detection of antibiotic residues in full fat milk and porcine serum. The non-fouling membrane presented pores in the 92-138 nm range organized in a hexagonal crystal lattice with a clearance of about 5% of the total surface. Functionally, the membrane with the nanopatterned macropores showed significant improvements in immunoassay robustness and sensitivity in untreated complex samples. The utilization of the sensor built-in sieve for measurements in complex matrices offers reduction in pre-analytical sample preparation steps and thus shortens the total analysis time.

  7. Basic mechanisms study for MIS solar cell structures on GaAs

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  8. Chemical beam epitaxy of GaAs1-xNx using MMHy and DMHy precursors, modeled by ab initio study of GaAs(100) surfaces stability over As2, H2 and N2

    NASA Astrophysics Data System (ADS)

    Valencia, Hubert; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-06-01

    Using ab initio calculations, a simple model for GaAs1-xNx vapor-phase epitaxy on (100) surface of GaAs was created. By studying As2 and H2 molecules adsorptions and As/N atom substitutions on (100) GaAs surfaces, we obtain a relative stability diagram of all stable surfaces under varying As2, H2, and N2 conditions. We previously proved that this model could describe the vapor-phase epitaxy of GaAs1-x Nx with simple, fully decomposed, precursors. In this paper, we show that in more complex reaction conditions using monomethylhydrazine (MMHy), and dimethylhydrazine (DMHy), it is still possible to use our model to obtain an accurate description of the temperature and pressure stability domains for each surfaces, linked to chemical beam epitaxy (CBE) growth conditions. Moreover, the different N-incorporation regimes observed experimentally at different temperature can be explain and predict by our model. The use of MMHy and DMHy precursors can also be rationalized. Our model should then help to better understand the conditions needed to obtain an high quality GaAs1-xNx using vapor-phase epitaxy.

  9. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    PubMed

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  10. Properties of TiO2 thin films and a study of the TiO2-GaAs interface

    NASA Technical Reports Server (NTRS)

    Chen, C. Y.; Littlejohn, M. A.

    1977-01-01

    Titanium dioxide (TiO2) films prepared by chemical vapor deposition were investigated in this study for the purpose of the application in the GaAs metal-insulator-semiconductor field-effect transistor. The degree of crystallization increases with the deposition temperature. The current-voltage study, utilizing an Al-TiO2-Al MIM structure, reveals that the d-c conduction through the TiO2 film is dominated by the bulk-limited Poole-Frenkel emission mechanism. The dependence of the resistivity of the TiO2 films on the deposition environment is also shown. The results of the capacitance-voltage study indicate that an inversion layer in an n-type substrate can be achieved in the MIS capacitor if the TiO2 films are deposited at a temperature higher than 275 C. A process of low temperature deposition followed by the pattern definition and a higher temperature annealing is suggested for device fabrications. A model, based on the assumption that the surface state densities are continuously distributed in energy within the forbidden band gap, is proposed to interpret the lack of an inversion layer in the Al-TiO2-GaAs MIS structure with the TiO2 films deposited at 200 C.

  11. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  12. Nanopatterning reconfigurable magnetic landscapes via thermally assisted scanning probe lithography

    NASA Astrophysics Data System (ADS)

    Albisetti, E.; Petti, D.; Pancaldi, M.; Madami, M.; Tacchi, S.; Curtis, J.; King, W. P.; Papp, A.; Csaba, G.; Porod, W.; Vavassori, P.; Riedo, E.; Bertacco, R.

    2016-06-01

    The search for novel tools to control magnetism at the nanoscale is crucial for the development of new paradigms in optics, electronics and spintronics. So far, the fabrication of magnetic nanostructures has been achieved mainly through irreversible structural or chemical modifications. Here, we propose a new concept for creating reconfigurable magnetic nanopatterns by crafting, at the nanoscale, the magnetic anisotropy landscape of a ferromagnetic layer exchange-coupled to an antiferromagnetic layer. By performing localized field cooling with the hot tip of a scanning probe microscope, magnetic structures, with arbitrarily oriented magnetization and tunable unidirectional anisotropy, are reversibly patterned without modifying the film chemistry and topography. This opens unforeseen possibilities for the development of novel metamaterials with finely tuned magnetic properties, such as reconfigurable magneto-plasmonic and magnonic crystals. In this context, we experimentally demonstrate spatially controlled spin wave excitation and propagation in magnetic structures patterned with the proposed method.

  13. Nanopatterning reconfigurable magnetic landscapes via thermally assisted scanning probe lithography.

    PubMed

    Albisetti, E; Petti, D; Pancaldi, M; Madami, M; Tacchi, S; Curtis, J; King, W P; Papp, A; Csaba, G; Porod, W; Vavassori, P; Riedo, E; Bertacco, R

    2016-06-01

    The search for novel tools to control magnetism at the nanoscale is crucial for the development of new paradigms in optics, electronics and spintronics. So far, the fabrication of magnetic nanostructures has been achieved mainly through irreversible structural or chemical modifications. Here, we propose a new concept for creating reconfigurable magnetic nanopatterns by crafting, at the nanoscale, the magnetic anisotropy landscape of a ferromagnetic layer exchange-coupled to an antiferromagnetic layer. By performing localized field cooling with the hot tip of a scanning probe microscope, magnetic structures, with arbitrarily oriented magnetization and tunable unidirectional anisotropy, are reversibly patterned without modifying the film chemistry and topography. This opens unforeseen possibilities for the development of novel metamaterials with finely tuned magnetic properties, such as reconfigurable magneto-plasmonic and magnonic crystals. In this context, we experimentally demonstrate spatially controlled spin wave excitation and propagation in magnetic structures patterned with the proposed method.

  14. High purity low dislocation GaAs single crystals

    NASA Technical Reports Server (NTRS)

    Chen, R. T.; Holmes, D. E.; Kirkpatrick, C. G.

    1982-01-01

    Recent advances in GaAs bulk crystal growth using the LEC (liquid encapsulated Czochralski) technique are described. The dependence of the background impurity concentration and the dislocation density distribution on the materials synthesis and growth conditions were investigated. Background impurity concentrations as low as 4 x 10 to the 15th power were observed in undoped LEC GaAs. The dislocation density in selected regions of individual ingots was very low, below the 3000 cm .3000/sq cm threshold. The average dislocation density over a large annular ring on the wafers fell below the 10000/sq cm level for 3 inch diameter ingots. The diameter control during the program advanced to a diameter variation along a 3 inch ingot less than 2 mm.

  15. Insertion of GaAs MMICs into EW systems

    NASA Astrophysics Data System (ADS)

    Schineller, E. R.; Pospishil, A.; Grzyb, J.

    1989-09-01

    Development activities on a microwave/mm-wave monolithic IC (MIMIC) program are described, as well as the methodology for inserting these GaAs IC chips into several EW systems. The generic EW chip set developed on the MIMIC program consists of 23 broadband chip types, including amplifiers, oscillators, mixers, switches, variable attenuators, power dividers, and power combiners. These chips are being designed for fabrication using the multifunction self-aligned gate process. The benefits from GaAs IC insertion are quantified by a comparison of hardware units fabricated with existing MIC and digital ECL technology and the same units manufactured with monolithic technology. It is found that major improvements in cost, reliability, size, weight, and performance can be realized. Examples illustrating the methodology for technology insertion are presented.

  16. Radiation testing of GaAs on CRRES and LIPS experiment

    NASA Technical Reports Server (NTRS)

    Trumble, T. M.; Masloski, K.

    1984-01-01

    The radiation damage of solar cells has become a prime concern to the U.S. Air Force due to longer satellite lifetime requirements. Flight experiments were undertaken on the Navy Living Plume Shield (LPS) satellite and the NASA/Air Force Combined Release and Radiation Effects Satellite (CRRES) to complement existing radiation testing. Each experiment, the rationale behind it, and its approach and status are presented. The effect of space radiation on gallium arsenide (GaAs) solar cells was the central parameter investigated. Specifications of the GaAs solar cells are given.

  17. Core-level photoemission investigation of atomic-fluorine adsorption on GaAs(110)

    NASA Astrophysics Data System (ADS)

    McLean, A. B.; Terminello, L. J.; McFeely, F. R.

    1989-12-01

    The adsorption of atomic F on the cleaved GaAs(110) surface has been studied with use of high-resolution core-level photoelectron spectroscopy by exposing the GaAs(110) surfaces to XeF2, which adsorbs dissociatively, leaving atomic F behind. This surface reaction produces two chemically shifted components in the Ga 3d core-level emission which are attributed to an interfacial monofluoride and a stable trifluoride reaction product, respectively. The As 3d core level develops only one chemically shifted component and from its exposure-dependent behavior it is attributed to an interfacial monofluoride. Least-squares analysis of the core-level line shapes revealed that (i) the F bonds to both the anion and the cation , (ii) the GaF3 component (characteristic of strong interfacial reaction) and the surface core-level shifted component (characteristic of a well ordered, atomically clean surface) are present together over a relatively large range of XeF2 exposures, and (iii) it is the initial disruption of the GaAs(110) surface that is the rate-limiting step in this surface reaction. These results are compared with similar studies of Cl and O adsorption on GaAs(110).

  18. Enhanced second-harmonic generation from resonant GaAs gratings.

    PubMed

    de Ceglia, D; D'Aguanno, G; Mattiucci, N; Vincenti, M A; Scalora, M

    2011-03-01

    We theoretically study second harmonic generation in nonlinear, GaAs gratings. We find large enhancement of conversion efficiency when the pump field excites the guided mode resonances of the grating. Under these circumstances the spectrum near the pump wavelength displays sharp resonances characterized by dramatic enhancements of local fields and favorable conditions for second-harmonic generation, even in regimes of strong linear absorption at the harmonic wavelength. In particular, in a GaAs grating pumped at 1064 nm, we predict second-harmonic conversion efficiencies approximately 5 orders of magnitude larger than conversion rates achievable in either bulk or etalon structures of the same material.

  19. Scanning microwave microscopy applied to semiconducting GaAs structures

    NASA Astrophysics Data System (ADS)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  20. Molecular beam epitaxy and metalorganic chemical vapor deposition growth of epitaxial CdTe on (100) GaAs/Si and (111) GaAs/Si substrates

    NASA Technical Reports Server (NTRS)

    Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.

    1988-01-01

    Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.