Sample records for nanowire complementary metal-oxide-semiconductor

  1. Ultralow-power complementary metal-oxide-semiconductor inverters constructed on Schottky barrier modified nanowire metal-oxide-semiconductor field-effect-transistors.

    PubMed

    Ma, R M; Peng, R M; Wen, X N; Dai, L; Liu, C; Sun, T; Xu, W J; Qin, G G

    2010-10-01

    We show that the threshold voltages of both n- and p-channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) can be lowered to close to zero by adding extra Schottky contacts on top of nanowires (NWs). Novel complementary metal-oxide-semiconductor (CMOS) inverters are constructed on these Schottky barrier modified n- and p-channel NW MOSFETs. Based on the high performances of the modified n- and p-channel MOSFETs, especially the low threshold voltages, the as-fabricated CMOS inverters have low operating voltage, high voltage gain, and ultra-low static power dissipation.

  2. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  3. Vertically integrated, three-dimensional nanowire complementary metal-oxide-semiconductor circuits.

    PubMed

    Nam, SungWoo; Jiang, Xiaocheng; Xiong, Qihua; Ham, Donhee; Lieber, Charles M

    2009-12-15

    Three-dimensional (3D), multi-transistor-layer, integrated circuits represent an important technological pursuit promising advantages in integration density, operation speed, and power consumption compared with 2D circuits. We report fully functional, 3D integrated complementary metal-oxide-semiconductor (CMOS) circuits based on separate interconnected layers of high-mobility n-type indium arsenide (n-InAs) and p-type germanium/silicon core/shell (p-Ge/Si) nanowire (NW) field-effect transistors (FETs). The DC voltage output (V(out)) versus input (V(in)) response of vertically interconnected CMOS inverters showed sharp switching at close to the ideal value of one-half the supply voltage and, moreover, exhibited substantial DC gain of approximately 45. The gain and the rail-to-rail output switching are consistent with the large noise margin and minimal static power consumption of CMOS. Vertically interconnected, three-stage CMOS ring oscillators were also fabricated by using layer-1 InAs NW n-FETs and layer-2 Ge/Si NW p-FETs. Significantly, measurements of these circuits demonstrated stable, self-sustained oscillations with a maximum frequency of 108 MHz, which represents the highest-frequency integrated circuit based on chemically synthesized nanoscale materials. These results highlight the flexibility of bottom-up assembly of distinct nanoscale materials and suggest substantial promise for 3D integrated circuits.

  4. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  5. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  6. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  7. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  8. Methods for synthesizing metal oxide nanowires

    DOEpatents

    Sunkara, Mahendra Kumar; Kumar, Vivekanand; Kim, Jeong H.; Clark, Ezra Lee

    2016-08-09

    A method of synthesizing a metal oxide nanowire includes the steps of: combining an amount of a transition metal or a transition metal oxide with an amount of an alkali metal compound to produce a mixture; activating a plasma discharge reactor to create a plasma discharge; exposing the mixture to the plasma discharge for a first predetermined time period such that transition metal oxide nanowires are formed; contacting the transition metal oxide nanowires with an acid solution such that an alkali metal ion is exchanged for a hydrogen ion on each of the transition metal oxide nanowires; and exposing the transition metal oxide nanowires to the plasma discharge for a second predetermined time period to thermally anneal the transition metal oxide nanowires. Transition metal oxide nanowires produced using the synthesis methods described herein are also provided.

  9. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  10. Chip-scale fluorescence microscope based on a silo-filter complementary metal-oxide semiconductor image sensor.

    PubMed

    Ah Lee, Seung; Ou, Xiaoze; Lee, J Eugene; Yang, Changhuei

    2013-06-01

    We demonstrate a silo-filter (SF) complementary metal-oxide semiconductor (CMOS) image sensor for a chip-scale fluorescence microscope. The extruded pixel design with metal walls between neighboring pixels guides fluorescence emission through the thick absorptive filter to the photodiode of a pixel. Our prototype device achieves 13 μm resolution over a wide field of view (4.8 mm × 4.4 mm). We demonstrate bright-field and fluorescence longitudinal imaging of living cells in a compact, low-cost configuration.

  11. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    NASA Astrophysics Data System (ADS)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  12. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  13. Radiation induced failures of complementary metal oxide semiconductor containing pacemakers: a potentially lethal complication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lewin, A.A.; Serago, C.F.; Schwade, J.G.

    1984-10-01

    New multi-programmable pacemakers frequently employ complementary metal oxide semiconductors (CMOS). This circuitry appears more sensitive to the effects of ionizing radiation when compared to the semiconductor circuits used in older pacemakers. A case of radiation induced runaway pacemaker in a CMOS device is described. Because of this and other recent reports of radiation therapy-induced CMOS type pacemaker failure, these pacemakers should not be irradiated. If necessary, the pacemaker can be shielded or moved to a site which can be shielded before institution of radiation therapy. This is done to prevent damage to the CMOS circuit and the life threatening arrythmiasmore » which may result from such damage.« less

  14. Band structure engineering strategies of metal oxide semiconductor nanowires and related nanostructures: A review

    NASA Astrophysics Data System (ADS)

    Piyadasa, Adimali; Wang, Sibo; Gao, Pu-Xian

    2017-07-01

    The electronic band structure of a solid state semiconductor determines many of its physical and chemical characteristics such as electrical, optical, physicochemical, and catalytic activity. Alteration or modification of the band structure could lead to significant changes in these physical and chemical characteristics, therefore we introduce new mechanisms of creating novel solid state materials with interesting properties. Over the past three decades, research on band structure engineering has allowed development of various methods to modify the band structure of engineered materials. Compared to bulk counterparts, nanostructures generally exhibit higher band structure modulation capabilities due to the quantum confinement effect, prominent surface effect, and higher strain limit. In this review we will discuss various band structure engineering strategies in semiconductor nanowires and other related nanostructures, mostly focusing on metal oxide systems. Several important strategies of band structure modulation are discussed in detail, such as doping, alloying, straining, interface and core-shell nanostructuring.

  15. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    PubMed

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  16. Single-photon imaging in complementary metal oxide semiconductor processes

    PubMed Central

    Charbon, E.

    2014-01-01

    This paper describes the basics of single-photon counting in complementary metal oxide semiconductors, through single-photon avalanche diodes (SPADs), and the making of miniaturized pixels with photon-counting capability based on SPADs. Some applications, which may take advantage of SPAD image sensors, are outlined, such as fluorescence-based microscopy, three-dimensional time-of-flight imaging and biomedical imaging, to name just a few. The paper focuses on architectures that are best suited to those applications and the trade-offs they generate. In this context, architectures are described that efficiently collect the output of single pixels when designed in large arrays. Off-chip readout circuit requirements are described for a variety of applications in physics, medicine and the life sciences. Owing to the dynamic nature of SPADs, designs featuring a large number of SPADs require careful analysis of the target application for an optimal use of silicon real estate and of limited readout bandwidth. The paper also describes the main trade-offs involved in architecting such chips and the solutions adopted with focus on scalability and miniaturization. PMID:24567470

  17. Organic-on-silicon complementary metal-oxide-semiconductor colour image sensors.

    PubMed

    Lim, Seon-Jeong; Leem, Dong-Seok; Park, Kyung-Bae; Kim, Kyu-Sik; Sul, Sangchul; Na, Kyoungwon; Lee, Gae Hwang; Heo, Chul-Joon; Lee, Kwang-Hee; Bulliard, Xavier; Satoh, Ryu-Ichi; Yagi, Tadao; Ro, Takkyun; Im, Dongmo; Jung, Jungkyu; Lee, Myungwon; Lee, Tae-Yon; Han, Moon Gyu; Jin, Yong Wan; Lee, Sangyoon

    2015-01-12

    Complementary metal-oxide-semiconductor (CMOS) colour image sensors are representative examples of light-detection devices. To achieve extremely high resolutions, the pixel sizes of the CMOS image sensors must be reduced to less than a micron, which in turn significantly limits the number of photons that can be captured by each pixel using silicon (Si)-based technology (i.e., this reduction in pixel size results in a loss of sensitivity). Here, we demonstrate a novel and efficient method of increasing the sensitivity and resolution of the CMOS image sensors by superposing an organic photodiode (OPD) onto a CMOS circuit with Si photodiodes, which consequently doubles the light-input surface area of each pixel. To realise this concept, we developed organic semiconductor materials with absorption properties selective to green light and successfully fabricated highly efficient green-light-sensitive OPDs without colour filters. We found that such a top light-receiving OPD, which is selective to specific green wavelengths, demonstrates great potential when combined with a newly designed Si-based CMOS circuit containing only blue and red colour filters. To demonstrate the effectiveness of this state-of-the-art hybrid colour image sensor, we acquired a real full-colour image using a camera that contained the organic-on-Si hybrid CMOS colour image sensor.

  18. Current-voltage characteristics of the semiconductor nanowires under the metal-semiconductor-metal structure

    NASA Astrophysics Data System (ADS)

    Wen, Jing; Zhang, Xitian; Gao, Hong; Wang, Mingjiao

    2013-12-01

    We present a method to calculate the I-V characteristics of semiconductor nanowires under the metal-semiconductor-metal (MSM) structure. The carrier concentration as an important parameter is introduced into the expression of the current. The subband structure of the nanowire has been considered for associating it with the position of the Fermi level and circumventing the uncertainties of the contact areas in the contacts. The tunneling and thermionic emission currents in the two Schottky barriers at the two metal-semiconductor contacts are discussed. We find that the two barriers have different influences on the I-V characteristics of the MSM structure, one of which under the forward bias plays the role of threshold voltage if its barrier height is large and the applied voltage is small, and the other under the reverse bias controls the shapes of I-V curves. Our calculations show that the shapes of the I-V curves for the MSM structure are mainly determined by the barrier heights of the contacts and the carrier concentration. The nearly identical I-V characteristics can be obtained by using different values of the barrier heights and carrier concentration, which means that the contact type conversion can be ascribed not only to the changes of the barrier heights but also that of the carrier concentration. We also discuss the mechanisms of the ohmic-Schottky conversions and clarify the ambiguity in the literature. The possibility about the variation of the carrier concentration under the applied fields has been confirmed by experimental results.

  19. A 94GHz Temperature Compensated Low Noise Amplifier in 45nm Silicon-on-Insulator Complementary Metal-Oxide Semiconductor (SOI CMOS)

    DTIC Science & Technology

    2014-01-01

    ring oscillator based temperature sensor will be designed to compensate for gain variations over temperature. For comparison to a competing solution...Simulated (Green) Capacitance of the GSG Pads ........................ 9 Figure 6: Die Picture and Schematic of the L-2L Coplanar Waveguides...complementary metal-oxide-semiconductor (CMOS) technology. A ring oscillator based temperature sensor was designed to compensate for gain variations

  20. Rapid Transition of the Hole Rashba Effect from Strong Field Dependence to Saturation in Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Luo, Jun-Wei; Li, Shu-Shen; Zunger, Alex

    2017-09-01

    The electric field manipulation of the Rashba spin-orbit coupling effects provides a route to electrically control spins, constituting the foundation of the field of semiconductor spintronics. In general, the strength of the Rashba effects depends linearly on the applied electric field and is significant only for heavy-atom materials with large intrinsic spin-orbit interaction under high electric fields. Here, we illustrate in 1D semiconductor nanowires an anomalous field dependence of the hole (but not electron) Rashba effect (HRE). (i) At low fields, the strength of the HRE exhibits a steep increase with the field so that even low fields can be used for device switching. (ii) At higher fields, the HRE undergoes a rapid transition to saturation with a giant strength even for light-atom materials such as Si (exceeding 100 meV Å). (iii) The nanowire-size dependence of the saturation HRE is rather weak for light-atom Si, so size fluctuations would have a limited effect; this is a key requirement for scalability of Rashba-field-based spintronic devices. These three features offer Si nanowires as a promising platform for the realization of scalable complementary metal-oxide-semiconductor compatible spintronic devices.

  1. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  2. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  3. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  4. High performance Si nanowire field-effect-transistors based on a CMOS inverter with tunable threshold voltage.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Sohn, Jung Inn; Cha, Seung Nam; Whang, Dongmok; Kim, Jong Min; Kang, Dae Joon

    2014-05-21

    We successfully fabricated nanowire-based complementary metal-oxide semiconductor (NWCMOS) inverter devices by utilizing n- and p-type Si nanowire field-effect-transistors (NWFETs) via a low-temperature fabrication processing technique. We demonstrate that NWCMOS inverter devices can be operated at less than 1 V, a significantly lower voltage than that of typical thin-film based complementary metal-oxide semiconductor (CMOS) inverter devices. This low-voltage operation was accomplished by controlling the threshold voltage of the n-type Si NWFETs through effective management of the nanowire (NW) doping concentration, while realizing high voltage gain (>10) and ultra-low static power dissipation (≤3 pW) for high-performance digital inverter devices. This result offers a viable means of fabricating high-performance, low-operation voltage, and high-density digital logic circuits using a low-temperature fabrication processing technique suitable for next-generation flexible electronics.

  5. Fabrication of lateral electrodes on semiconductor nanowires through structurally matched insulation for functional optoelectronics.

    PubMed

    Sheng, Yun; Sun, Huabin; Wang, Jianyu; Gao, Fan; Wang, Junzhuan; Pan, Lijia; Pu, Lin; Zheng, Youdou; Shi, Yi

    2013-01-18

    A strategy of using structurally matched alumina insulation to produce lateral electrodes on semiconductor nanowires is presented. Nanowires in the architecture are structurally matched with alumina insulation using selective anodic oxidation. Lateral electrodes are fabricated by directly evaporating metallic atoms onto the opposite sides of the nanowires. The integrated architecture with lateral electrodes propels carriers to transport them across nanowires and is crucially beneficial to the injection/extraction in optoelectronics. The matched architecture and the insulating properties of the alumina layer are investigated experimentally. ZnO nanowires are functionalized into an ultraviolet photodiode as an example. The present strategy successfully implements an advantageous architecture and is significant in developing diverse semiconductor nanowires in optoelectronic applications.

  6. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    NASA Astrophysics Data System (ADS)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  7. A general melt-injection-decomposition route to oriented metal oxide nanowire arrays

    NASA Astrophysics Data System (ADS)

    Han, Dongqiang; Zhang, Xinwei; Hua, Zhenghe; Yang, Shaoguang

    2016-12-01

    In this manuscript, a general melt-injection-decomposition (MID) route has been proposed and realized for the fabrication of oriented metal oxide nanowire arrays. Nitrate was used as the starting materials, which was injected into the nanopores of the anodic aluminum oxide (AAO) membrane through the capillarity action in its liquid state. At higher temperature, the nitrate decomposed into corresponding metal oxide within the nanopores of the AAO membrane. Oriented metal oxide nanowire arrays were formed within the AAO membrane as a result of the confinement of the nanopores. Four kinds of metal oxide (CuO, Mn2O3, Co3O4 and Cr2O3) nanowire arrays are presented here as examples fabricated by this newly developed process. X-ray diffraction, scanning electron microscopy and transmission electron microscopy studies showed clear evidence of the formations of the oriented metal oxide nanowire arrays. Formation mechanism of the metal oxide nanowire arrays is discussed based on the Thermogravimetry and Differential Thermal Analysis measurement results.

  8. Design of Contact Electrodes for Semiconductor Nanowire Solar Energy Harvesting Devices.

    PubMed

    Lin, Tzuging; Ramadurgam, Sarath; Yang, Chen

    2017-04-12

    Transparent, low-resistive contacts are critical for efficient solar energy harvesting devices. It is important to reconsider the material choices and electrode design as devices move from 2D films to 1D nanostructures. In this paper, we study the effectiveness of indium tin oxide (ITO) and metals, such as Ag and Cu, as contacts in 2D and 1D systems. Although ITO has been studied extensively and developed into an effective transparent contact for 2D devices, our results show that effectiveness does not translate to 1D systems. Particularly with consideration of resistance requirement, nanowires with metal shells as contacts enable better absorption within the semiconductor as compared to ITO. Furthermore, there is a strong dependence of contact performance on the semiconductor band gap and diameter of nanowires. We found that metal contacts outperform ITO for nanowire devices, regardless of the sheet resistance constraint, in the regime of diameters less than 100 nm and band-gaps greater than 1 eV. These metal shells optimized for best absorption are significantly thinner than ITO, which enables for the design of devices with high nanowire number density and consequently higher device efficiencies.

  9. Rapid Transition of the Hole Rashba Effect from Strong Field Dependence to Saturation in Semiconductor Nanowires.

    PubMed

    Luo, Jun-Wei; Li, Shu-Shen; Zunger, Alex

    2017-09-22

    The electric field manipulation of the Rashba spin-orbit coupling effects provides a route to electrically control spins, constituting the foundation of the field of semiconductor spintronics. In general, the strength of the Rashba effects depends linearly on the applied electric field and is significant only for heavy-atom materials with large intrinsic spin-orbit interaction under high electric fields. Here, we illustrate in 1D semiconductor nanowires an anomalous field dependence of the hole (but not electron) Rashba effect (HRE). (i) At low fields, the strength of the HRE exhibits a steep increase with the field so that even low fields can be used for device switching. (ii) At higher fields, the HRE undergoes a rapid transition to saturation with a giant strength even for light-atom materials such as Si (exceeding 100 meV Å). (iii) The nanowire-size dependence of the saturation HRE is rather weak for light-atom Si, so size fluctuations would have a limited effect; this is a key requirement for scalability of Rashba-field-based spintronic devices. These three features offer Si nanowires as a promising platform for the realization of scalable complementary metal-oxide-semiconductor compatible spintronic devices.

  10. Rectification and Photoconduction Mapping of Axial Metal-Semiconductor Interfaces Embedded in GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, Marta; Piazza, Vincenzo; Rubini, Silvia; Roddaro, Stefano

    2015-10-01

    Semiconductor nanowires have emerged as an important enabling technology and are today used in many advanced device architectures, with an impact both for what concerns fundamental science and in view of future applications. One of the key challenges in the development of nanowire-based devices is the fabrication of reliable nanoscale contacts. Recent developments in the creation of metal-semiconductor junctions by thermal annealing of metallic electrodes offer promising perspectives. Here, we analyze the optoelectronic properties of nano-Schottky barriers obtained thanks to the controlled formation of metallic AuGa regions in GaAs nanowire. The junctions display a rectifying behavior and their transport characteristics are analyzed to extract the average ideality factor and barrier height in the current architecture. The presence, location, and properties of the Schottky junctions are cross-correlated with spatially resolved photocurrent measurements. Broadband light emission is reported in the reverse breakdown regime; this observation, combined with the absence of electroluminescence at forward bias, is consistent with the device unipolar nature.

  11. Surfactant-Templated Mesoporous Metal Oxide Nanowires

    DOE PAGES

    Luo, Hongmei; Lin, Qianglu; Baber, Stacy; ...

    2010-01-01

    We demore » monstrate two approaches to prepare mesoporous metal oxide nanowires by surfactant assembly and nanoconfinement via sol-gel or electrochemical deposition. For example, mesoporous Ta 2 O 5 and zeolite nanowires are prepared by block copolymer Pluronic 123-templated sol-gel method, and mesoporous ZnO nanowires are prepared by electrodeposition in presence of anionic surfactant sodium dodecyl sulfate (SDS) surfactant, in porous membranes. The morphologies of porous nanowires are studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses.« less

  12. Metal contact engineering and registration-free fabrication of complementary metal-oxide semiconductor integrated circuits using aligned carbon nanotubes.

    PubMed

    Wang, Chuan; Ryu, Koungmin; Badmaev, Alexander; Zhang, Jialu; Zhou, Chongwu

    2011-02-22

    Complementary metal-oxide semiconductor (CMOS) operation is very desirable for logic circuit applications as it offers rail-to-rail swing, larger noise margin, and small static power consumption. However, it remains to be a challenging task for nanotube-based devices. Here in this paper, we report our progress on metal contact engineering for n-type nanotube transistors and CMOS integrated circuits using aligned carbon nanotubes. By using Pd as source/drain contacts for p-type transistors, small work function metal Gd as source/drain contacts for n-type transistors, and evaporated SiO(2) as a passivation layer, we have achieved n-type transistor, PN diode, and integrated CMOS inverter with an air-stable operation. Compared with other nanotube n-doping techniques, such as potassium doping, PEI doping, hydrazine doping, etc., using low work function metal contacts for n-type nanotube devices is not only air stable but also integrated circuit fabrication compatible. Moreover, our aligned nanotube platform for CMOS integrated circuits shows significant advantage over the previously reported individual nanotube platforms with respect to scalability and reproducibility and suggests a practical and realistic approach for nanotube-based CMOS integrated circuit applications.

  13. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  14. Nanoscale Metal Oxide Semiconductors for Gas Sensing

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W.; Evans, Laura; Xu, Jennifer C.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.

    2011-01-01

    A report describes the fabrication and testing of nanoscale metal oxide semiconductors (MOSs) for gas and chemical sensing. This document examines the relationship between processing approaches and resulting sensor behavior. This is a core question related to a range of applications of nanotechnology and a number of different synthesis methods are discussed: thermal evaporation- condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed, providing a processing overview to developers of nanotechnology- based systems. The results of a significant amount of testing and comparison are also described. A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. The TECsynthesized single-crystal nanowires offer uniform crystal surfaces, resistance to sintering, and their synthesis may be done apart from the substrate. The TECproduced nanowire response is very low, even at the operating temperature of 200 C. In contrast, the electrospun polycrystalline nanofiber response is high, suggesting that junction potentials are superior to a continuous surface depletion layer as a transduction mechanism for chemisorption. Using a catalyst deposited upon the surface in the form of nanoparticles yields dramatic gains in sensitivity for both nanostructured, one-dimensional forms. For the nanowire materials, the response magnitude and response rate uniformly increase with increasing operating temperature. Such changes are interpreted in terms of accelerated surface diffusional processes, yielding greater access to chemisorbed oxygen species and faster dissociative chemisorption, respectively. Regardless of operating temperature, sensitivity of the nanofibers is a factor of 10 to 100 greater than that of nanowires with the same catalyst for the same test condition. In summary, nanostructure appears critical to governing the reactivity, as measured by electrical

  15. Additional compound semiconductor nanowires for photonics

    NASA Astrophysics Data System (ADS)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  16. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes

    NASA Astrophysics Data System (ADS)

    Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.

    2018-02-01

    Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.

  18. Ratiometric, filter-free optical sensor based on a complementary metal oxide semiconductor buried double junction photodiode.

    PubMed

    Yung, Ka Yi; Zhan, Zhiyong; Titus, Albert H; Baker, Gary A; Bright, Frank V

    2015-07-16

    We report a complementary metal oxide semiconductor integrated circuit (CMOS IC) with a buried double junction (BDJ) photodiode that (i) provides a real-time output signal that is related to the intensity ratio at two emission wavelengths and (ii) simultaneously eliminates the need for an optical filter to block Rayleigh scatter. We demonstrate the BDJ platform performance for gaseous NH3 and aqueous pH detection. We also compare the BDJ performance to parallel results obtained by using a slew scanned fluorimeter (SSF). The BDJ results are functionally equivalent to the SSF results without the need for any wavelength filtering or monochromators and the BDJ platform is not prone to errors associated with source intensity fluctuations or sensor signal drift. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. A CMOS-Compatible Poly-Si Nanowire Device with Hybrid Sensor/Memory Characteristics for System-on-Chip Applications

    PubMed Central

    Chen, Min-Cheng; Chen, Hao-Yu; Lin, Chia-Yi; Chien, Chao-Hsin; Hsieh, Tsung-Fan; Horng, Jim-Tong; Qiu, Jian-Tai; Huang, Chien-Chao; Ho, Chia-Hua; Yang, Fu-Liang

    2012-01-01

    This paper reports a versatile nano-sensor technology using “top-down” poly-silicon nanowire field-effect transistors (FETs) in the conventional Complementary Metal-Oxide Semiconductor (CMOS)-compatible semiconductor process. The nanowire manufacturing technique reduced nanowire width scaling to 50 nm without use of extra lithography equipment, and exhibited superior device uniformity. These n type polysilicon nanowire FETs have positive pH sensitivity (100 mV/pH) and sensitive deoxyribonucleic acid (DNA) detection ability (100 pM) at normal system operation voltages. Specially designed oxide-nitride-oxide buried oxide nanowire realizes an electrically Vth-adjustable sensor to compensate device variation. These nanowire FETs also enable non-volatile memory application for a large and steady Vth adjustment window (>2 V Programming/Erasing window). The CMOS-compatible manufacturing technique of polysilicon nanowire FETs offers a possible solution for commercial System-on-Chip biosensor application, which enables portable physiology monitoring and in situ recording. PMID:22666012

  20. Monolithically Integrated Metal/Semiconductor Tunnel Junction Nanowire Light-Emitting Diodes.

    PubMed

    Sadaf, S M; Ra, Y H; Szkopek, T; Mi, Z

    2016-02-10

    We have demonstrated for the first time an n(++)-GaN/Al/p(++)-GaN backward diode, wherein an epitaxial Al layer serves as the tunnel junction. The resulting p-contact free InGaN/GaN nanowire light-emitting diodes (LEDs) exhibited a low turn-on voltage (∼2.9 V), reduced resistance, and enhanced power, compared to nanowire LEDs without the use of Al tunnel junction or with the incorporation of an n(++)-GaN/p(++)-GaN tunnel junction. This unique Al tunnel junction overcomes some of the critical issues related to conventional GaN-based tunnel junction designs, including stress relaxation, wide depletion region, and light absorption, and holds tremendous promise for realizing low-resistivity, high-brightness III-nitride nanowire LEDs in the visible and deep ultraviolet spectral range. Moreover, the demonstration of monolithic integration of metal and semiconductor nanowire heterojunctions provides a seamless platform for realizing a broad range of multifunctional nanoscale electronic and photonic devices.

  1. Electron transport in high aspect ratio semiconductor nanowires and metal-semiconductor interfaces

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting

    We are facing variability problems for modern semiconductor transistors due to the fact that the performances of nominally identical devices in the scale of 10 100 nm could be dramatically different attributed to the small manufacturing variations. Different doping strategies give statistical variations in the number of dopant atom density ND in the channel. The material size gives variations in wire diameter dW. And the immediate environment of the material leads to an additional level of variability. E.g. vacuum-semiconductor interface causes variations in surface state density Ds, metal-semiconductor interface causes variations in Schottky barrier and dielectric semiconductor interface induces dielectric confinement at small scales. To approach these variability problems, I choose Si-doped GaAs nanowires as an example. I investigate transport in Si-doped GaAs nanowire (NW) samples contacted by lithographically patterned Gold-Titanium films as function of temperature T. I find a drastically different temperature dependence between the wire resistance RW, which is relatively weak, and the zero bias resistance RC, which is strong. I show that the data are consistent with a model based on a sharp donor energy level slightly above the bottom of the semiconductor conduction band and develop a simple method for using transport measurements for estimates of the doping density after nanowire growth. I discuss the predictions of effective free carrier density n eff as function of the surface state density Ds and wire size dW. I also describe a correction to the widely used model of Schottky contacts that improves thermodynamic consistency of the Schottky tunnel barrier profile and show that the original theory may underestimate the barrier conductance under certain conditions. I also provide analytical calculations for shallow silicon dopant energy in GaAs crystals, and find the presence of dielectrics (dielectric screening) and free carriers (Coulomb screening) cause a

  2. Laser line scan underwater imaging by complementary metal-oxide-semiconductor camera

    NASA Astrophysics Data System (ADS)

    He, Zhiyi; Luo, Meixing; Song, Xiyu; Wang, Dundong; He, Ning

    2017-12-01

    This work employs the complementary metal-oxide-semiconductor (CMOS) camera to acquire images in a scanning manner for laser line scan (LLS) underwater imaging to alleviate backscatter impact of seawater. Two operating features of the CMOS camera, namely the region of interest (ROI) and rolling shutter, can be utilized to perform image scan without the difficulty of translating the receiver above the target as the traditional LLS imaging systems have. By the dynamically reconfigurable ROI of an industrial CMOS camera, we evenly divided the image into five subareas along the pixel rows and then scanned them by changing the ROI region automatically under the synchronous illumination by the fun beams of the lasers. Another scanning method was explored by the rolling shutter operation of the CMOS camera. The fun beam lasers were turned on/off to illuminate the narrow zones on the target in a good correspondence to the exposure lines during the rolling procedure of the camera's electronic shutter. The frame synchronization between the image scan and the laser beam sweep may be achieved by either the strobe lighting output pulse or the external triggering pulse of the industrial camera. Comparison between the scanning and nonscanning images shows that contrast of the underwater image can be improved by our LLS imaging techniques, with higher stability and feasibility than the mechanically controlled scanning method.

  3. Plasmonic engineering of metal-oxide nanowire heterojunctions in integrated nanowire rectification units

    NASA Astrophysics Data System (ADS)

    Lin, Luchan; Zou, Guisheng; Liu, Lei; Duley, Walt W.; Zhou, Y. Norman

    2016-05-01

    We show that irradiation with femtosecond laser pulses can produce robust nanowire heterojunctions in coupled non-wetting metal-oxide Ag-TiO2 structures. Simulations indicate that joining arises from the effect of strong plasmonic localization in the region of the junction. Strong electric field effects occur in both Ag and TiO2 resulting in the modification of both surfaces and an increase in wettability of TiO2, facilitating the interconnection of Ag and TiO2 nanowires. Irradiation leads to the creation of a thin layer of highly defected TiO2 in the contact region between the Ag and TiO2 nanowires. The presence of this layer allows the formation of a heterojunction and offers the possibility of engineering the electronic characteristics of interfacial structures. Rectifying junctions with single and bipolar properties have been generated in Ag-TiO2 nanowire circuits incorporating asymmetrical and symmetrical interfacial structures, respectively. This fabrication technique should be applicable for the interconnection of other heterogeneous metal-oxide nanowire components and demonstrates that femtosecond laser irradiation enables interfacial engineering for electronic applications of integrated nanowire structures.

  4. Contacts to Semiconductor Nanowires

    DTIC Science & Technology

    2009-10-03

    SiNW diameters and the amount of metal deposited, or alternatively, the atomic ratio between Pt and Si. The uniformity of the silicided NWs was...program. The Schottky contact is a metal silicide formed by rapid thermal annealing of the deposited contact metal . The θ- Ni2Si/n-Si NW Schottky...decision. unless so designated by other documentation. 14. ABSTRACT Metal contacts to semiconductor nanowires share similarities with their thin-film

  5. Printing Peptide arrays with a complementary metal oxide semiconductor chip.

    PubMed

    Loeffler, Felix F; Cheng, Yun-Chien; Muenster, Bastian; Striffler, Jakob; Liu, Fanny C; Ralf Bischoff, F; Doersam, Edgar; Breitling, Frank; Nesterov-Mueller, Alexander

    2013-01-01

    : In this chapter, we discuss the state-of-the-art peptide array technologies, comparing the spot technique, lithographical methods, and microelectronic chip-based approaches. Based on this analysis, we describe a novel peptide array synthesis method with a microelectronic chip printer. By means of a complementary metal oxide semiconductor chip, charged bioparticles can be patterned on its surface. The bioparticles serve as vehicles to transfer molecule monomers to specific synthesis spots. Our chip offers 16,384 pixel electrodes on its surface with a spot-to-spot pitch of 100 μm. By switching the voltage of each pixel between 0 and 100 V separately, it is possible to generate arbitrary particle patterns for combinatorial molecule synthesis. Afterwards, the patterned chip surface serves as a printing head to transfer the particle pattern from its surface to a synthesis substrate. We conducted a series of proof-of-principle experiments to synthesize high-density peptide arrays. Our solid phase synthesis approach is based on the 9-fluorenylmethoxycarbonyl protection group strategy. After melting the particles, embedded monomers diffuse to the surface and participate in the coupling reaction to the surface. The method demonstrated herein can be easily extended to the synthesis of more complicated artificial molecules by using bioparticles with artificial molecular building blocks. The possibility of synthesizing artificial peptides was also shown in an experiment in which we patterned biotin particles in a high-density array format. These results open the road to the development of peptide-based functional modules for diverse applications in biotechnology.

  6. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  7. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide-Semiconductor Image Sensors.

    PubMed

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-05-02

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components.

  8. Nanometer-scale modification and welding of silicon and metallic nanowires with a high-intensity electron beam.

    PubMed

    Xu, Shengyong; Tian, Mingliang; Wang, Jinguo; Xu, Jian; Redwing, Joan M; Chan, Moses H W

    2005-12-01

    We demonstrate that a high-intensity electron beam can be applied to create holes, gaps, and other patterns of atomic and nanometer dimensions on a single nanowire, to weld individual nanowires to form metal-metal or metal-semiconductor junctions, and to remove the oxide shell from a crystalline nanowire. In single-crystalline Si nanowires, the beam induces instant local vaporization and local amorphization. In metallic Au, Ag, Cu, and Sn nanowires, the beam induces rapid local surface melting and enhanced surface diffusion, in addition to local vaporization. These studies open up a novel approach for patterning and connecting nanomaterials in devices and circuits at the nanometer scale.

  9. The heterogeneous integration of single-walled carbon nanotubes onto complementary metal oxide semiconductor circuitry for sensing applications.

    PubMed

    Chen, Chia-Ling; Agarwal, Vinay; Sonkusale, Sameer; Dokmeci, Mehmet R

    2009-06-03

    A simple methodology for integrating single-walled carbon nanotubes (SWNTs) onto complementary metal oxide semiconductor (CMOS) circuitry is presented. The SWNTs were incorporated onto the CMOS chip as the feedback resistor of a two-stage Miller compensated operational amplifier utilizing dielectrophoretic assembly. The measured electrical properties from the integrated SWNTs yield ohmic behavior with a two-terminal resistance of approximately 37.5 kOmega and the measured small signal ac gain (-2) from the inverting amplifier confirmed successful integration of carbon nanotubes onto the CMOS circuitry. Furthermore, the temperature response of the SWNTs integrated onto CMOS circuitry has been measured and had a thermal coefficient of resistance (TCR) of -0.4% degrees C(-1). This methodology, demonstrated for the integration of SWNTs onto CMOS technology, is versatile, high yield and paves the way for the realization of novel miniature carbon-nanotube-based sensor systems.

  10. Metal Catalyst for Low-Temperature Growth of Controlled Zinc Oxide Nanowires on Arbitrary Substrates

    PubMed Central

    Kim, Baek Hyun; Kwon, Jae W.

    2014-01-01

    Zinc oxide nanowires generated by hydrothermal method present superior physical and chemical characteristics. Quality control of the growth has been very challenging and controlled growth is only achievable under very limited conditions using homogeneous seed layers with high temperature processes. Here we show the controlled ZnO nanowire growth on various organic and inorganic materials without the requirement of a homogeneous seed layer and a high temperature process. We also report the discovery of an important role of the electronegativity in the nanowire growth on arbitrary substrates. Using heterogeneous metal oxide interlayers with low-temperature hydrothermal methods, we demonstrate well-controlled ZnO nanowire arrays and single nanowires on flat or curved surfaces. A metal catalyst and heterogeneous metal oxide interlayers are found to determine lattice-match with ZnO and to largely influence the controlled alignment. These findings will contribute to the development of novel nanodevices using controlled nanowires. PMID:24625584

  11. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  12. Silicon and germanium nanowire electronics: physics of conventional and unconventional transistors

    NASA Astrophysics Data System (ADS)

    Weber, Walter M.; Mikolajick, Thomas

    2017-06-01

    Research in the field of electronics of 1D group-IV semiconductor structures has attracted increasing attention over the past 15 years. The exceptional combination of the unique 1D electronic transport properties with the mature material know-how of highly integrated silicon and germanium technology holds the promise of enhancing state-of-the-art electronics. In addition of providing conduction channels that can bring conventional field effect transistors to the uttermost scaling limits, the physics of 1D group IV nanowires endows new device principles. Such unconventional silicon and germanium nanowire devices are contenders for beyond complementary metal oxide semiconductor (CMOS) computing by virtue of their distinct switching behavior and higher expressive value. This review conveys to the reader a systematic recapitulation and analysis of the physics of silicon and germanium nanowires and the most relevant CMOS and CMOS-like devices built from silicon and germanium nanowires, including inversion mode, junctionless, steep-slope, quantum well and reconfigurable transistors.

  13. Method for the rapid synthesis of large quantities of metal oxide nanowires at low temperatures

    DOEpatents

    Sunkara, Mahendra Kumar [Louisville, KY; Vaddiraju, Sreeram [Mountain View, CA; Mozetic, Miran [Ljubljan, SI; Cvelbar, Uros [Idrija, SI

    2009-09-22

    A process for the rapid synthesis of metal oxide nanoparticles at low temperatures and methods which facilitate the fabrication of long metal oxide nanowires. The method is based on treatment of metals with oxygen plasma. Using oxygen plasma at low temperatures allows for rapid growth unlike other synthesis methods where nanomaterials take a long time to grow. Density of neutral oxygen atoms in plasma is a controlling factor for the yield of nanowires. The oxygen atom density window differs for different materials. By selecting the optimal oxygen atom density for various materials the yield can be maximized for nanowire synthesis of the metal.

  14. An optical relay approach to very low cost hybrid polymer-complementary metal-oxide semiconductor electrophoresis instrumentation.

    PubMed

    Hall, Gordon H; Sloan, David L; Ma, Tianchi; Couse, Madeline H; Martel, Stephane; Elliott, Duncan G; Glerum, D Moira; Backhouse, Christopher J

    2014-07-04

    Electrophoresis is an integral part of many molecular diagnostics protocols and an inexpensive implementation would greatly facilitate point-of-care (POC) applications. However, the high instrumentation cost presents a substantial barrier, much of it associated with fluorescence detection. The cost of such systems could be substantially reduced by placing the fluidic channel and photodiode directly above the detector in order to collect a larger portion of the fluorescent light. In future, this could be achieved through the integration and monolithic fabrication of photoresist microchannels on complementary metal-oxide semiconductor microelectronics (CMOS). However, the development of such a device is expensive due to high non-recurring engineering costs. To facilitate that development, we present a system that utilises an optical relay to integrate low-cost polymeric microfluidics with a CMOS chip that provides a photodiode, analog-digital conversion and a standard serial communication interface. This system embodies an intermediate level of microelectronic integration, and significantly decreases development costs. With a limit of detection of 1.3±0.4nM of fluorescently end-labeled deoxyribonucleic acid (DNA), it is suitable for diagnostic applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  15. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    DOEpatents

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  16. Note: A disposable x-ray camera based on mass produced complementary metal-oxide-semiconductor sensors and single-board computers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hoidn, Oliver R.; Seidler, Gerald T., E-mail: seidler@uw.edu

    We have integrated mass-produced commercial complementary metal-oxide-semiconductor (CMOS) image sensors and off-the-shelf single-board computers into an x-ray camera platform optimized for acquisition of x-ray spectra and radiographs at energies of 2–6 keV. The CMOS sensor and single-board computer are complemented by custom mounting and interface hardware that can be easily acquired from rapid prototyping services. For single-pixel detection events, i.e., events where the deposited energy from one photon is substantially localized in a single pixel, we establish ∼20% quantum efficiency at 2.6 keV with ∼190 eV resolution and a 100 kHz maximum detection rate. The detector platform’s useful intrinsic energymore » resolution, 5-μm pixel size, ease of use, and obvious potential for parallelization make it a promising candidate for many applications at synchrotron facilities, in laser-heating plasma physics studies, and in laboratory-based x-ray spectrometry.« less

  17. Radiation hardening of metal-oxide semi-conductor (MOS) devices by boron

    NASA Technical Reports Server (NTRS)

    Danchenko, V.

    1974-01-01

    Technique using boron effectively protects metal-oxide semiconductor devices from ionizing radiation without using shielding materials. Boron is introduced into insulating gate oxide layer at semiconductor-insulator interface.

  18. Ballistic superconductivity in semiconductor nanowires.

    PubMed

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K; van Veen, Jasper; de Moor, Michiel W A; Bommer, Jouri D S; van Woerkom, David J; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P A M; Quintero-Pérez, Marina; Cassidy, Maja C; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P

    2017-07-06

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices.

  19. Ballistic superconductivity in semiconductor nanowires

    PubMed Central

    Zhang, Hao; Gül, Önder; Conesa-Boj, Sonia; Nowak, Michał P.; Wimmer, Michael; Zuo, Kun; Mourik, Vincent; de Vries, Folkert K.; van Veen, Jasper; de Moor, Michiel W. A.; Bommer, Jouri D. S.; van Woerkom, David J.; Car, Diana; Plissard, Sébastien R; Bakkers, Erik P.A.M.; Quintero-Pérez, Marina; Cassidy, Maja C.; Koelling, Sebastian; Goswami, Srijit; Watanabe, Kenji; Taniguchi, Takashi; Kouwenhoven, Leo P.

    2017-01-01

    Semiconductor nanowires have opened new research avenues in quantum transport owing to their confined geometry and electrostatic tunability. They have offered an exceptional testbed for superconductivity, leading to the realization of hybrid systems combining the macroscopic quantum properties of superconductors with the possibility to control charges down to a single electron. These advances brought semiconductor nanowires to the forefront of efforts to realize topological superconductivity and Majorana modes. A prime challenge to benefit from the topological properties of Majoranas is to reduce the disorder in hybrid nanowire devices. Here we show ballistic superconductivity in InSb semiconductor nanowires. Our structural and chemical analyses demonstrate a high-quality interface between the nanowire and a NbTiN superconductor that enables ballistic transport. This is manifested by a quantized conductance for normal carriers, a strongly enhanced conductance for Andreev-reflecting carriers, and an induced hard gap with a significantly reduced density of states. These results pave the way for disorder-free Majorana devices. PMID:28681843

  20. Differential-Mode Biosensor Using Dual Extended-Gate Metal-Oxide-Semiconductor Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jinhyeon; Lee, Hee Ho; Ahn, Jungil; Seo, Sang-Ho; Shin, Jang-Kyoo

    2012-06-01

    In this paper, we present a differential-mode biosensor using dual extended-gate metal-oxide-semiconductor field-effect transistors (MOSFETs), which possesses the advantages of both the extended-gate structure and the differential-mode operation. The extended-gate MOSFET was fabricated using a 0.6 µm standard complementary metal oxide semiconductor (CMOS) process. The Au extended gate is the sensing gate on which biomolecules are immobilized, while the Pt extended gate is the dummy gate for use in the differential-mode detection circuit. The differential-mode operation offers many advantages such as insensitivity to the variation of temperature and light, as well as low noise. The outputs were measured using a semiconductor parameter analyzer in a phosphate buffered saline (PBS; pH 7.4) solution. A standard Ag/AgCl reference electrode was used to apply the gate bias. We measured the variation of output voltage with time, temperature, and light intensity. The bindings of self-assembled monolayer (SAM), streptavidin, and biotin caused a variation in the output voltage of the differential-mode detection circuit and this was confirmed by surface plasmon resonance (SPR) experiment. Biotin molecules could be detected up to a concentration of as low as 0.001 µg/ml.

  1. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    NASA Astrophysics Data System (ADS)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  2. The AMOS cell - An improved metal-semiconductor solar cell. [Antireflection coated Metal Oxide Semiconductor

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y.-C. M.

    1975-01-01

    A new fabrication process is being developed which significantly improves the efficiency of metal-semiconductor solar cells. The resultant effect, a marked increase in the open-circuit voltage, is produced by the addition of an interfacial layer oxide on the semiconductor. Cells using gold on n-type gallium arsenide have been made in small areas (0.17 sq cm) with conversion efficiencies of 15% in terrestrial sunlight.

  3. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  4. Temperature effects in contacts between a metal and a semiconductor nanowire near the degenerate doping

    NASA Astrophysics Data System (ADS)

    Sun, Zhuting; Burgess, Tim; Tan, H. H.; Jagadish, Chennupati; Kogan, Andrei

    2018-04-01

    We have investigated the nonlinear conductance in diffusion-doped Si:GaAs nanowires contacted by patterned metal films in a wide range of temperatures T. The wire resistance R W and the zero bias resistance R C, dominated by the contacts, exhibit very different responses to temperature changes. While R W shows almost no dependence on T, R C varies by several orders of magnitude as the devices are cooled from room temperature to T = 5 K. We develop a model that employs a sharp donor level very low in the GaAs conduction band and show that our observations are consistent with the model predictions. We then demonstrate that such measurements can be used to estimate carrier properties in nanostructured semiconductors and obtain an estimate for N D, the doping density in our samples. We also discuss the effects of surface states and dielectric confinement on carrier density in semiconductor nanowires.

  5. Ultrasonic fingerprint sensor using a piezoelectric micromachined ultrasonic transducer array integrated with complementary metal oxide semiconductor electronics

    NASA Astrophysics Data System (ADS)

    Lu, Y.; Tang, H.; Fung, S.; Wang, Q.; Tsai, J. M.; Daneman, M.; Boser, B. E.; Horsley, D. A.

    2015-06-01

    This paper presents an ultrasonic fingerprint sensor based on a 24 × 8 array of 22 MHz piezoelectric micromachined ultrasonic transducers (PMUTs) with 100 μm pitch, fully integrated with 180 nm complementary metal oxide semiconductor (CMOS) circuitry through eutectic wafer bonding. Each PMUT is directly bonded to a dedicated CMOS receive amplifier, minimizing electrical parasitics and eliminating the need for through-silicon vias. The array frequency response and vibration mode-shape were characterized using laser Doppler vibrometry and verified via finite element method simulation. The array's acoustic output was measured using a hydrophone to be ˜14 kPa with a 28 V input, in reasonable agreement with predication from analytical calculation. Pulse-echo imaging of a 1D steel grating is demonstrated using electronic scanning of a 20 × 8 sub-array, resulting in 300 mV maximum received amplitude and 5:1 contrast ratio. Because the small size of this array limits the maximum image size, mechanical scanning was used to image a 2D polydimethylsiloxane fingerprint phantom (10 mm × 8 mm) at a 1.2 mm distance from the array.

  6. Growth of metal oxide nanowires from supercooled liquid nanodroplets.

    PubMed

    Kim, Myung Hwa; Lee, Byeongdu; Lee, Sungsik; Larson, Christopher; Baik, Jeong Min; Yavuz, Cafer T; Seifert, Sönke; Vajda, Stefan; Winans, Randall E; Moskovits, Martin; Stucky, Galen D; Wodtke, Alec M

    2009-12-01

    Nanometer-sized liquid droplets formed at temperatures below the bulk melting point become supercooled as they grow through Ostwald ripening or coalescence and can be exploited to grow nanowires without any catalyst. We used this simple approach to synthesize a number of highly crystalline metal oxide nanowires in a chemical or physical vapor deposition apparatus. Examples of nanowires made in this way include VO(2), V(2)O(5), RuO(2), MoO(2), MoO(3), and Fe(3)O(4), some of which have not been previously reported. Direct evidence of this new mechanism of nanowire growth is found from in situ 2-dimensional GISAXS (grazing incidence small angle X-ray scattering) measurements of VO(2) nanowire growth, which provides quantitative information on the shapes and sizes of growing nanowires as well as direct evidence of the presence of supercooled liquid droplets. We observe dramatic changes in nanowire growth by varying the choice of substrate, reflecting the influence of wetting forces on the supercooled nanodroplet shape and mobility as well as substrate-nanowire lattice matching on the definition of nanowire orientation. Surfaces with defects can also be used to pattern the growth of the nanowires. The simplicity of this synthesis concept suggests it may be rather general in its application.

  7. CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES: Switching Characteristics of Phase Change Memory Cell Integrated with Metal-Oxide Semiconductor Field Effect Transistor

    NASA Astrophysics Data System (ADS)

    Xu, Cheng; Liu, Bo; Chen, Yi-Feng; Liang, Shuang; Song, Zhi-Tang; Feng, Song-Lin; Wan, Xu-Dong; Yang, Zuo-Ya; Xie, Joseph; Chen, Bomy

    2008-05-01

    A Ge2Sb2Te5 based phase change memory device cell integrated with metal-oxide semiconductor field effect transistor (MOSFET) is fabricated using standard 0. 18 μm complementary metal-oxide semiconductor process technology. It shows steady switching characteristics in the dc current-voltage measurement. The phase changing phenomenon from crystalline state to amorphous state with a voltage pulse altitude of 2.0 V and pulse width of 50 ns is also obtained. These results show the feasibility of integrating phase change memory cell with MOSFET.

  8. Ultralow power complementary inverter circuits using axially doped p- and n-channel Si nanowire field effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2016-06-09

    We have successfully synthesized axially doped p- and n-type regions on a single Si nanowire (NW). Diodes and complementary metal-oxide-semiconductor (CMOS) inverter devices using single axial p- and n-channel Si NW field-effect transistors (FETs) were fabricated. We show that the threshold voltages of both p- and n-channel Si NW FETs can be lowered to nearly zero by effectively controlling the doping concentration. Because of the high performance of the p- and n-type Si NW channel FETs, especially with regard to the low threshold voltage, the fabricated NW CMOS inverters have a low operating voltage (<3 V) while maintaining a high voltage gain (∼6) and ultralow static power dissipation (≤0.3 pW) at an input voltage of ±3 V. This result offers a viable way for the fabrication of a high-performance high-density logic circuit using a low-temperature fabrication process, which makes it suitable for flexible electronics.

  9. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission.

    PubMed

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO(2) and In(2)O(3) are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications. This journal is © The Royal Society of Chemistry 2011

  10. Metal Oxide Semi-Conductor Gas Sensors in Environmental Monitoring

    PubMed Central

    Fine, George F.; Cavanagh, Leon M.; Afonja, Ayo; Binions, Russell

    2010-01-01

    Metal oxide semiconductor gas sensors are utilised in a variety of different roles and industries. They are relatively inexpensive compared to other sensing technologies, robust, lightweight, long lasting and benefit from high material sensitivity and quick response times. They have been used extensively to measure and monitor trace amounts of environmentally important gases such as carbon monoxide and nitrogen dioxide. In this review the nature of the gas response and how it is fundamentally linked to surface structure is explored. Synthetic routes to metal oxide semiconductor gas sensors are also discussed and related to their affect on surface structure. An overview of important contributions and recent advances are discussed for the use of metal oxide semiconductor sensors for the detection of a variety of gases—CO, NOx, NH3 and the particularly challenging case of CO2. Finally a description of recent advances in work completed at University College London is presented including the use of selective zeolites layers, new perovskite type materials and an innovative chemical vapour deposition approach to film deposition. PMID:22219672

  11. Large Lateral Photovoltaic Effect in Metal-(Oxide-) Semiconductor Structures

    PubMed Central

    Yu, Chongqi; Wang, Hui

    2010-01-01

    The lateral photovoltaic effect (LPE) can be used in position-sensitive detectors to detect very small displacements due to its output of lateral photovoltage changing linearly with light spot position. In this review, we will summarize some of our recent works regarding LPE in metal-semiconductor and metal-oxide-semiconductor structures, and give a theoretical model of LPE in these two structures. PMID:22163463

  12. Nanowire systems: technology and design

    PubMed Central

    Gaillardon, Pierre-Emmanuel; Amarù, Luca Gaetano; Bobba, Shashikanth; De Marchi, Michele; Sacchetto, Davide; De Micheli, Giovanni

    2014-01-01

    Nanosystems are large-scale integrated systems exploiting nanoelectronic devices. In this study, we consider double independent gate, vertically stacked nanowire field effect transistors (FETs) with gate-all-around structures and typical diameter of 20 nm. These devices, which we have successfully fabricated and evaluated, control the ambipolar behaviour of the nanostructure by selectively enabling one type of carriers. These transistors work as switches with electrically programmable polarity and thus realize an exclusive or operation. The intrinsic higher expressive power of these FETs, when compared with standard complementary metal oxide semiconductor technology, enables us to realize more efficient logic gates, which we organize as tiles to realize nanowire systems by regular arrays. This article surveys both the technology for double independent gate FETs as well as physical and logic design tools to realize digital systems with this fabrication technology. PMID:24567471

  13. Multilevel metallization method for fabricating a metal oxide semiconductor device

    NASA Technical Reports Server (NTRS)

    Hollis, B. R., Jr.; Feltner, W. R.; Bouldin, D. L.; Routh, D. E. (Inventor)

    1978-01-01

    An improved method is described of constructing a metal oxide semiconductor device having multiple layers of metal deposited by dc magnetron sputtering at low dc voltages and low substrate temperatures. The method provides multilevel interconnections and cross over between individual circuit elements in integrated circuits without significantly reducing the reliability or seriously affecting the yield.

  14. Ultrasonic fingerprint sensor using a piezoelectric micromachined ultrasonic transducer array integrated with complementary metal oxide semiconductor electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Y.; Fung, S.; Wang, Q.

    2015-06-29

    This paper presents an ultrasonic fingerprint sensor based on a 24 × 8 array of 22 MHz piezoelectric micromachined ultrasonic transducers (PMUTs) with 100 μm pitch, fully integrated with 180 nm complementary metal oxide semiconductor (CMOS) circuitry through eutectic wafer bonding. Each PMUT is directly bonded to a dedicated CMOS receive amplifier, minimizing electrical parasitics and eliminating the need for through-silicon vias. The array frequency response and vibration mode-shape were characterized using laser Doppler vibrometry and verified via finite element method simulation. The array's acoustic output was measured using a hydrophone to be ∼14 kPa with a 28 V input, in reasonable agreement with predication from analyticalmore » calculation. Pulse-echo imaging of a 1D steel grating is demonstrated using electronic scanning of a 20 × 8 sub-array, resulting in 300 mV maximum received amplitude and 5:1 contrast ratio. Because the small size of this array limits the maximum image size, mechanical scanning was used to image a 2D polydimethylsiloxane fingerprint phantom (10 mm × 8 mm) at a 1.2 mm distance from the array.« less

  15. Tailoring the Spectroscopic Properties of Semiconductor Nanowires via Surface-Plasmon-Based Optical Engineering

    PubMed Central

    2014-01-01

    Semiconductor nanowires, due to their unique electronic, optical, and chemical properties, are firmly placed at the forefront of nanotechnology research. The rich physics of semiconductor nanowire optics arises due to the enhanced light–matter interactions at the nanoscale and coupling of optical modes to electronic resonances. Furthermore, confinement of light can be taken to new extremes via coupling to the surface plasmon modes of metal nanostructures integrated with nanowires, leading to interesting physical phenomena. This Perspective will examine how the optical properties of semiconductor nanowires can be altered via their integration with highly confined plasmonic nanocavities that have resulted in properties such as orders of magnitude faster and more efficient light emission and lasing. The use of plasmonic nanocavities for tailored optical absorption will also be discussed in order to understand and engineer fundamental optical properties of these hybrid systems along with their potential for novel applications, which may not be possible with purely dielectric cavities. PMID:25396030

  16. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  17. Zinc oxide nanowire networks for macroelectronic devices

    NASA Astrophysics Data System (ADS)

    Unalan, Husnu Emrah; Zhang, Yan; Hiralal, Pritesh; Dalal, Sharvari; Chu, Daping; Eda, Goki; Teo, K. B. K.; Chhowalla, Manish; Milne, William I.; Amaratunga, Gehan A. J.

    2009-04-01

    Highly transparent zinc oxide (ZnO) nanowire networks have been used as the active material in thin film transistors (TFTs) and complementary inverter devices. A systematic study on a range of networks of variable density and TFT channel length was performed. ZnO nanowire networks provide a less lithographically intense alternative to individual nanowire devices, are always semiconducting, and yield significantly higher mobilites than those achieved from currently used amorphous Si and organic TFTs. These results suggest that ZnO nanowire networks could be ideal for inexpensive large area electronics.

  18. Positron studies of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  19. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    PubMed Central

    Long, Rathnait D.; McIntyre, Paul C.

    2012-01-01

    The literature on polar Gallium Nitride (GaN) surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS) devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  20. Dielectrophoretic alignment of metal and metal oxide nanowires and nanotubes: a universal set of parameters for bridging prepatterned microelectrodes.

    PubMed

    Maijenburg, A W; Maas, M G; Rodijk, E J B; Ahmed, W; Kooij, E S; Carlen, E T; Blank, D H A; ten Elshof, J E

    2011-03-15

    Nanowires and nanotubes were synthesized from metals and metal oxides using templated cathodic electrodeposition. With templated electrodeposition, small structures are electrodeposited using a template that is the inverse of the final desired shape. Dielectrophoresis was used for the alignment of the as-formed nanowires and nanotubes between prepatterned electrodes. For reproducible nanowire alignment, a universal set of dielectrophoresis parameters to align any arbitrary nanowire material was determined. The parameters include peak-to-peak potential and frequency, thickness of the silicon oxide layer, grounding of the silicon substrate, and nature of the solvent medium used. It involves applying a field with a frequency >10(5) Hz, an insulating silicon oxide layer with a thickness of 2.5 μm or more, grounding of the underlying silicon substrate, and the use of a solvent medium with a low dielectric constant. In our experiments, we obtained good results by using a peak-to-peak potential of 2.1 V at a frequency of 1.2 × 10(5) Hz. Furthermore, an indirect alignment technique is proposed that prevents short circuiting of nanowires after contacting both electrodes. After alignment, a considerably lower resistivity was found for ZnO nanowires made by templated electrodeposition (2.2-3.4 × 10(-3) Ωm) compared to ZnO nanorods synthesized by electrodeposition (10 Ωm) or molecular beam epitaxy (MBE) (500 Ωm). Copyright © 2010 Elsevier Inc. All rights reserved.

  1. Ultra low-loss, isotropic optical negative-index metamaterial based on hybrid metal-semiconductor nanowires

    PubMed Central

    Paniagua-Domínguez, R.; Abujetas, D. R.; Sánchez-Gil, J. A.

    2013-01-01

    Recently, many fascinating properties predicted for metamaterials (negative refraction, superlensing, electromagnetic cloaking,…) were experimentally demonstrated. Unfortunately, the best achievements have no direct translation to the optical domain, without being burdened by technological and conceptual difficulties. Of particular importance within the realm of optical negative-index metamaterials (NIM), is the issue of simultaneously achieving strong electric and magnetic responses and low associated losses. Here, hybrid metal-semiconductor nanowires are proposed as building blocks of optical NIMs. The metamaterial thus obtained, highly isotropic in the plane normal to the nanowires, presents a negative index of refraction in the near-infrared, with values of the real part well below −1, and extremely low losses (an order of magnitude better than present optical NIMs). Tunability of the system allows to select the operating range in the whole telecom spectrum. The design is proven in configurations such as prisms and slabs, directly observing negative refraction. PMID:23514968

  2. Metal oxides for optoelectronic applications.

    PubMed

    Yu, Xinge; Marks, Tobin J; Facchetti, Antonio

    2016-04-01

    Metal oxides (MOs) are the most abundant materials in the Earth's crust and are ingredients in traditional ceramics. MO semiconductors are strikingly different from conventional inorganic semiconductors such as silicon and III-V compounds with respect to materials design concepts, electronic structure, charge transport mechanisms, defect states, thin-film processing and optoelectronic properties, thereby enabling both conventional and completely new functions. Recently, remarkable advances in MO semiconductors for electronics have been achieved, including the discovery and characterization of new transparent conducting oxides, realization of p-type along with traditional n-type MO semiconductors for transistors, p-n junctions and complementary circuits, formulations for printing MO electronics and, most importantly, commercialization of amorphous oxide semiconductors for flat panel displays. This Review surveys the uniqueness and universality of MOs versus other unconventional electronic materials in terms of materials chemistry and physics, electronic characteristics, thin-film fabrication strategies and selected applications in thin-film transistors, solar cells, diodes and memories.

  3. Metal oxides for optoelectronic applications

    NASA Astrophysics Data System (ADS)

    Yu, Xinge; Marks, Tobin J.; Facchetti, Antonio

    2016-04-01

    Metal oxides (MOs) are the most abundant materials in the Earth's crust and are ingredients in traditional ceramics. MO semiconductors are strikingly different from conventional inorganic semiconductors such as silicon and III-V compounds with respect to materials design concepts, electronic structure, charge transport mechanisms, defect states, thin-film processing and optoelectronic properties, thereby enabling both conventional and completely new functions. Recently, remarkable advances in MO semiconductors for electronics have been achieved, including the discovery and characterization of new transparent conducting oxides, realization of p-type along with traditional n-type MO semiconductors for transistors, p-n junctions and complementary circuits, formulations for printing MO electronics and, most importantly, commercialization of amorphous oxide semiconductors for flat panel displays. This Review surveys the uniqueness and universality of MOs versus other unconventional electronic materials in terms of materials chemistry and physics, electronic characteristics, thin-film fabrication strategies and selected applications in thin-film transistors, solar cells, diodes and memories.

  4. Low temperature processed complementary metal oxide semiconductor (CMOS) device by oxidation effect from capping layer.

    PubMed

    Wang, Zhenwei; Al-Jawhari, Hala A; Nayak, Pradipta K; Caraveo-Frescas, J A; Wei, Nini; Hedhili, M N; Alshareef, H N

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190 °C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  5. Three-Dimensional Flexible Complementary Metal-Oxide-Semiconductor Logic Circuits Based On Two-Layer Stacks of Single-Walled Carbon Nanotube Networks.

    PubMed

    Zhao, Yudan; Li, Qunqing; Xiao, Xiaoyang; Li, Guanhong; Jin, Yuanhao; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2016-02-23

    We have proposed and fabricated stable and repeatable, flexible, single-walled carbon nanotube (SWCNT) thin film transistor (TFT) complementary metal-oxide-semiconductor (CMOS) integrated circuits based on a three-dimensional (3D) structure. Two layers of SWCNT-TFT devices were stacked, where one layer served as n-type devices and the other one served as p-type devices. On the basis of this method, it is able to save at least half of the area required to construct an inverter and make large-scale and high-density integrated CMOS circuits easier to design and manufacture. The 3D flexible CMOS inverter gain can be as high as 40, and the total noise margin is more than 95%. Moreover, the input and output voltage of the inverter are exactly matched for cascading. 3D flexible CMOS NOR, NAND logic gates, and 15-stage ring oscillators were fabricated on PI substrates with high performance as well. Stable electrical properties of these circuits can be obtained with bending radii as small as 3.16 mm, which shows that such a 3D structure is a reliable architecture and suitable for carbon nanotube electrical applications in complex flexible and wearable electronic devices.

  6. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    PubMed Central

    Wang, Zhenwei; Al-Jawhari, Hala A.; Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wei, Nini; Hedhili, M. N.; Alshareef, H. N.

    2015-01-01

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field. PMID:25892711

  7. Silver nanowires-templated metal oxide for broadband Schottky photodetector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patel, Malkeshkumar; Kim, Hong-Sik; Kim, Joondong, E-mail: joonkim@inu.ac.kr

    2016-04-04

    Silver nanowires (AgNWs)-templated transparent metal oxide layer was applied for Si Schottky junction device, which remarked the record fastest photoresponse of 3.4 μs. Self-operating AgNWs-templated Schottky photodetector showed broad wavelength photodetection with high responsivity (42.4 A W{sup −1}) and detectivity (2.75 × 10{sup 15} Jones). AgNWs-templated indium-tin-oxide (ITO) showed band-to-band excitation due to the internal photoemission, resulting in significant carrier collection performances. Functional metal oxide layer was formed by AgNWs-templated from ITO structure. The grown ITO above AgNWs has a cylindrical shape and acts as a thermal protector of AgNWs for high temperature environment without any deformation. We developed thermal stable AgNWs-templated transparent oxidemore » devices and demonstrated the working mechanism of AgNWs-templated Schottky devices. We may propose the high potential of hybrid transparent layer design for various photoelectric applications, including solar cells.« less

  8. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  9. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  10. Stable Defects in Semiconductor Nanowires.

    PubMed

    Sanchez, A M; Gott, J A; Fonseka, H A; Zhang, Y; Liu, H; Beanland, R

    2018-05-09

    Semiconductor nanowires are commonly described as being defect-free due to their ability to expel mobile defects with long-range strain fields. Here, we describe previously undiscovered topologically protected line defects with null Burgers vector that, unlike dislocations, are stable in nanoscale crystals. We analyze the defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor-liquid-solid growth and subsequent vapor-solid shell growth. We use a form of the Burgers circuit method that can be applied to multiply twinned material without difficulty. Our observations show that the nanowire microstructure is very different from bulk material, with line defects either (a) trapped by locks or other defects, (b) arranged as dipoles or groups with a zero total Burgers vector, or (c) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material. The most common defect is the three-monolayer high twin facet with a zero Burgers vector. Studies of individual nanowires using cathodoluminescence show that optical emission is quenched in defective regions, showing that they act as strong nonradiative recombination centers.

  11. CMOS array design automation techniques. [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Ramondetta, P.; Feller, A.; Noto, R.; Lombardi, T.

    1975-01-01

    A low cost, quick turnaround technique for generating custom metal oxide semiconductor arrays using the standard cell approach was developed, implemented, tested and validated. Basic cell design topology and guidelines are defined based on an extensive analysis that includes circuit, layout, process, array topology and required performance considerations particularly high circuit speed.

  12. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  13. Potentiometric Dye Imaging for Pheochromocytoma and Cortical Neurons with a Novel Measurement System Using an Integrated Complementary Metal-Oxide-Semiconductor Imaging Device

    NASA Astrophysics Data System (ADS)

    Kobayashi, Takuma; Tagawa, Ayato; Noda, Toshihiko; Sasagawa, Kiyotaka; Tokuda, Takashi; Hatanaka, Yumiko; Tamura, Hideki; Ishikawa, Yasuyuki; Shiosaka, Sadao; Ohta, Jun

    2010-11-01

    The combination of optical imaging with voltage-sensitive dyes is a powerful tool for studying the spatiotemporal patterns of neural activity and understanding the neural networks of the brain. To visualize the potential status of multiple neurons simultaneously using a compact instrument with high density and a wide range, we present a novel measurement system using an implantable biomedical photonic LSI device with a red absorptive light filter for voltage-sensitive dye imaging (BpLSI-red). The BpLSI-red was developed for sensing fluorescence by the on-chip LSI, which was designed by using complementary metal-oxide-semiconductor (CMOS) technology. A micro-electro-mechanical system (MEMS) microfabrication technique was used to postprocess the CMOS sensor chip; light-emitting diodes (LEDs) were integrated for illumination and to enable long-term cell culture. Using the device, we succeeded in visualizing the membrane potential of 2000-3000 cells and the process of depolarization of pheochromocytoma cells (PC12 cells) and mouse cerebral cortical neurons in a primary culture with cellular resolution. Therefore, our measurement application enables the detection of multiple neural activities simultaneously.

  14. Transport properties of silicon complementary-metal-oxide semiconductor quantum well field-effect transistors

    NASA Astrophysics Data System (ADS)

    Naquin, Clint Alan

    Introducing explicit quantum transport into silicon (Si) transistors in a manner compatible with industrial fabrication has proven challenging, yet has the potential to transform the performance horizons of large scale integrated Si devices and circuits. Explicit quantum transport as evidenced by negative differential transconductances (NDTCs) has been observed in a set of quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors fabricated using industrial silicon complementary MOS processing. The QW potential was formed via lateral ion implantation doping on a commercial 45 nm technology node process line, and measurements of the transfer characteristics show NDTCs up to room temperature. Detailed gate length and temperature dependence characteristics of the NDTCs in these devices have been measured. Gate length dependence of NDTCs shows a correlation of the interface channel length with the number of NDTCs formed as well as with the gate voltage (VG) spacing between NDTCs. The VG spacing between multiple NDTCs suggests a quasi-parabolic QW potential profile. The temperature dependence is consistent with partial freeze-out of carrier concentration against a degenerately doped background. A folding amplifier frequency multiplier circuit using a single QW NMOS transistor to generate a folded current-voltage transfer function via a NDTC was demonstrated. Time domain data shows frequency doubling in the kHz range at room temperature, and Fourier analysis confirms that the output is dominated by the second harmonic of the input. De-embedding the circuit response characteristics from parasitic cable and contact impedances suggests that in the absence of parasitics the doubling bandwidth could be as high as 10 GHz in a monolithic integrated circuit, limited by the transresistance magnitude of the QW NMOS. This is the first example of a QW device fabricated by mainstream Si CMOS technology being used in a circuit application and establishes the feasibility

  15. Synthesis and characterization of single-crystalline zinc tin oxide nanowires

    NASA Astrophysics Data System (ADS)

    Shi, Jen-Bin; Wu, Po-Feng; Lin, Hsien-Sheng; Lin, Ya-Ting; Lee, Hsuan-Wei; Kao, Chia-Tze; Liao, Wei-Hsiang; Young, San-Lin

    2014-05-01

    Crystalline zinc tin oxide (ZTO; zinc oxide with heavy tin doping of 33 at.%) nanowires were first synthesized using the electrodeposition and heat treatment method based on an anodic aluminum oxide (AAO) membrane, which has an average diameter of about 60 nm. According to the field emission scanning electron microscopy (FE-SEM) results, the synthesized ZTO nanowires are highly ordered and have high wire packing densities. The length of ZTO nanowires is about 4 μm, and the aspect ratio is around 67. ZTO nanowires with a Zn/(Zn + Sn) atomic ratio of 0.67 (approximately 2/3) were observed from an energy dispersive spectrometer (EDS). X-ray diffraction (XRD) and corresponding selected area electron diffraction (SAED) patterns demonstrated that the ZTO nanowire is hexagonal single-crystalline. The study of ultraviolet/visible/near-infrared (UV/Vis/NIR) absorption showed that the ZTO nanowire is a wide-band semiconductor with a band gap energy of 3.7 eV.

  16. Synthesis and characterization of single-crystalline zinc tin oxide nanowires.

    PubMed

    Shi, Jen-Bin; Wu, Po-Feng; Lin, Hsien-Sheng; Lin, Ya-Ting; Lee, Hsuan-Wei; Kao, Chia-Tze; Liao, Wei-Hsiang; Young, San-Lin

    2014-01-01

    Crystalline zinc tin oxide (ZTO; zinc oxide with heavy tin doping of 33 at.%) nanowires were first synthesized using the electrodeposition and heat treatment method based on an anodic aluminum oxide (AAO) membrane, which has an average diameter of about 60 nm. According to the field emission scanning electron microscopy (FE-SEM) results, the synthesized ZTO nanowires are highly ordered and have high wire packing densities. The length of ZTO nanowires is about 4 μm, and the aspect ratio is around 67. ZTO nanowires with a Zn/(Zn + Sn) atomic ratio of 0.67 (approximately 2/3) were observed from an energy dispersive spectrometer (EDS). X-ray diffraction (XRD) and corresponding selected area electron diffraction (SAED) patterns demonstrated that the ZTO nanowire is hexagonal single-crystalline. The study of ultraviolet/visible/near-infrared (UV/Vis/NIR) absorption showed that the ZTO nanowire is a wide-band semiconductor with a band gap energy of 3.7 eV.

  17. Integration of Metal Oxide Nanowires in Flexible Gas Sensing Devices

    PubMed Central

    Comini, Elisabetta

    2013-01-01

    Metal oxide nanowires are very promising active materials for different applications, especially in the field of gas sensors. Advances in fabrication technologies now allow the preparation of nanowires on flexible substrates, expanding the potential market of the resulting sensors. The critical steps for the large-scale preparation of reliable sensing devices are the elimination of high temperatures processes and the stretchability of the entire final device, including the active material. Direct growth on flexible substrates and post-growth procedures have been successfully used for the preparation of gas sensors. The paper will summarize the procedures used for the preparation of flexible and wearable gas sensors prototypes with an overlook of the challenges and the future perspectives concerning this field. PMID:23955436

  18. Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires.

    PubMed

    Yan, Jie-Yun

    2018-06-13

    Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires is studied. Based on the excitonic theory, the numerical method to calculate the photoconductivity spectrum in the nanowires is developed, which can simulate optical pump terahertz-probe spectroscopy measurements on real nanowires and thereby calculate the typical photoconductivity spectrum. With the help of the energetic structure deduced from the calculated linear absorption spectrum, the numerically observed shift of the resonant peak in the photoconductivity spectrum is found to result from the dominant exciton transition between excited or continuum states to the ground state, and the quantitative analysis is in good agreement with the quantum plasmon model. Besides, the dependence of the photoconductivity on the polarization of the terahertz field is also discussed. The numerical method and supporting theoretical analysis provide a new tool for experimentalists to understand the terahertz photoconductivity in intrinsic semiconductor nanowires at low temperatures or for nanowires subjected to below bandgap photoexcitation, where excitonic effects dominate.

  19. Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Yan, Jie-Yun

    2018-06-01

    Excitonic terahertz photoconductivity in intrinsic semiconductor nanowires is studied. Based on the excitonic theory, the numerical method to calculate the photoconductivity spectrum in the nanowires is developed, which can simulate optical pump terahertz-probe spectroscopy measurements on real nanowires and thereby calculate the typical photoconductivity spectrum. With the help of the energetic structure deduced from the calculated linear absorption spectrum, the numerically observed shift of the resonant peak in the photoconductivity spectrum is found to result from the dominant exciton transition between excited or continuum states to the ground state, and the quantitative analysis is in good agreement with the quantum plasmon model. Besides, the dependence of the photoconductivity on the polarization of the terahertz field is also discussed. The numerical method and supporting theoretical analysis provide a new tool for experimentalists to understand the terahertz photoconductivity in intrinsic semiconductor nanowires at low temperatures or for nanowires subjected to below bandgap photoexcitation, where excitonic effects dominate.

  20. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide–Semiconductor Image Sensors

    PubMed Central

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-01-01

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components. PMID:28468324

  1. Atomic-Resolution Spectrum Imaging of Semiconductor Nanowires.

    PubMed

    Zamani, Reza R; Hage, Fredrik S; Lehmann, Sebastian; Ramasse, Quentin M; Dick, Kimberly A

    2018-03-14

    Over the past decade, III-V heterostructure nanowires have attracted a surge of attention for their application in novel semiconductor devices such as tunneling field-effect transistors (TFETs). The functionality of such devices critically depends on the specific atomic arrangement at the semiconductor heterointerfaces. However, most of the currently available characterization techniques lack sufficient spatial resolution to provide local information on the atomic structure and composition of these interfaces. Atomic-resolution spectrum imaging by means of electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope (STEM) is a powerful technique with the potential to resolve structure and chemical composition with sub-angstrom spatial resolution and to provide localized information about the physical properties of the material at the atomic scale. Here, we demonstrate the use of atomic-resolution EELS to understand the interface atomic arrangement in three-dimensional heterostructures in semiconductor nanowires. We observed that the radial interfaces of GaSb-InAs heterostructure nanowires are atomically abrupt, while the axial interface in contrast consists of an interfacial region where intermixing of the two compounds occurs over an extended spatial region. The local atomic configuration affects the band alignment at the interface and, hence, the charge transport properties of devices such as GaSb-InAs nanowire TFETs. STEM-EELS thus represents a very promising technique for understanding nanowire physical properties, such as differing electrical behavior across the radial and axial heterointerfaces of GaSb-InAs nanowires for TFET applications.

  2. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  3. Real-time, multiplexed electrochemical DNA detection using an active complementary metal-oxide-semiconductor biosensor array with integrated sensor electronics.

    PubMed

    Levine, Peter M; Gong, Ping; Levicky, Rastislav; Shepard, Kenneth L

    2009-03-15

    Optical biosensing based on fluorescence detection has arguably become the standard technique for quantifying extents of hybridization between surface-immobilized probes and fluorophore-labeled analyte targets in DNA microarrays. However, electrochemical detection techniques are emerging which could eliminate the need for physically bulky optical instrumentation, enabling the design of portable devices for point-of-care applications. Unlike fluorescence detection, which can function well using a passive substrate (one without integrated electronics), multiplexed electrochemical detection requires an electronically active substrate to analyze each array site and benefits from the addition of integrated electronic instrumentation to further reduce platform size and eliminate the electromagnetic interference that can result from bringing non-amplified signals off chip. We report on an active electrochemical biosensor array, constructed with a standard complementary metal-oxide-semiconductor (CMOS) technology, to perform quantitative DNA hybridization detection on chip using targets conjugated with ferrocene redox labels. A 4 x 4 array of gold working electrodes and integrated potentiostat electronics, consisting of control amplifiers and current-input analog-to-digital converters, on a custom-designed 5 mm x 3 mm CMOS chip drive redox reactions using cyclic voltammetry, sense DNA binding, and transmit digital data off chip for analysis. We demonstrate multiplexed and specific detection of DNA targets as well as real-time monitoring of hybridization, a task that is difficult, if not impossible, with traditional fluorescence-based microarrays.

  4. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  5. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  6. 1-D Metal Nanobead Arrays within Encapsulated Nanowires via a Red-Ox-Induced Dewetting: Mechanism Study by Atom-Probe Tomography.

    PubMed

    Sun, Zhiyuan; Tzaguy, Avra; Hazut, Ori; Lauhon, Lincoln J; Yerushalmi, Roie; Seidman, David N

    2017-12-13

    Metal nanoparticle arrays are excellent candidates for a variety of applications due to the versatility of their morphology and structure at the nanoscale. Bottom-up self-assembly of metal nanoparticles provides an important complementary alternative to the traditional top-down lithography method and makes it possible to assemble structures with higher-order complexity, for example, nanospheres, nanocubes, and core-shell nanostructures. Here we present a mechanism study of the self-assembly process of 1-D noble metal nanoparticles arrays, composed of Au, Ag, and AuAg alloy nanoparticles. These are prepared within an encapsulated germanium nanowire, obtained by the oxidation of a metal-germanium nanowire hybrid structure. The resulting structure is a 1-D array of equidistant metal nanoparticles with the same diameter, the so-called nanobead (NB) array structure. Atom-probe tomography and transmission electron microscopy were utilized to investigate the details of the morphological and chemical evolution during the oxidation of the encapsulated metal-germanium nanowire hybrid-structures. The self-assembly of nanoparticles relies on the formation of a metal-germanium liquid alloy and the migration of the liquid alloy into the nanowire, followed by dewetting of the liquid during shape-confined oxidation where the liquid column breaks-up into nanoparticles due to the Plateau-Rayleigh instability. Our results demonstrate that the encapsulating oxide layer serves as a structural scaffold, retaining the overall shape during the eutectic liquid formation and demonstrates the relationship between the oxide mechanical properties and the final structural characteristics of the 1-D arrays. The mechanistic details revealed here provide a versatile tool-box for the bottom-up fabrication of 1-D arrays nanopatterning that can be modified for multiple applications according to the RedOx properties of the material system components.

  7. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  8. Video approach to chemiluminescence detection using a low-cost complementary metal oxide semiconductor (CMOS)-based camera: determination of paracetamol in pharmaceutical formulations.

    PubMed

    Lahuerta-Zamora, Luis; Mellado-Romero, Ana M

    2017-06-01

    A new system for continuous flow chemiluminescence detection, based on the use of a simple and low-priced lens-free digital camera (with complementary metal oxide semiconductor technology) as a detector, is proposed for the quantitative determination of paracetamol in commercial pharmaceutical formulations. Through the camera software, AVI video files of the chemiluminescence emission are captured and then, using friendly ImageJ public domain software (from National Institutes for Health), properly processed in order to extract the analytical information. The calibration graph was found to be linear over the range 0.01-0.10 mg L -1 and over the range 1.0-100.0 mg L -1 of paracetamol, the limit of detection being 10 μg L -1 . No significative interferences were found. Paracetamol was determined in three different pharmaceutical formulations: Termalgin®, Efferalgan® and Gelocatil®. The obtained results compared well with those declared on the formulation label and with those obtained through the official analytical method of British Pharmacopoeia. Graphical abstract Abbreviated scheme of the new chemiluminescence detection system proposed in this paper.

  9. Design and evaluation of basic standard encryption algorithm modules using nanosized complementary metal oxide semiconductor molecular circuits

    NASA Astrophysics Data System (ADS)

    Masoumi, Massoud; Raissi, Farshid; Ahmadian, Mahmoud; Keshavarzi, Parviz

    2006-01-01

    We are proposing that the recently proposed semiconductor-nanowire-molecular architecture (CMOL) is an optimum platform to realize encryption algorithms. The basic modules for the advanced encryption standard algorithm (Rijndael) have been designed using CMOL architecture. The performance of this design has been evaluated with respect to chip area and speed. It is observed that CMOL provides considerable improvement over implementation with regular CMOS architecture even with a 20% defect rate. Pseudo-optimum gate placement and routing are provided for Rijndael building blocks and the possibility of designing high speed, attack tolerant and long key encryptions are discussed.

  10. Interactions between semiconductor nanowires and living cells.

    PubMed

    Prinz, Christelle N

    2015-06-17

    Semiconductor nanowires are increasingly used for biological applications and their small dimensions make them a promising tool for sensing and manipulating cells with minimal perturbation. In order to interface cells with nanowires in a controlled fashion, it is essential to understand the interactions between nanowires and living cells. The present paper reviews current progress in the understanding of these interactions, with knowledge gathered from studies where living cells were interfaced with vertical nanowire arrays. The effect of nanowires on cells is reported in terms of viability, cell-nanowire interface morphology, cell behavior, changes in gene expression as well as cellular stress markers. Unexplored issues and unanswered questions are discussed.

  11. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    NASA Astrophysics Data System (ADS)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  12. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nam, Chang-Yong; Stein, Aaron

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  13. Extreme Carrier Depletion and Superlinear Photoconductivity in Ultrathin Parallel-Aligned ZnO Nanowire Array Photodetectors Fabricated by Infiltration Synthesis

    DOE PAGES

    Nam, Chang-Yong; Stein, Aaron

    2017-11-15

    Ultrathin semiconductor nanowires enable high-performance chemical sensors and photodetectors, but their synthesis and device integration by standard complementary metal-oxide-semiconductor (CMOS)-compatible processes remain persistent challenges. This work demonstrates fully CMOS-compatible synthesis and integration of parallel-aligned polycrystalline ZnO nanowire arrays into ultraviolet photodetectors via infiltration synthesis, material hybridization technique derived from atomic layer deposition. The nanowire photodetector features unique, high device performances originating from extreme charge carrier depletion, achieving photoconductive on–off ratios of >6 decades, blindness to visible light, and ultralow dark currents as low as 1 fA, the lowest reported for nanostructure-based photoconductive photodetectors. Surprisingly, the low dark current is invariantmore » with increasing number of nanowires and the photodetector shows unusual superlinear photoconductivity, observed for the first time in nanowires, leading to increasing detector responsivity and other parameters for higher incident light powers. Temperature-dependent carrier concentration and mobility reveal the photoelectrochemical-thermionic emission process at grain boundaries, responsible for the observed unique photodetector performances and superlinear photoconductivity. Here, the results elucidate fundamental processes responsible for photogain in polycrystalline nanostructures, providing useful guidelines for developing nanostructure-based detectors and sensors. Lastly, the developed fully CMOS-compatible nanowire synthesis and device fabrication methods also have potentials for scalable integration of nanowire sensor devices and circuitries.« less

  14. Geometrical and band-structure effects on phonon-limited hole mobility in rectangular cross-sectional germanium nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanaka, H., E-mail: tanaka@semicon.kuee.kyoto-u.ac.jp; Mori, S.; Morioka, N.

    2014-12-21

    We calculated the phonon-limited hole mobility in rectangular cross-sectional [001], [110], [111], and [112]-oriented germanium nanowires, and the hole transport characteristics were investigated. A tight-binding approximation was used for holes, and phonons were described by a valence force field model. Then, scattering probability of holes by phonons was calculated taking account of hole-phonon interaction atomistically, and the linearized Boltzmann's transport equation was solved to calculate the hole mobility at low longitudinal field. The dependence of the hole mobility on nanowire geometry was analyzed in terms of the valence band structure of germanium nanowires, and it was found that the dependencemore » was qualitatively reproduced by considering an average effective mass and the density of states of holes. The calculation revealed that [110] germanium nanowires with large height along the [001] direction show high hole mobility. Germanium nanowires with this geometry are also expected to exhibit high electron mobility in our previous work, and thus they are promising for complementary metal-oxide-semiconductor (CMOS) applications.« less

  15. 2 Gbit/s 0.5 μm complementary metal-oxide semiconductor optical transceiver with event-driven dynamic power-on capability

    NASA Astrophysics Data System (ADS)

    Wang, Xingle; Kiamilev, Fouad; Gui, Ping; Wang, Xiaoqing; Ekman, Jeremy; Zuo, Yongrong; Blankenberg, Jason; Haney, Michael

    2006-06-01

    A 2 Gb/s0.5 μm complementary metal-oxide semiconductor optical transceiver designed for board- or backplane level power-efficient interconnections is presented. The transceiver supports optical wake-on-link (OWL), an event-driven dynamic power-on technique. Depending on external events, the transceiver resides in either the active mode or the sleep mode and switches accordingly. The active-to-sleep transition shuts off the normal, gigabit link and turns on dedicated circuits to establish a low-power (~1.8 mW), low data rate (less than 100 Mbits/s) link. In contrast the normal, gigabit link consumes over 100 mW. Similarly the sleep-to-active transition shuts off the low-power link and turns on the normal, gigabit link. The low-power link, sharing the same optical channel with the normal, gigabit link, is used to achieve transmitter/receiver pair power-on synchronization and greatly reduces the power consumption of the transceiver. A free-space optical platform was built to evaluate the transceiver performance. The experiment successfully demonstrated the event-driven dynamic power-on operation. To our knowledge, this is the first time a dynamic power-on scheme has been implemented for optical interconnects. The areas of the circuits that implement the low-power link are approximately one-tenth of the areas of the gigabit link circuits.

  16. Hierarchical Assembly of Multifunctional Oxide-based Composite Nanostructures for Energy and Environmental Applications

    PubMed Central

    Gao, Pu-Xian; Shimpi, Paresh; Gao, Haiyong; Liu, Caihong; Guo, Yanbing; Cai, Wenjie; Liao, Kuo-Ting; Wrobel, Gregory; Zhang, Zhonghua; Ren, Zheng; Lin, Hui-Jan

    2012-01-01

    Composite nanoarchitectures represent a class of nanostructured entities that integrates various dissimilar nanoscale building blocks including nanoparticles, nanowires, and nanofilms toward realizing multifunctional characteristics. A broad array of composite nanoarchitectures can be designed and fabricated, involving generic materials such as metal, ceramics, and polymers in nanoscale form. In this review, we will highlight the latest progress on composite nanostructures in our research group, particularly on various metal oxides including binary semiconductors, ABO3-type perovskites, A2BO4 spinels and quaternary dielectric hydroxyl metal oxides (AB(OH)6) with diverse application potential. Through a generic template strategy in conjunction with various synthetic approaches— such as hydrothermal decomposition, colloidal deposition, physical sputtering, thermal decomposition and thermal oxidation, semiconductor oxide alloy nanowires, metal oxide/perovskite (spinel) composite nanowires, stannate based nanocompostes, as well as semiconductor heterojunction—arrays and networks have been self-assembled in large scale and are being developed as promising classes of composite nanoarchitectures, which may open a new array of advanced nanotechnologies in solid state lighting, solar absorption, photocatalysis and battery, auto-emission control, and chemical sensing. PMID:22837702

  17. Heterojunction metal-oxide-metal Au-Fe{sub 3}O{sub 4}-Au single nanowire device for spintronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reddy, K. M., E-mail: mrkongara@boisestate.edu; Punnoose, Alex; Hanna, Charles

    2015-05-07

    In this report, we present the synthesis of heterojunction magnetite nanowires in alumina template and describe magnetic and electrical properties from a single nanowire device for spintronics applications. Heterojunction Au-Fe-Au nanowire arrays were electrodeposited in porous aluminum oxide templates, and an extensive and controlled heat treatment process converted Fe segment to nanocrystalline cubic magnetite phase with well-defined Au-Fe{sub 3}O{sub 4} interfaces as confirmed by the transmission electron microscopy. Magnetic measurements revealed Verwey transition shoulder around 120 K and a room temperature coercive field of 90 Oe. Current–voltage (I-V) characteristics of a single Au-Fe{sub 3}O{sub 4}-Au nanowire have exhibited Ohmic behavior. Anomalous positivemore » magnetoresistance of about 0.5% is observed on a single nanowire, which is attributed to the high spin polarization in nanowire device with pure Fe{sub 3}O{sub 4} phase and nanocontact barrier. This work demonstrates the ability to preserve the pristine Fe{sub 3}O{sub 4} and well defined electrode contact metal (Au)–magnetite interface, which helps in attaining high spin polarized current.« less

  18. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    PubMed

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  19. High-quality metal oxide core/shell nanowire arrays on conductive substrates for electrochemical energy storage.

    PubMed

    Xia, Xinhui; Tu, Jiangping; Zhang, Yongqi; Wang, Xiuli; Gu, Changdong; Zhao, Xin-Bing; Fan, Hong Jin

    2012-06-26

    The high performance of a pseudocapacitor electrode relies largely on a scrupulous design of nanoarchitectures and smart hybridization of bespoke active materials. We present a powerful two-step solution-based method for the fabrication of transition metal oxide core/shell nanostructure arrays on various conductive substrates. Demonstrated examples include Co(3)O(4) or ZnO nanowire core and NiO nanoflake shells with a hierarchical and porous morphology. The "oriented attachment" and "self-assembly" crystal growth mechanisms are proposed to explain the formation of the NiO nanoflake shell. Supercapacitor electrodes based on the Co(3)O(4)/NiO nanowire arrays on 3D macroporous nickel foam are thoroughly characterized. The electrodes exhibit a high specific capacitance of 853 F/g at 2 A/g after 6000 cycles and an excellent cycling stability, owing to the unique porous core/shell nanowire array architecture, and a rational combination of two electrochemically active materials. Our growth approach offers a new technique for the design and synthesis of transition metal oxide or hydroxide hierarchical nanoarrays that are promising for electrochemical energy storage, catalysis, and gas sensing applications.

  20. Electronic transport behavior of diameter-graded Ag nanowires

    NASA Astrophysics Data System (ADS)

    Wang, Xue Wei; Yuan, Zhi Hao

    2010-05-01

    Ag nanowires with a graded diameter in anodic aluminum oxide (AAO) membranes were fabricated by the direct-current electrodeposition. The Ag nanowires have a graded-change in diameter from 8 to 32 nm, which is matched with the graded-change of the AAO pore diameter. Electronic transport measurements show that there is a transport behavior similar to that of a metal-semiconductor junction along the axial direction in the diameter-graded Ag nanowires. Such a novel homogeneous nanojunction will be of great fundamental and practical significance.

  1. Technology of GaAs metal-oxide-semiconductor solar cells

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y. C. M.

    1977-01-01

    The growth of an oxide interfacial layer was recently found to increase the open-circuit voltage (OCV) and efficiency by up to 60 per cent in GaAs metal-semiconductor solar cells. Details of oxidation techniques to provide the necessary oxide thickness and chemical structure and using ozone, water-vapor-saturated oxygen, or oxygen gas discharges are described, as well as apparent crystallographic orientation effects. Preliminary results of the oxide chemistry obtained from X-ray, photoelectron spectroscopy are given. Ratios of arsenic oxide to gallium oxide of unity or less seem to be preferable. Samples with the highest OVC predominantly have As(+3) in the arsenic oxide rather than As(+5). A major difficulty at this time is a reduction in OCV by 100-200 mV when the antireflection coating is vacuum deposited.

  2. Long-Term Stability of Oxide Nanowire Sensors via Heavily Doped Oxide Contact.

    PubMed

    Zeng, Hao; Takahashi, Tsunaki; Kanai, Masaki; Zhang, Guozhu; He, Yong; Nagashima, Kazuki; Yanagida, Takeshi

    2017-12-22

    Long-term stability of a chemical sensor is an essential quality for long-term collection of data related to exhaled breath, environmental air, and other sources in the Internet of things (IoT) era. Although an oxide nanowire sensor has shown great potential as a chemical sensor, the long-term stability of sensitivity has not been realized yet due to electrical degradation under harsh sensing conditions. Here, we report a rational concept to accomplish long-term electrical stability of metal oxide nanowire sensors via introduction of a heavily doped metal oxide contact layer. Antimony-doped SnO 2 (ATO) contacts on SnO 2 nanowires show much more stable and lower electrical contact resistance than conventional Ti contacts for high temperature (200 °C) conditions, which are required to operate chemical sensors. The stable and low contact resistance of ATO was confirmed for at least 1960 h under 200 °C in open air. This heavily doped oxide contact enables us to realize the long-term stability of SnO 2 nanowire sensors while maintaining the sensitivity for both NO 2 gas and light (photo) detections. The applicability of our method is confirmed for sensors on a flexible polyethylene naphthalate (PEN) substrate. Since the proposed fundamental concept can be applied to various oxide nanostructures, it will give a foundation for designing long-term stable oxide nanomaterial-based IoT sensors.

  3. Organically Modified Silicas on Metal Nanowires

    PubMed Central

    2010-01-01

    Organically modified silica coatings were prepared on metal nanowires using a variety of silicon alkoxides with different functional groups (i.e., carboxyl groups, polyethylene oxide, cyano, dihydroimidazole, and hexyl linkers). Organically modified silicas were deposited onto the surface of 6-μm-long, ∼300-nm-wide, cylindrical metal nanowires in suspension by the hydrolysis and polycondensation of silicon alkoxides. Syntheses were performed at several ratios of tetraethoxysilane to an organically modified silicon alkoxide to incorporate desired functional groups into thin organosilica shells on the nanowires. These coatings were characterized using transmission electron microscopy, X-ray photoelectron spectroscopy, and infrared spectroscopy. All of the organically modified silicas prepared here were sufficiently porous to allow the removal of the metal nanowire cores by acid etching to form organically modified silica nanotubes. Additional functionality provided to the modified silicas as compared to unmodified silica prepared using only tetraethoxysilane precursors was demonstrated by chromate adsorption on imidazole-containing silicas and resistance to protein adsorption on polyethyleneoxide-containing silicas. Organically modified silica coatings on nanowires and other nano- and microparticles have potential application in fields such as biosensing or nanoscale therapeutics due to the enhanced properties of the silica coatings, for example, the prevention of biofouling. PMID:20715881

  4. Ionic Liquid Activation of Amorphous Metal-Oxide Semiconductors for Flexible Transparent Electronic Devices

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2016-02-09

    To begin this abstract, amorphous metal-oxide semiconductors offer the high carrier mobilities and excellent large-area uniformity required for high performance, transparent, flexible electronic devices; however, a critical bottleneck to their widespread implementation is the need to activate these materials at high temperatures which are not compatible with flexible polymer substrates. The highly controllable activation of amorphous indium gallium zinc oxide semiconductor channels using ionic liquid gating at room temperature is reported. Activation is controlled by electric field-induced oxygen migration across the ionic liquid-semiconductor interface. In addition to activation of unannealed devices, it is shown that threshold voltages of a transistormore » can be linearly tuned between the enhancement and depletion modes. Finally, the first ever example of transparent flexible thin film metal oxide transistor on a polyamide substrate created using this simple technique is demonstrated. Finally, this study demonstrates the potential of field-induced activation as a promising alternative to traditional postdeposition thermal annealing which opens the door to wide scale implementation into flexible electronic applications.« less

  5. Growth of vertically aligned nanowires in metal-oxide nanocomposites: kinetic Monte-Carlo modeling versus experiments.

    PubMed

    Hennes, M; Schuler, V; Weng, X; Buchwald, J; Demaille, D; Zheng, Y; Vidal, F

    2018-04-26

    We employ kinetic Monte-Carlo simulations to study the growth process of metal-oxide nanocomposites obtained via sequential pulsed laser deposition. Using Ni-SrTiO3 (Ni-STO) as a model system, we reduce the complexity of the computational problem by choosing a coarse-grained approach mapping Sr, Ti and O atoms onto a single effective STO pseudo-atom species. With this ansatz, we scrutinize the kinetics of the sequential synthesis process, governed by alternating deposition and relaxation steps, and analyze the self-organization propensity of Ni atoms into straight vertically aligned nanowires embedded in the surrounding STO matrix. We finally compare the predictions of our binary toy model with experiments and demonstrate that our computational approach captures fundamental aspects of self-assembled nanowire synthesis. Despite its simplicity, our modeling strategy successfully describes the impact of relevant parameters like the concentration or laser frequency on the final nanoarchitecture of metal-oxide thin films grown via pulsed laser deposition.

  6. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  7. Quantum Dot Sensitized Solar Cells Based on Ternary Metal Oxide Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Wenyong; Tang, Jinke; Dahnovsky, Yuri

    In Phase I of this project we investigate quantum dot sensitized solar cells (QDSSCs) based on ternary metal oxide nanowires and study the physical and chemical mechanisms that govern device operation. Our research has the following five objectives: (1) synthesis of ternary metal oxide nanowires, (2) synthesis of QDs and exploration of non-solution based QD deposition methods, (3) physical and electro-optical characterizations of fabricated solar devices, (4) device modeling and first-principle theoretical study of transport physics, and (5) investigation of long-term stability issues of QD sensitized solar cells. In Phase II of this project our first major research goal ismore » to investigate magnetically doped quantum dots and related spin polarization effect, which could improve light absorption and suppress electron relaxation in the QDs. We will utilize both physical and chemical methods to synthesize these doped QDs. We will also study magnetically modified nanowires and introduce spin-polarized transport into QDSSCs, and inspect its impact on forward electron injection and back electron transfer processes. Our second goal is to study novel solid-state electrolytes for QDSSCs. Specifically, we will inspect a new type of polymer electrolytes based on a modified polysulfide redox couple, and examine the effect of their electrical properties on QDSSC performance. These solid-state electrolytes could also be used as filler materials for in situ sample fracturing in STM and enable cross-sectional interface examination of QD/nanowire structures. Our third research goal is to examine the interfacial properties such as energy level alignment at QD/nanowire interfaces using the newly developed Cross-sectional Scanning Tunneling Microscopy and Spectroscopy technique for non-cleavable materials. This technique allows a direct probing of band structures and alignment at device interfaces, which could generate important insight into the mechanisms that govern QDSSC

  8. Design of Highly Selective Gas Sensors via Physicochemical Modification of Oxide Nanowires: Overview

    PubMed Central

    Woo, Hyung-Sik; Na, Chan Woong; Lee, Jong-Heun

    2016-01-01

    Strategies for the enhancement of gas sensing properties, and specifically the improvement of gas selectivity of metal oxide semiconductor nanowire (NW) networks grown by chemical vapor deposition and thermal evaporation, are reviewed. Highly crystalline NWs grown by vapor-phase routes have various advantages, and thus have been applied in the field of gas sensors over the years. In particular, n-type NWs such as SnO2, ZnO, and In2O3 are widely studied because of their simple synthetic preparation and high gas response. However, due to their usually high responses to C2H5OH and NO2, the selective detection of other harmful and toxic gases using oxide NWs remains a challenging issue. Various strategies—such as doping/loading of noble metals, decorating/doping of catalytic metal oxides, and the formation of core–shell structures—have been explored to enhance gas selectivity and sensitivity, and are discussed herein. Additional methods such as the transformation of n-type into p-type NWs and the formation of catalyst-doped hierarchical structures by branch growth have also proven to be promising for the enhancement of gas selectivity. Accordingly, the physicochemical modification of oxide NWs via various methods provides new strategies to achieve the selective detection of a specific gas, and after further investigations, this approach could pave a new way in the field of NW-based semiconductor-type gas sensors. PMID:27657076

  9. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  10. Co-percolation to tune conductive behaviour in dynamical metallic nanowire networks.

    PubMed

    Fairfield, J A; Rocha, C G; O'Callaghan, C; Ferreira, M S; Boland, J J

    2016-11-03

    Nanowire networks act as self-healing smart materials, whose sheet resistance can be tuned via an externally applied voltage stimulus. This memristive response occurs due to modification of junction resistances to form a connectivity path across the lowest barrier junctions in the network. While most network studies have been performed on expensive noble metal nanowires like silver, networks of inexpensive nickel nanowires with a nickel oxide coating can also demonstrate resistive switching, a common feature of metal oxides with filamentary conduction. However, networks made from solely nickel nanowires have high operation voltages which prohibit large-scale material applications. Here we show, using both experiment and simulation, that a heterogeneous network of nickel and silver nanowires allows optimization of the activation voltage, as well as tuning of the conduction behavior to be either resistive switching, memristive, or a combination of both. Small percentages of silver nanowires, below the percolation threshold, induce these changes in electrical behaviour, even for low area coverage and hence very transparent films. Silver nanowires act as current concentrators, amplifying conductivity locally as shown in our computational dynamical activation framework for networks of junctions. These results demonstrate that a heterogeneous nanowire network can act as a cost-effective adaptive material with minimal use of noble metal nanowires, without losing memristive behaviour that is essential for smart sensing and neuromorphic applications.

  11. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    PubMed

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  12. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  13. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  14. Hard template synthesis of metal nanowires

    NASA Astrophysics Data System (ADS)

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-11-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  15. Hard template synthesis of metal nanowires.

    PubMed

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed.

  16. Tailoring light-matter coupling in semiconductor and hybrid-plasmonic nanowires

    PubMed Central

    Piccione, Brian; Aspetti, Carlos O.; Cho, Chang-Hee; Agarwal, Ritesh

    2014-01-01

    Understanding interactions between light and matter is central to many fields, providing invaluable insights into the nature of matter. In its own right, a greater understanding of light-matter coupling has allowed for the creation of tailored applications, resulting in a variety of devices such as lasers, switches, sensors, modulators, and detectors. Reduction of optical mode volume is crucial to enhancing light-matter coupling strength, and among solid-state systems, self-assembled semiconductor and hybrid-plasmonic nanowires are amenable to creation of highly-confined optical modes. Following development of unique spectroscopic techniques designed for the nanowire morphology, carefully engineered semiconductor nanowire cavities have recently been tailored to enhance light-matter coupling strength in a manner previously seen in optical microcavities. Much smaller mode volumes in tailored hybrid-plasmonic nanowires have recently allowed for similar breakthroughs, resulting in sub-picosecond excited-state lifetimes and exceptionally high radiative rate enhancement. Here, we review literature on light-matter interactions in semiconductor and hybrid-plasmonic monolithic nanowire optical cavities to highlight recent progress made in tailoring light-matter coupling strengths. Beginning with a discussion of relevant concepts from optical physics, we will discuss how our knowledge of light-matter coupling has evolved with our ability to produce ever-shrinking optical mode volumes, shifting focus from bulk materials to optical microcavities, before moving on to recent results obtained from semiconducting nanowires. PMID:25093385

  17. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  18. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G; Evans, Paul G; Ritz, Clark S

    2013-09-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  19. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G.; Evans, Paul G.; Ritz, Clark S.

    2015-11-17

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic compositional longitudinal modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  20. Metal Oxide Nanowire Preparation and Their Integration into Chemical Sensing Devices at the SENSOR Lab in Brescia

    PubMed Central

    Bertuna, Angela; Faglia, Guido; Ferroni, Matteo; Kaur, Navpreet; Munasinghe Arachchige, Hashitha M. M.; Sberveglieri, Giorgio; Comini, Elisabetta

    2017-01-01

    Metal oxide 1D nanowires are probably the most promising structures to develop cheap stable and selective chemical sensors. The purpose of this contribution is to review almost two-decades of research activity at the Sensor Lab Brescia on their preparation during by vapor solid (n-type In2O3, ZnO), vapor liquid solid (n-type SnO2 and p-type NiO) and thermal evaporation and oxidation (n-type ZnO, WO3 and p-type CuO) methods. For each material we’ve assessed the chemical sensing performance in relation to the preparation conditions and established a rank in the detection of environmental and industrial pollutants: SnO2 nanowires were effective in DMMP detection, ZnO nanowires in NO2, acetone and ethanol detection, WO3 for ammonia and CuO for ozone. PMID:28468310

  1. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  2. Origin of noise in liquid-gated Si nanowire troponin biosensors.

    PubMed

    Kutovyi, Y; Zadorozhnyi, I; Hlukhova, H; Handziuk, V; Petrychuk, M; Ivanchuk, Andriy; Vitusevich, S

    2018-04-27

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  3. Origin of noise in liquid-gated Si nanowire troponin biosensors

    NASA Astrophysics Data System (ADS)

    Kutovyi, Y.; Zadorozhnyi, I.; Hlukhova, H.; Handziuk, V.; Petrychuk, M.; Ivanchuk, Andriy; Vitusevich, S.

    2018-04-01

    Liquid-gated Si nanowire field-effect transistor (FET) biosensors are fabricated using a complementary metal-oxide-semiconductor-compatible top-down approach. The transport and noise properties of the devices reflect the high performance of the FET structures, which allows label-free detection of cardiac troponin I (cTnI) molecules. Moreover, after removing the troponin antigens the structures demonstrate the same characteristics as before cTnI detection, indicating the reusable operation of biosensors. Our results show that the additional noise is related to the troponin molecules and has characteristics which considerably differ from those usually recorded for conventional FETs without target molecules. We describe the origin of the noise and suggest that noise spectroscopy represents a powerful tool for understanding molecular dynamic processes in nanoscale FET-based biosensors.

  4. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  5. Role of point defects and HfO2/TiN interface stoichiometry on effective work function modulation in ultra-scaled complementary metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Pandey, R. K.; Sathiyanarayanan, Rajesh; Kwon, Unoh; Narayanan, Vijay; Murali, K. V. R. M.

    2013-07-01

    We investigate the physical properties of a portion of the gate stack of an ultra-scaled complementary metal-oxide-semiconductor (CMOS) device. The effects of point defects, such as oxygen vacancy, oxygen, and aluminum interstitials at the HfO2/TiN interface, on the effective work function of TiN are explored using density functional theory. We compute the diffusion barriers of such point defects in the bulk TiN and across the HfO2/TiN interface. Diffusion of these point defects across the HfO2/TiN interface occurs during the device integration process. This results in variation of the effective work function and hence in the threshold voltage variation in the devices. Further, we simulate the effects of varying the HfO2/TiN interface stoichiometry on the effective work function modulation in these extremely-scaled CMOS devices. Our results show that the interface rich in nitrogen gives higher effective work function, whereas the interface rich in titanium gives lower effective work function, compared to a stoichiometric HfO2/TiN interface. This theoretical prediction is confirmed by the experiment, demonstrating over 700 meV modulation in the effective work function.

  6. Hard template synthesis of metal nanowires

    PubMed Central

    Kawamura, Go; Muto, Hiroyuki; Matsuda, Atsunori

    2014-01-01

    Metal nanowires (NWs) have attracted much attention because of their high electron conductivity, optical transmittance, and tunable magnetic properties. Metal NWs have been synthesized using soft templates such as surface stabilizing molecules and polymers, and hard templates such as anodic aluminum oxide, mesoporous oxide, carbon nanotubes. NWs prepared from hard templates are composites of metals and the oxide/carbon matrix. Thus, selecting appropriate elements can simplify the production of composite devices. The resulting NWs are immobilized and spatially arranged, as dictated by the ordered porous structure of the template. This avoids the NWs from aggregating, which is common for NWs prepared with soft templates in solution. Herein, the hard template synthesis of metal NWs is reviewed, and the resulting structures, properties and potential applications are discussed. PMID:25453031

  7. UV-Assisted Alcohol Sensors using Gallium Nitride Nanowires Functionalized with Zinc Oxide and Tin Dioxide Nanoparticles

    NASA Astrophysics Data System (ADS)

    Bajpai, Ritu

    The motivation behind this work has been to address two of the most challenging issues posed to semiconductor gas sensors--- tuning the device selectivity and sensitivity to a wide variety of gases. In a chemiresistor type nanowire sensor, the sensitivity and selectivity depend on the interaction of different chemical analytes with the nanowire surface. Constrained by the surface properties of the nanowire material, most nanowire sensors can detect only specific type of analytes. In order to make a nano-sensor array for a wide range of analytes, there is a need to tune the device sensitivity and selectivity towards different chemicals. Employing the inherent advantages of nanostructure based sensing such as large surface area, miniature size, low power consumption, and nmol/mol (ppb) sensitivity, an attempt has been made to propose a device with tunable selectivity and sensitivity. The idea proposed in this work is to functionalize GaN nanowires which have relatively inactive surface properties (i.e., with no chemiresistive sensitivity to different classes of organic vapors), with analyte dependent active metal oxides. The selectivity of the sensor devices is controlled independent of the surface properties of the nanowire itself. It is the surface properties of the functionalizing metal oxides which determine the selectivity of these sensors. Further facilitated by the proposed fabrication technique, these sensors can be easily tuned to detect different gases. The prototype developed in this work is that of a UV assisted alcohol sensor using GaN nanowires functionalized with ZnO and SnO2 nanoparticles. As opposed to the widely demonstrated metal oxide based sensors assisted by elevated temperature, the operation of photoconductive semiconductor sensor devices such as those fabricated in this work, can also be assisted by UV illumination at room temperature. Temperature assisted sensing requires an integrated on-chip heater, which could impose constraints on the

  8. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  9. Ferroelectric switching of poly(vinylidene difluoride-trifluoroethylene) in metal-ferroelectric-semiconductor non-volatile memories with an amorphous oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Gelinck, G. H.; van Breemen, A. J. J. M.; Cobb, B.

    2015-03-01

    Ferroelectric polarization switching of poly(vinylidene difluoride-trifluoroethylene) is investigated in different thin-film device structures, ranging from simple capacitors to dual-gate thin-film transistors (TFT). Indium gallium zinc oxide, a high mobility amorphous oxide material, is used as semiconductor. We find that the ferroelectric can be polarized in both directions in the metal-ferroelectric-semiconductor (MFS) structure and in the dual-gate TFT under certain biasing conditions, but not in the single-gate thin-film transistors. These results disprove the common belief that MFS structures serve as a good model system for ferroelectric polarization switching in thin-film transistors.

  10. Real time in vivo imaging and measurement of serine protease activity in the mouse hippocampus using a dedicated complementary metal-oxide semiconductor imaging device.

    PubMed

    Ng, David C; Tamura, Hideki; Tokuda, Takashi; Yamamoto, Akio; Matsuo, Masamichi; Nunoshita, Masahiro; Ishikawa, Yasuyuki; Shiosaka, Sadao; Ohta, Jun

    2006-09-30

    The aim of the present study is to demonstrate the application of complementary metal-oxide semiconductor (CMOS) imaging technology for studying the mouse brain. By using a dedicated CMOS image sensor, we have successfully imaged and measured brain serine protease activity in vivo, in real-time, and for an extended period of time. We have developed a biofluorescence imaging device by packaging the CMOS image sensor which enabled on-chip imaging configuration. In this configuration, no optics are required whereby an excitation filter is applied onto the sensor to replace the filter cube block found in conventional fluorescence microscopes. The fully packaged device measures 350 microm thick x 2.7 mm wide, consists of an array of 176 x 144 pixels, and is small enough for measurement inside a single hemisphere of the mouse brain, while still providing sufficient imaging resolution. In the experiment, intraperitoneally injected kainic acid induced upregulation of serine protease activity in the brain. These events were captured in real time by imaging and measuring the fluorescence from a fluorogenic substrate that detected this activity. The entire device, which weighs less than 1% of the body weight of the mouse, holds promise for studying freely moving animals.

  11. Metal-oxide-semiconductor devices using Ga2O3 dielectrics on n-type GaN

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Ting; Chen, Hong-Wei; Lee, Hsin-Ying

    2003-06-01

    Using a photoelectrochemical method involving a He-Cd laser, Ga2O3 oxide layers were directly grown on n-type GaN. We demonstrated the performance of the resultant metal-oxide-semiconductor devices based on the grown Ga2O3 layer. An extremely low reverse leakage current of 200 pA was achieved when devices operated at -20 V. Furthermore, high forward and reverse breakdown electric fields of 2.80 MV/cm and 5.70 MV/cm, respectively, were obtained. Using a photoassisted current-voltage method, a low interface state density of 2.53×1011 cm-2 eV-1 was estimated. The varactor devices permit formation of inversion layers, so that they may be applied for the fabrication of metal-oxide-semiconductor field-effect transistors.

  12. Ultralow-power non-volatile memory cells based on P(VDF-TrFE) ferroelectric-gate CMOS silicon nanowire channel field-effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2015-07-21

    Nanowire-based ferroelectric-complementary metal-oxide-semiconductor (NW FeCMOS) nonvolatile memory devices were successfully fabricated by utilizing single n- and p-type Si nanowire ferroelectric-gate field effect transistors (NW FeFETs) as individual memory cells. In addition to having the advantages of single channel n- and p-type Si NW FeFET memory, Si NW FeCMOS memory devices exhibit a direct readout voltage and ultralow power consumption. The reading state power consumption of this device is less than 0.1 pW, which is more than 10(5) times lower than the ON-state power consumption of single-channel ferroelectric memory. This result implies that Si NW FeCMOS memory devices are well suited for use in non-volatile memory chips in modern portable electronic devices, especially where low power consumption is critical for energy conservation and long-term use.

  13. Byproduct-free mass production of compound semiconductor nanowires: zinc phosphide

    NASA Astrophysics Data System (ADS)

    Chen, Yixi; Polinnaya, Rakesh; Vaddiraju, Sreeram

    2018-05-01

    A method for the mass production of compound semiconductor nanowires that involves the direct reaction of component elements in a chemical vapor deposition chamber (CVD) is presented. This method results in nanowires, without the associated production of any other byproducts such as nanoparticles or three-dimensional (3D) bulk crystals. Furthermore, no unreacted reactants remain mixed with the nanowire product in this method. This byproduct-free nanowire production thus circumvents the need to tediously purify and collect nanowires from a mixture of products/reactants after their synthesis. Demonstration made using zinc phosphide (Zn3P2) material system as an example indicated that the direct reaction of zinc microparticles with phosphorus supplied via the vapor phase results in the production of gram quantities of nanowires. To enhance thermal transport and achieve the complete reaction of zinc microparticles, while simultaneously ensuring that the microparticles do not agglomerate into macroscale zinc particles and partly remain unreacted (owing to diffusion limitations), pellets composed of mixtures of zinc and a sacrificial salt, NH4Cl, were employed as the starting material. The sublimation by decomposition of NH4Cl in the early stages of the reaction leaves a highly porous pellet of zinc composed of only zinc microparticles, which allows for inward diffusion of phosphorus/outward diffusion of zinc and the complete conversion of zinc into Zn3P2 nanowires. NH4Cl also aids in removal of any native oxide layer present on the zinc microparticles that may prevent their reaction with phosphorus. This method may be used to mass produce many other nanowires in a byproduct-free manner, besides Zn3P2.

  14. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes duringmore » consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.« less

  15. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    DOEpatents

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  16. Thermal stability and reduction of iron oxide nanowires at moderate temperatures.

    PubMed

    Paolone, Annalisa; Angelucci, Marco; Panero, Stefania; Betti, Maria Grazia; Mariani, Carlo

    2014-01-01

    The thermal stability of iron oxide nanowires, which were obtained with a hard template method and are promising elements of Li-ion based batteries, has been investigated by means of thermogravimetry, infrared and photoemission spectroscopy measurements. The chemical state of the nanowires is typical of the Fe2O3 phase and the stoichiometry changes towards a Fe3O4 phase by annealing above 440 K. The shape and morphology of the nanowires is not modified by moderate thermal treatment, as imaged by scanning electron microscopy. This complementary spectroscopy-microscopy study allows to assess the temperature limits of these Fe2O3 nanowires during operation, malfunctioning or abuse in advanced Li-ion based batteries.

  17. Metallic nanowire networks

    DOEpatents

    Song, Yujiang; Shelnutt, John A.

    2012-11-06

    A metallic nanowire network synthesized using chemical reduction of a metal ion source by a reducing agent in the presence of a soft template comprising a tubular inverse micellar network. The network of interconnected polycrystalline nanowires has a very high surface-area/volume ratio, which makes it highly suitable for use in catalytic applications.

  18. Formation of tungsten oxide nanowires by ion irradiation and vacuum annealing

    NASA Astrophysics Data System (ADS)

    Zheng, Xu-Dong; Ren, Feng; Wu, Heng-Yi; Qin, Wen-Jing; Jiang, Chang-Zhong

    2018-04-01

    Here we reported the fabrication of tungsten oxide (WO3-x ) nanowires by Ar+ ion irradiation of WO3 thin films followed by annealing in vacuum. The nanowire length increases with increasing irradiation fluence and with decreasing ion energy. We propose that the stress-driven diffusion of the irradiation-induced W interstitial atoms is responsible for the formation of the nanowires. Comparing to the pristine film, the fabricated nanowire film shows a 106-fold enhancement in electrical conductivity, resulting from the high-density irradiation-induced vacancies on the oxygen sublattice. The nanostructure exhibits largely enhanced surface-enhanced Raman scattering effect due to the oxygen vacancy. Thus, ion irradiation provides a powerful approach for fabricating and tailoring the surface nanostructures of semiconductors.

  19. Methanol, ethanol and hydrogen sensing using metal oxide and metal (TiO(2)-Pt) composite nanoclusters on GaN nanowires: a new route towards tailoring the selectivity of nanowire/nanocluster chemical sensors.

    PubMed

    Aluri, Geetha S; Motayed, Abhishek; Davydov, Albert V; Oleshko, Vladimir P; Bertness, Kris A; Sanford, Norman A; Mulpuri, Rao V

    2012-05-04

    We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for

  20. Semiconductor nanowire thermoelectric materials and devices, and processes for producing same

    DOEpatents

    Lagally, Max G [Madison, WI; Evans, Paul G [Madison, WI; Ritz, Clark S [Middleton, WI

    2011-02-15

    The present invention provides nanowires and nanoribbons that are well suited for use in thermoelectric applications. The nanowires and nanoribbons are characterized by a periodic longitudinal modulation, which may be a compositional modulation or a strain-induced modulation. The nanowires are constructed using lithographic techniques from thin semiconductor membranes, or "nanomembranes."

  1. Template-Assisted Hydrothermal Growth of One-Dimensional Zinc Oxide Nanowires for Photocatalytic Application.

    PubMed

    Ma, Shuai-Shuai; Xu, Peng; Cai, Zhi-Lan; Li, Qing; Ye, Zhao-Lian; Zhou, Yu-Ming

    2018-07-01

    One-dimensional (1D) semiconductor ZnO nanowires have been successfully synthesized by a novel soft-chemical hydrothermal method with allylpolyethoxy amino carboxylate (AA-APEA) at low temperature. Their structure and properties have been characterized by a series of techniques, including X-ray diffraction (XRD), energy dispersive X-ray analysis (EDX) and transmission electron microscopy (TEM). It was found that ZnO nanowires with diameters around 50 nm and lengths up to about several micrometers are well-distributed. The photocatalytic activity toward degradation of methylene blue (MB) aqueous solution under ultraviolet (UV) was investigated and the results showed that the ZnO nanowires exhibit a markedly higher photoactivity compared to the ZnO nanoparticles which were obtained without AA-APEA polymer assistant, and it can be ascribed to the special 1D morphology of the ZnO nanowires. In particular, the rate of degradation of the ZnO nanowires was 11 times faster than that of ZnO nanoparticles. In addition, the ZnO nanowires could be easily recycled in UV photocatalytic activity. These observations could promote new applications of photocatalyst for wastewater treatment utilizing oxide semiconductor nanostructures.

  2. Preparation and characterization of flexible asymmetric supercapacitors based on transition-metal-oxide nanowire/single-walled carbon nanotube hybrid thin-film electrodes.

    PubMed

    Chen, Po-Chiang; Shen, Guozhen; Shi, Yi; Chen, Haitian; Zhou, Chongwu

    2010-08-24

    In the work described in this paper, we have successfully fabricated flexible asymmetric supercapacitors (ASCs) based on transition-metal-oxide nanowire/single-walled carbon nanotube (SWNT) hybrid thin-film electrodes. These hybrid nanostructured films, with advantages of mechanical flexibility, uniform layered structures, and mesoporous surface morphology, were produced by using a filtration method. Here, manganese dioxide nanowire/SWNT hybrid films worked as the positive electrode, and indium oxide nanowire/SWNT hybrid films served as the negative electrode in a designed ASC. In our design, charges can be stored not only via electrochemical double-layer capacitance from SWNT films but also through a reversible faradic process from transition-metal-oxide nanowires. In addition, to obtain stable electrochemical behavior during charging/discharging cycles in a 2 V potential window, the mass balance between two electrodes has been optimized. Our optimized hybrid nanostructured ASCs exhibited a superior device performance with specific capacitance of 184 F/g, energy density of 25.5 Wh/kg, and columbic efficiency of approximately 90%. In addition, our ASCs exhibited a power density of 50.3 kW/kg, which is 10-fold higher than obtained in early reported ASC work. The high-performance hybrid nanostructured ASCs can find applications in conformal electrics, portable electronics, and electrical vehicles.

  3. One-dimensional quantum matter: gold-induced nanowires on semiconductor surfaces

    NASA Astrophysics Data System (ADS)

    Dudy, L.; Aulbach, J.; Wagner, T.; Schäfer, J.; Claessen, R.

    2017-11-01

    Interacting electrons confined to only one spatial dimension display a wide range of unusual many-body quantum phenomena, ranging from Peierls instabilities to the breakdown of the canonical Fermi liquid paradigm to even unusual spin phenomena. The underlying physics is not only of tremendous fundamental interest, but may also have bearing on device functionality in future micro- and nanoelectronics with lateral extensions reaching the atomic limit. Metallic adatoms deposited on semiconductor surfaces may form self-assembled atomic nanowires, thus representing highly interesting and well-controlled solid-state realizations of such 1D quantum systems. Here we review experimental and theoretical investigations on a few selected prototypical nanowire surface systems, specifically Ge(0 0 1)-Au and Si(hhk)-Au, and the search for 1D quantum states in them. We summarize the current state of research and identify open questions and issues.

  4. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  5. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  6. Interfacial engineering of metal-insulator-semiconductor junctions for efficient and stable photoelectrochemical water oxidation

    PubMed Central

    Digdaya, Ibadillah A.; Adhyaksa, Gede W. P.; Trześniewski, Bartek J.; Garnett, Erik C.; Smith, Wilson A.

    2017-01-01

    Solar-assisted water splitting can potentially provide an efficient route for large-scale renewable energy conversion and storage. It is essential for such a system to provide a sufficiently high photocurrent and photovoltage to drive the water oxidation reaction. Here we demonstrate a photoanode that is capable of achieving a high photovoltage by engineering the interfacial energetics of metal–insulator–semiconductor junctions. We evaluate the importance of using two metals to decouple the functionalities for a Schottky contact and a highly efficient catalyst. We also illustrate the improvement of the photovoltage upon incidental oxidation of the metallic surface layer in KOH solution. Additionally, we analyse the role of the thin insulating layer to the pinning and depinning of Fermi level that is responsible to the resulting photovoltage. Finally, we report the advantage of using dual metal overlayers as a simple protection route for highly efficient metal–insulator–semiconductor photoanodes by showing over 200 h of operational stability. PMID:28660883

  7. Novel photoinduced phase transitions in transition metal oxides and diluted magnetic semiconductors.

    PubMed

    Mizokawa, Takashi

    2012-10-23

    Some transition metal oxides have frustrated electronic states under multiphase competition due to strongly correlated d electrons with spin, charge, and orbital degrees of freedom and exhibit drastic responses to external stimuli such as optical excitation. Here, we present photoemission studies on Pr0.55(Ca1 - ySry)0.45MnO3 (y = 0.25), SrTiO3, and Ti1 - xCoxO2 (x = 0.05, 0.10) under laser illumination and discuss electronic structural changes induced by optical excitation in these strongly correlated oxides. We discuss the novel photoinduced phase transitions in these transition metal oxides and diluted magnetic semiconductors on the basis of polaronic pictures such as orbital, ferromagnetic, and ferroelectric polarons.

  8. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    NASA Astrophysics Data System (ADS)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  9. Repeat analysis of intraoral digital imaging performed by undergraduate students using a complementary metal oxide semiconductor sensor: An institutional case study.

    PubMed

    Yusof, Mohd Yusmiaidil Putera Mohd; Rahman, Nur Liyana Abdul; Asri, Amiza Aqiela Ahmad; Othman, Noor Ilyani; Wan Mokhtar, Ilham

    2017-12-01

    This study was performed to quantify the repeat rate of imaging acquisitions based on different clinical examinations, and to assess the prevalence of error types in intraoral bitewing and periapical imaging using a digital complementary metal-oxide-semiconductor (CMOS) intraoral sensor. A total of 8,030 intraoral images were retrospectively collected from 3 groups of undergraduate clinical dental students. The type of examination, stage of the procedure, and reasons for repetition were analysed and recorded. The repeat rate was calculated as the total number of repeated images divided by the total number of examinations. The weighted Cohen's kappa for inter- and intra-observer agreement was used after calibration and prior to image analysis. The overall repeat rate on intraoral periapical images was 34.4%. A total of 1,978 repeated periapical images were from endodontic assessment, which included working length estimation (WLE), trial gutta-percha (tGP), obturation, and removal of gutta-percha (rGP). In the endodontic imaging, the highest repeat rate was from WLE (51.9%) followed by tGP (48.5%), obturation (42.2%), and rGP (35.6%). In bitewing images, the repeat rate was 15.1% and poor angulation was identified as the most common cause of error. A substantial level of intra- and interobserver agreement was achieved. The repeat rates in this study were relatively high, especially for certain clinical procedures, warranting training in optimization techniques and radiation protection. Repeat analysis should be performed from time to time to enhance quality assurance and hence deliver high-quality health services to patients.

  10. High-frequency characterization and modeling of single metallic nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Chuan-Lun; Ardila, Gustavo; Benech, Philippe

    2013-07-01

    The transmission line characteristics of an individual aluminum metallic nanowire up to 100 GHz are presented in this paper. We have built a reliable framework for characterizing such nanowires using a specially designed coplanar waveguide platform. We systematically estimate the pad parasitics, contact impedance and transmission line parameters based on an equivalent circuit model and cascade-based de-embedding theory. This is the first time that such external parasitic elements have been successfully removed from a nanoscale transmission line. The extracted frequency-dependent electrical responses show good signal levels and a high degree of reproducibility. Contribution to the Topical Issue “International Semiconductor Conference Dresden-Grenoble - ISCDG 2012”, Edited by Gérard Ghibaudo, Francis Balestra and Simon Deleonibus.

  11. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    PubMed

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  12. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  13. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    NASA Astrophysics Data System (ADS)

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-02-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters.

  14. Sustained hole inversion layer in a wide-bandgap metal-oxide semiconductor with enhanced tunnel current

    PubMed Central

    Shoute, Gem; Afshar, Amir; Muneshwar, Triratna; Cadien, Kenneth; Barlage, Douglas

    2016-01-01

    Wide-bandgap, metal-oxide thin-film transistors have been limited to low-power, n-type electronic applications because of the unipolar nature of these devices. Variations from the n-type field-effect transistor architecture have not been widely investigated as a result of the lack of available p-type wide-bandgap inorganic semiconductors. Here, we present a wide-bandgap metal-oxide n-type semiconductor that is able to sustain a strong p-type inversion layer using a high-dielectric-constant barrier dielectric when sourced with a heterogeneous p-type material. A demonstration of the utility of the inversion layer was also investigated and utilized as the controlling element in a unique tunnelling junction transistor. The resulting electrical performance of this prototype device exhibited among the highest reported current, power and transconductance densities. Further utilization of the p-type inversion layer is critical to unlocking the previously unexplored capability of metal-oxide thin-film transistors, such applications with next-generation display switches, sensors, radio frequency circuits and power converters. PMID:26842997

  15. Room-Temperature Quantum Ballistic Transport in Monolithic Ultrascaled Al-Ge-Al Nanowire Heterostructures.

    PubMed

    Sistani, Masiar; Staudinger, Philipp; Greil, Johannes; Holzbauer, Martin; Detz, Hermann; Bertagnolli, Emmerich; Lugstein, Alois

    2017-08-09

    Conductance quantization at room temperature is a key requirement for the utilizing of ballistic transport for, e.g., high-performance, low-power dissipating transistors operating at the upper limit of "on"-state conductance or multivalued logic gates. So far, studying conductance quantization has been restricted to high-mobility materials at ultralow temperatures and requires sophisticated nanostructure formation techniques and precise lithography for contact formation. Utilizing a thermally induced exchange reaction between single-crystalline Ge nanowires and Al pads, we achieved monolithic Al-Ge-Al NW heterostructures with ultrasmall Ge segments contacted by self-aligned quasi one-dimensional crystalline Al leads. By integration in electrostatically modulated back-gated field-effect transistors, we demonstrate the first experimental observation of room temperature quantum ballistic transport in Ge, favorable for integration in complementary metal-oxide-semiconductor platform technology.

  16. Selective Conversion from p-Type to n-Type of Printed Bottom-Gate Carbon Nanotube Thin-Film Transistors and Application in Complementary Metal-Oxide-Semiconductor Inverters.

    PubMed

    Xu, Qiqi; Zhao, Jianwen; Pecunia, Vincenzo; Xu, Wenya; Zhou, Chunshan; Dou, Junyan; Gu, Weibing; Lin, Jian; Mo, Lixin; Zhao, Yanfei; Cui, Zheng

    2017-04-12

    The fabrication of printed high-performance and environmentally stable n-type single-walled carbon nanotube (SWCNT) transistors and their integration into complementary (i.e., complementary metal-oxide-semiconductor, CMOS) circuits are widely recognized as key to achieving the full potential of carbon nanotube electronics. Here, we report a simple, efficient, and robust method to convert the polarity of SWCNT thin-film transistors (TFTs) using cheap and readily available ethanolamine as an electron doping agent. Printed p-type bottom-gate SWCNT TFTs can be selectively converted into n-type by deposition of ethanolamine inks on the transistor active region via aerosol jet printing. Resulted n-type TFTs show excellent electrical properties with an on/off ratio of 10 6 , effective mobility up to 30 cm 2 V -1 s -1 , small hysteresis, and small subthreshold swing (90-140 mV dec -1 ), which are superior compared to the original p-type SWCNT devices. The n-type SWCNT TFTs also show good stability in air, and any deterioration of performance due to shelf storage can be fully recovered by a short low-temperature annealing. The easy polarity conversion process allows construction of CMOS circuitry. As an example, CMOS inverters were fabricated using printed p-type and n-type TFTs and exhibited a large noise margin (50 and 103% of 1/2 V dd = 1 V) and a voltage gain as high as 30 (at V dd = 1 V). Additionally, the CMOS inverters show full rail-to-rail output voltage swing and low power dissipation (0.1 μW at V dd = 1 V). The new method paves the way to construct fully functional complex CMOS circuitry by printed TFTs.

  17. Nanophotonic Hot Electron Solar-Blind Ultraviolet Detectors with a Metal-Oxide-Semiconductor Structure

    NASA Astrophysics Data System (ADS)

    Wang, Zhiyuan

    Solar-blind ultraviolet detection refers to photon detection specifically in the wavelength range of 200 nm to 320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. In this thesis, we design and fabricate a nanophotonic metal-oxide-semiconductor device for solar-blind UV detection. Instead of using semiconductors as the active absorber, we use metal Sn nano- grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between metal and semiconductor region upon UV excitation. The large metal/oxide interfacial energy barrier enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, 85% UV absorption and hot electron excitation can be achieved within the mean free path of 20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. Various fabrication techniques have been developed for preparing nano gratings. For nominally 20 nm-thick deposited Sn, the self- formed pseudo-periodic nanostructure help achieve 75% UV absorption from lambda=200 nm to 300 nm. With another layer of nominally 20 nm-thick Sn, similar UV absorption is maintained while conductivity is improved, which is beneficial for overall device efficiency. The Sn/SiO2/Si MOS devices show good solar-blind character while achieving 13% internal quantum efficiency for 260 nm UV with only 20 nm-thick Sn and some devices demonstrate much higher (even >100%) internal quantum efficiency. While a more accurate estimation of device effective area is needed for proving our calculation, these results indeed show a great potential for this type of hot-electron-based photodetectors and for Sn nanostructure as an effective UV absorber. The simple geometry of the self- assembled Sn

  18. Emergence of transverse spin in optical modes of semiconductor nanowires

    DOE PAGES

    Alizadeh, M. H.; Reinhard, Bjorn M.

    2016-04-11

    The transverse spin angular momentum of light has recently received tremendous attention as it adds a new degree of freedom for controlling light-matter interactions. In this work we demonstrate the generation of transverse spin angular momentum by the weakly-guided mode of semiconductor nanowires. The evanescent field of these modes in combination with the transversality condition rigorously accounts for the occurrence of transverse spin angular momentum. Furthermore, the intriguing and nontrivial spin properties of optical modes in semiconductor nanowires are of high interest for a broad range of new applications including chiral optical trapping, quantum information processing, and nanophotonic circuitry.

  19. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  20. Fluorescence-suppressed time-resolved Raman spectroscopy of pharmaceuticals using complementary metal-oxide semiconductor (CMOS) single-photon avalanche diode (SPAD) detector.

    PubMed

    Rojalin, Tatu; Kurki, Lauri; Laaksonen, Timo; Viitala, Tapani; Kostamovaara, Juha; Gordon, Keith C; Galvis, Leonardo; Wachsmann-Hogiu, Sebastian; Strachan, Clare J; Yliperttula, Marjo

    2016-01-01

    In this work, we utilize a short-wavelength, 532-nm picosecond pulsed laser coupled with a time-gated complementary metal-oxide semiconductor (CMOS) single-photon avalanche diode (SPAD) detector to acquire Raman spectra of several drugs of interest. With this approach, we are able to reveal previously unseen Raman features and suppress the fluorescence background of these drugs. Compared to traditional Raman setups, the present time-resolved technique has two major improvements. First, it is possible to overcome the strong fluorescence background that usually interferes with the much weaker Raman spectra. Second, using the high photon energy excitation light source, we are able to generate a stronger Raman signal compared to traditional instruments. In addition, observations in the time domain can be performed, thus enabling new capabilities in the field of Raman and fluorescence spectroscopy. With this system, we demonstrate for the first time the possibility of recording fluorescence-suppressed Raman spectra of solid, amorphous and crystalline, and non-photoluminescent and photoluminescent drugs such as caffeine, ranitidine hydrochloride, and indomethacin (amorphous and crystalline forms). The raw data acquired by utilizing only the picosecond pulsed laser and a CMOS SPAD detector could be used for identifying the compounds directly without any data processing. Moreover, to validate the accuracy of this time-resolved technique, we present density functional theory (DFT) calculations for a widely used gastric acid inhibitor, ranitidine hydrochloride. The obtained time-resolved Raman peaks were identified based on the calculations and existing literature. Raman spectra using non-time-resolved setups with continuous-wave 785- and 532-nm excitation lasers were used as reference data. Overall, this demonstration of time-resolved Raman and fluorescence measurements with a CMOS SPAD detector shows promise in diverse areas, including fundamental chemical research, the

  1. Instability analysis of charges trapped in the oxide of metal-ultra thin oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Aziz, A.; Kassmi, K.; Maimouni, R.; Olivié, F.; Sarrabayrouse, G.; Martinez, A.

    2005-09-01

    In this paper, we present the theoretical and experimental results of the influence of a charge trapped in ultra-thin oxide of metal/ultra-thin oxide/semiconductor structures (MOS) on the I(Vg) current-voltage characteristics when the conduction is of the Fowler-Nordheim (FN) tunneling type. The charge, which is negative, is trapped near the cathode (metal/oxide interface) after constant current injection by the metal (Vg<0). Of particular interest is the influence on the Δ Vg(Vg) shift over the whole I(Vg) characteristic at high field (greater than the injection field (>12.5 MV/cm)). It is shown that the charge centroid varies linearly with respect to the voltage Vg. The behavior at low field (<12.5 MV/cm) is analyzed in référence A. Aziz, K. Kassmi, Ka. Kassmi, F. Olivié, Semicond. Sci. Technol. 19, 877 (2004) and considers that the trapped charge centroid is fixed. The results obtained make it possible to analyze the influence of the injected charge and the applied field on the centroid position of the trapped charge, and to highlight the charge instability in the ultra-thin oxide of MOS structures.

  2. Repeat analysis of intraoral digital imaging performed by undergraduate students using a complementary metal oxide semiconductor sensor: An institutional case study

    PubMed Central

    Rahman, Nur Liyana Abdul; Asri, Amiza Aqiela Ahmad; Othman, Noor Ilyani; Wan Mokhtar, Ilham

    2017-01-01

    Purpose This study was performed to quantify the repeat rate of imaging acquisitions based on different clinical examinations, and to assess the prevalence of error types in intraoral bitewing and periapical imaging using a digital complementary metal-oxide-semiconductor (CMOS) intraoral sensor. Materials and Methods A total of 8,030 intraoral images were retrospectively collected from 3 groups of undergraduate clinical dental students. The type of examination, stage of the procedure, and reasons for repetition were analysed and recorded. The repeat rate was calculated as the total number of repeated images divided by the total number of examinations. The weighted Cohen's kappa for inter- and intra-observer agreement was used after calibration and prior to image analysis. Results The overall repeat rate on intraoral periapical images was 34.4%. A total of 1,978 repeated periapical images were from endodontic assessment, which included working length estimation (WLE), trial gutta-percha (tGP), obturation, and removal of gutta-percha (rGP). In the endodontic imaging, the highest repeat rate was from WLE (51.9%) followed by tGP (48.5%), obturation (42.2%), and rGP (35.6%). In bitewing images, the repeat rate was 15.1% and poor angulation was identified as the most common cause of error. A substantial level of intra- and interobserver agreement was achieved. Conclusion The repeat rates in this study were relatively high, especially for certain clinical procedures, warranting training in optimization techniques and radiation protection. Repeat analysis should be performed from time to time to enhance quality assurance and hence deliver high-quality health services to patients. PMID:29279822

  3. Thermoelectric properties of semiconductor nanowire networks

    DOE PAGES

    Roslyak, Oleksiy; Piryatinski, Andrei

    2016-03-28

    To examine the thermoelectric (TE) properties of a semiconductor nanowire (NW) network, we propose a theoretical approach mapping the TE network on a two-port network. In contrast to a conventional single-port (i.e., resistor)network model, our model allows for large scale calculations showing convergence of TE figure of merit, ZT, with an increasing number of junctions. Using this model, numerical simulations are performed for the Bi 2Te 3 branched nanowire (BNW) and Cayley tree NW (CTNW) network. We find that the phonon scattering at the network junctions plays a dominant role in enhancing the network ZT. Specifically, disordered BNW and CTNWmore » demonstrate an order of magnitude higher ZT enhancement compared to their ordered counterparts. Formation of preferential TE pathways in CTNW makes the network effectively behave as its BNW counterpart. In conclusion, we provide formalism for simulating large scale nanowire networks hinged upon experimentally measurable TE parameters of a single T-junction.« less

  4. Semiconductor Nanowires and Nanotubes for Energy Conversion

    NASA Astrophysics Data System (ADS)

    Fardy, Melissa Anne

    In recent years semiconductor nanowires and nanotubes have garnered increased attention for their unique properties. With their nanoscale dimensions comes high surface area and quantum confinement, promising enhancements in a wide range of applications. 1-dimensional nanostructures are especially attractive for energy conversion applications where photons, phonons, and electrons come into play. Since the bohr exciton radius and phonon and electron mean free paths are on the same length scales as nanowire diameters, optical, thermal, and electrical properties can be tuned by simple nanowire size adjustments. In addition, the high surface area inherent to nanowires and nanotubes lends them towards efficient charge separation and superior catalytic performance. In thermoelectric power generation, the nanoscale wire diameter can effectively scatter phonons, promoting reductions in thermal conductivity and enhancements in the thermoelectric figure of merit. To that end, single-crystalline arrays of PbS, PbSe, and PbTe nanowires have been synthesized by a chemical vapor transport approach. The electrical and thermal transport properties of the nanowires were characterized to investigate their potential as thermoelectric materials. Compared to bulk, the lead chalcogenide nanowires exhibit reduced thermal conductivity below 100 K by up to 3 orders of magnitude, suggesting that they may be promising thermoelectric materials. Smaller diameters and increased surface roughness are expected to give additional enhancements. The solution-phase synthesis of PbSe nanowires via oriented attachment of nanoparticles enables facile surface engineering and diameter control. Branched PbSe nanowires synthesized by this approach showed near degenerately doped charge carrier concentrations. Compared to the bulk, the PbSe nanowires exhibited a similar Seebeck coefficient and a significant reduction in thermal conductivity in the temperature range 20 K to 300 K. Thermal annealing of the Pb

  5. Structure of assemblies of metal nanowires in mesoporous alumina membranes studied by EXAFS, XANES, X-ray diffraction and SAXS.

    PubMed

    Benfield, Robert E; Grandjean, Didier; Dore, John C; Esfahanian, Hamid; Wu, Zhonghua; Kröll, Michael; Geerkens, Marcus; Schmid, Günter

    2004-01-01

    Mesoporous alumina membranes ("anodic aluminium oxide", or "AAO") are made by anodic oxidation of aluminium metal. These membranes contain hexagonal arrays of parallel non-intersecting cylindrical pores perpendicular to the membrane surface. By varying the anodisation voltage, the pore diameters are controllable within the range 5-250 nm. We have used AAO membranes as templates for the electrochemical deposition of metals within the pores to produce nanowires. These represent assemblies of one-dimensional quantum wires with prospective applications in electronic, optoelectronic and magnetic devices. Detailed characterisation of the structures of these nanowire assemblies on a variety of length scales is essential to understand their physical properties and evaluate their possible applications. We have used EXAFS, XANES, WAXS, high energy X-ray diffraction and SAXS to study their structure and bonding. In this paper we report the results of our studies of four different nanowire systems supported in AAO membranes. These are the ferromagnetic metals iron and cobalt, the superconducting metal tin, and the semiconductor gallium nitride. Iron nanowires in pores of diameter over the range 12 nm-72 nm are structurally very similar to bcc bulk iron. They have a strong preferred orientation within the alumina pores. Their XANES shows significant differences from that of bulk iron, showing that the electronic structure of the iron nanowires depends systematically on their diameter. Cobalt nanowires are composed of a mixture of hcp and fcc phases, but the ratio of the two phases does not depend in a simple way on the pore diameter or preparation conditions. In bulk cobalt, the fcc beta-phase is normally stable only at high temperatures. Strong preferred orientation of the c-axis in the pores was found. Tin nanowires in alumina membranes with pores diameters between 12 nm and 72 nm have a tetragonal beta-structure at ambient temperature and also at 80 K. Magnetic

  6. Memory effects in a Al/Ti:HfO2/CuPc metal-oxide-semiconductor device

    NASA Astrophysics Data System (ADS)

    Tripathi, Udbhav; Kaur, Ramneek

    2016-05-01

    Metal oxide semiconductor structured organic memory device has been successfully fabricated. Ti doped hafnium oxide (Ti:HfO2) nanoparticles has been fabricated by precipitation method and further calcinated at 800 °C. Copper phthalocyanine, a hole transporting material has been utilized as an organic semiconductor. The electrical properties of the fabricated device have been studied by measuring the current-voltage and capacitance-voltage characteristics. The amount of charge stored in the nanoparticles has been calculated by using flat band condition. This simple approach for fabricating MOS memory device has opens up opportunities for the development of next generation memory devices.

  7. Surface Charge Transfer Doping via Transition Metal Oxides for Efficient p-Type Doping of II-VI Nanostructures.

    PubMed

    Xia, Feifei; Shao, Zhibin; He, Yuanyuan; Wang, Rongbin; Wu, Xiaofeng; Jiang, Tianhao; Duhm, Steffen; Zhao, Jianwei; Lee, Shuit-Tong; Jie, Jiansheng

    2016-11-22

    Wide band gap II-VI nanostructures are important building blocks for new-generation electronic and optoelectronic devices. However, the difficulty of realizing p-type conductivity in these materials via conventional doping methods has severely handicapped the fabrication of p-n homojunctions and complementary circuits, which are the fundamental components for high-performance devices. Herein, by using first-principles density functional theory calculations, we demonstrated a simple yet efficient way to achieve controlled p-type doping on II-VI nanostructures via surface charge transfer doping (SCTD) using high work function transition metal oxides such as MoO 3 , WO 3 , CrO 3 , and V 2 O 5 as dopants. Our calculations revealed that these oxides were capable of drawing electrons from II-VI nanostructures, leading to accumulation of positive charges (holes injection) in the II-VI nanostructures. As a result, Fermi levels of the II-VI nanostructures were shifted toward the valence band regions after surface modifications, along with the large enhancement of work functions. In situ ultraviolet photoelectron spectroscopy and X-ray photoelectron spectroscopy characterizations verified the significant interfacial charge transfer between II-VI nanostructures and surface dopants. Both theoretical calculations and electrical transfer measurements on the II-VI nanostructure-based field-effect transistors clearly showed the p-type conductivity of the nanostructures after surface modifications. Strikingly, II-VI nanowires could undergo semiconductor-to-metal transition by further increasing the SCTD level. SCTD offers the possibility to create a variety of electronic and optoelectronic devices from the II-VI nanostructures via realization of complementary doping.

  8. A high-performance complementary inverter based on transition metal dichalcogenide field-effect transistors.

    PubMed

    Cho, Ah-Jin; Park, Kee Chan; Kwon, Jang-Yeon

    2015-01-01

    For several years, graphene has been the focus of much attention due to its peculiar characteristics, and it is now considered to be a representative 2-dimensional (2D) material. Even though many research groups have studied on the graphene, its intrinsic nature of a zero band-gap, limits its use in practical applications, particularly in logic circuits. Recently, transition metal dichalcogenides (TMDs), which are another type of 2D material, have drawn attention due to the advantage of having a sizable band-gap and a high mobility. Here, we report on the design of a complementary inverter, one of the most basic logic elements, which is based on a MoS2 n-type transistor and a WSe2 p-type transistor. The advantages provided by the complementary metal-oxide-semiconductor (CMOS) configuration and the high-performance TMD channels allow us to fabricate a TMD complementary inverter that has a high-gain of 13.7. This work demonstrates the operation of the MoS2 n-FET and WSe2 p-FET on the same substrate, and the electrical performance of the CMOS inverter, which is based on a different driving current, is also measured.

  9. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  10. Parallel Nanoshaping of Brittle Semiconductor Nanowires for Strained Electronics.

    PubMed

    Hu, Yaowu; Li, Ji; Tian, Jifa; Xuan, Yi; Deng, Biwei; McNear, Kelly L; Lim, Daw Gen; Chen, Yong; Yang, Chen; Cheng, Gary J

    2016-12-14

    Semiconductor nanowires (SCNWs) provide a unique tunability of electro-optical property than their bulk counterparts (e.g., polycrystalline thin films) due to size effects. Nanoscale straining of SCNWs is desirable to enable new ways to tune the properties of SCNWs, such as electronic transport, band structure, and quantum properties. However, there are two bottlenecks to prevent the real applications of straining engineering of SCNWs: strainability and scalability. Unlike metallic nanowires which are highly flexible and mechanically robust for parallel shaping, SCNWs are brittle in nature and could easily break at strains slightly higher than their elastic limits. In addition, the ability to generate nanoshaping in large scale is limited with the current technologies, such as the straining of nanowires with sophisticated manipulators, nanocombing NWs with U-shaped trenches, or buckling NWs with prestretched elastic substrates, which are incompatible with semiconductor technology. Here we present a top-down fabrication methodology to achieve large scale nanoshaping of SCNWs in parallel with tunable elastic strains. This method utilizes nanosecond pulsed laser to generate shock pressure and conformably deform the SCNWs onto 3D-nanostructured silicon substrates in a scalable and ultrafast manner. A polymer dielectric nanolayer is integrated in the process for cushioning the high strain-rate deformation, suppressing the generation of dislocations or cracks, and providing self-preserving mechanism for elastic strain storage in SCNWs. The elastic strain limits have been studied as functions of laser intensity, dimensions of nanowires, and the geometry of nanomolds. As a result of 3D straining, the inhomogeneous elastic strains in GeNWs result in notable Raman peak shifts and broadening, which bring more tunability of the electrical-optical property in SCNWs than traditional strain engineering. We have achieved the first 3D nanostraining enhanced germanium field

  11. Integration of ZnO and CuO nanowires into a thermoelectric module

    PubMed Central

    Dalola, Simone; Faglia, Guido; Comini, Elisabetta; Ferroni, Matteo; Soldano, Caterina; Ferrari, Vittorio; Sberveglieri, Giorgio

    2014-01-01

    Summary Zinc oxide (ZnO, n-type) and copper oxide (CuO, p-type) nanowires have been synthesized and preliminarily investigated as innovative materials for the fabrication of a proof-of-concept thermoelectric device. The Seebeck coefficients, electrical conductivity and thermoelectric power factors (TPF) of both semiconductor materials have been determined independently using a custom experimental set-up, leading to results in agreement with available literature with potential improvement. Combining bundles of ZnO and CuO nanowires in a series of five thermocouples on alumina leads to a macroscopic prototype of a planar thermoelectric generator (TEG) unit. This demonstrates the possibility of further integration of metal oxide nanostructures into efficient thermoelectric devices. PMID:24991531

  12. Integration of ZnO and CuO nanowires into a thermoelectric module.

    PubMed

    Zappa, Dario; Dalola, Simone; Faglia, Guido; Comini, Elisabetta; Ferroni, Matteo; Soldano, Caterina; Ferrari, Vittorio; Sberveglieri, Giorgio

    2014-01-01

    Zinc oxide (ZnO, n-type) and copper oxide (CuO, p-type) nanowires have been synthesized and preliminarily investigated as innovative materials for the fabrication of a proof-of-concept thermoelectric device. The Seebeck coefficients, electrical conductivity and thermoelectric power factors (TPF) of both semiconductor materials have been determined independently using a custom experimental set-up, leading to results in agreement with available literature with potential improvement. Combining bundles of ZnO and CuO nanowires in a series of five thermocouples on alumina leads to a macroscopic prototype of a planar thermoelectric generator (TEG) unit. This demonstrates the possibility of further integration of metal oxide nanostructures into efficient thermoelectric devices.

  13. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    NASA Astrophysics Data System (ADS)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  14. Nonlinear electronic transport and enhanced catalytic behavior caused by native oxides on Cu nanowires

    NASA Astrophysics Data System (ADS)

    Hajimammadov, Rashad; Csendes, Zita; Ojakoski, Juha-Matti; Lorite, Gabriela Simone; Mohl, Melinda; Kordas, Krisztian

    2017-09-01

    Electrical transport properties of individual nanowires (both in axial and transversal directions) and their random networks suggest rapid oxidation when Cu is exposed to ambient conditions. The oxidation process is elucidated by thorough XRD, XPS and Raman analyzes conducted for a period of 30 days. Based on the obtained experimental data, we may conclude that first, cuprous oxide and copper hydroxide form that finally transform to cupric oxide. In electrical applications, oxidation of copper is not a true problem as long as thin films or bulk metal is concerned. However, as highlighted in our work, this is not the case for nanowires, since the oxidized surface plays quite important role in the contact formation and also in the conduction of percolated nanowire networks. On the other hand, by taking advantage of the mixed surface oxide states present on the nanowires along with their large specific surface area, we tested and found excellent catalytic activity of the oxidized nanowires in phenol oxidation, which suggests further applications of these materials in catalysis.

  15. Electrical characteristics of silicon nanowire CMOS inverters under illumination.

    PubMed

    Yoo, Jeuk; Kim, Yoonjoong; Lim, Doohyeok; Kim, Sangsig

    2018-02-05

    In this study, we examine the electrical characteristics of complementary metal-oxide-semiconductor (CMOS) inverters with silicon nanowire (SiNW) channels on transparent substrates under illumination. The electrical characteristics vary with the wavelength and power of light due to the variation in the generation rates of the electric-hole pairs. Compared to conventional optoelectronic devices that sense the on/off states by the variation in the current, our device achieves the sensing of the on/off states with more precision by using the voltage variation induced by the wavelength or intensity of light. The device was fabricated on transparent substrates to maximize the light absorption using conventional CMOS technologies. The key difference between our SiNW CMOS inverters and conventional optoelectronic devices is the ability to control the flow of charge carriers more effectively. The improved sensitivity accomplished with the use of SiNW CMOS inverters allows better control of the on/off states.

  16. Metal Contacts in Semiconductors.

    DTIC Science & Technology

    1983-11-01

    greater understanding of the role that imperfec- tions, defects etc. play in the formation of Schottk~y barriers and related devices. In section 1 of...these effects. In Section 2 of this report we consider the role of surface defects in the pinning of the Fermi level at free semiconductor surfaces and...in the adsorption and oxidation processes involved when these surfaces interact with gases and metals. The role of imperfections at metal

  17. Oxide semiconductor thin-film transistors: a review of recent advances.

    PubMed

    Fortunato, E; Barquinha, P; Martins, R

    2012-06-12

    Transparent electronics is today one of the most advanced topics for a wide range of device applications. The key components are wide bandgap semiconductors, where oxides of different origins play an important role, not only as passive component but also as active component, similar to what is observed in conventional semiconductors like silicon. Transparent electronics has gained special attention during the last few years and is today established as one of the most promising technologies for leading the next generation of flat panel display due to its excellent electronic performance. In this paper the recent progress in n- and p-type oxide based thin-film transistors (TFT) is reviewed, with special emphasis on solution-processed and p-type, and the major milestones already achieved with this emerging and very promising technology are summarizeed. After a short introduction where the main advantages of these semiconductors are presented, as well as the industry expectations, the beautiful history of TFTs is revisited, including the main landmarks in the last 80 years, finishing by referring to some papers that have played an important role in shaping transparent electronics. Then, an overview is presented of state of the art n-type TFTs processed by physical vapour deposition methods, and finally one of the most exciting, promising, and low cost but powerful technologies is discussed: solution-processed oxide TFTs. Moreover, a more detailed focus analysis will be given concerning p-type oxide TFTs, mainly centred on two of the most promising semiconductor candidates: copper oxide and tin oxide. The most recent data related to the production of complementary metal oxide semiconductor (CMOS) devices based on n- and p-type oxide TFT is also be presented. The last topic of this review is devoted to some emerging applications, finalizing with the main conclusions. Related work that originated at CENIMAT|I3N during the last six years is included in more detail, which

  18. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  19. Interface states and internal photoemission in p-type GaAs metal-oxide-semiconductor surfaces

    NASA Technical Reports Server (NTRS)

    Kashkarov, P. K.; Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    An interface photodischarge study of p-type GaAs metal-oxide-semiconductor (MOS) structures revealed the presence of deep interface states and shallow donors and acceptors which were previously observed in n-type GaAs MOS through sub-band-gap photoionization transitions. For higher photon energies, internal photoemission was observed, i.e., injection of electrons to the conduction band of the oxide from either the metal (Au) or from the GaAs valence band; the threshold energies were found to be 3.25 and 3.7 + or - 0.1 eV, respectively. The measured photoemission current exhibited a thermal activation energy of about 0.06 eV, which is consistent with a hopping mechanism of electron transport in the oxide.

  20. Spectrally selective solar absorber with sharp and temperature dependent cut-off based on semiconductor nanowire arrays

    NASA Astrophysics Data System (ADS)

    Wang, Yang; Zhou, Lin; Zheng, Qinghui; Lu, Hong; Gan, Qiaoqiang; Yu, Zongfu; Zhu, Jia

    2017-05-01

    Spectrally selective absorbers (SSA) with high selectivity of absorption and sharp cut-off between high absorptivity and low emissivity are critical for efficient solar energy conversion. Here, we report the semiconductor nanowire enabled SSA with not only high absorption selectivity but also temperature dependent sharp absorption cut-off. By taking advantage of the temperature dependent bandgap of semiconductors, we systematically demonstrate that the absorption cut-off profile of the semiconductor-nanowire-based SSA can be flexibly tuned, which is quite different from most of the other SSA reported so far. As an example, silicon nanowire based selective absorbers are fabricated, with the measured absorption efficiency above (below) bandgap ˜97% (15%) combined with an extremely sharp absorption cut-off (transition region ˜200 nm), the sharpest SSA demonstrated so far. The demonstrated semiconductor-nanowire-based SSA can enable a high solar thermal efficiency of ≳86% under a wide range of operating conditions, which would be competitive candidates for the concentrated solar energy utilizations.

  1. A silicon metal-oxide-semiconductor electron spin-orbit qubit.

    PubMed

    Jock, Ryan M; Jacobson, N Tobias; Harvey-Collard, Patrick; Mounce, Andrew M; Srinivasa, Vanita; Ward, Dan R; Anderson, John; Manginell, Ron; Wendt, Joel R; Rudolph, Martin; Pluym, Tammy; Gamble, John King; Baczewski, Andrew D; Witzel, Wayne M; Carroll, Malcolm S

    2018-05-02

    The silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin-orbit (SO) effects. Here we advantageously use interface-SO coupling for a critical control axis in a double-quantum-dot singlet-triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface-SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, [Formula: see text], of 1.6 μs is consistent with 99.95% 28 Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.

  2. Solution Processed Metal Oxide High-κ Dielectrics for Emerging Transistors and Circuits.

    PubMed

    Liu, Ao; Zhu, Huihui; Sun, Huabin; Xu, Yong; Noh, Yong-Young

    2018-06-14

    The electronic functionalities of metal oxides comprise conductors, semiconductors, and insulators. Metal oxides have attracted great interest for construction of large-area electronics, particularly thin-film transistors (TFTs), for their high optical transparency, excellent chemical and thermal stability, and mechanical tolerance. High-permittivity (κ) oxide dielectrics are a key component for achieving low-voltage and high-performance TFTs. With the expanding integration of complementary metal oxide semiconductor transistors, the replacement of SiO 2 with high-κ oxide dielectrics has become urgently required, because their provided thicker layers suppress quantum mechanical tunneling. Toward low-cost devices, tremendous efforts have been devoted to vacuum-free, solution processable fabrication, such as spin coating, spray pyrolysis, and printing techniques. This review focuses on recent progress in solution processed high-κ oxide dielectrics and their applications to emerging TFTs. First, the history, basics, theories, and leakage current mechanisms of high-κ oxide dielectrics are presented, and the underlying mechanism for mobility enhancement over conventional SiO 2 is outlined. Recent achievements of solution-processed high-κ oxide materials and their applications in TFTs are summarized and traditional coating methods and emerging printing techniques are introduced. Finally, low temperature approaches, e.g., ecofriendly water-induced, self-combustion reaction, and energy-assisted post treatments, for the realization of flexible electronics and circuits are discussed. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Single-mode plasmonic waveguiding properties of metal nanowires with dielectric substrates.

    PubMed

    Wang, Yipei; Ma, Yaoguang; Guo, Xin; Tong, Limin

    2012-08-13

    Single-mode plasmonic waveguiding properties of metal nanowires with dielectric substrates are investigated using a finite-element method. Au and Ag are selected as plasmonic materials for nanowire waveguides with diameters down to 5-nm-level. Typical dielectric materials with relatively low to high refractive indices, including magnesium fluoride (MgF2), silica (SiO2), indium tin oxide (ITO) and titanium dioxide (TiO2), are used as supporting substrates. Basic waveguiding properties, including propagation constants, power distributions, effective mode areas, propagation distances and losses are obtained at the typical plasmonic resonance wavelength of 660 nm. Compared to that of a freestanding nanowire, the mode area of a substrate-supported nanowire could be much smaller while maintaining an acceptable propagation length. For example, the mode area and propagation length of a 100-nm-diameter Ag nanowire with a MgF2 substrate are about 0.004 μm2 and 3.4 μm, respectively. The dependences of waveguiding properties on geometric and material parameters of the nanowire-substrate system are also provided. Our results may provide valuable references for waveguiding dielectric-supported metal nanowires for practical applications.

  4. Spatially resolved Hall effect measurement in a single semiconductor nanowire.

    PubMed

    Storm, Kristian; Halvardsson, Filip; Heurlin, Magnus; Lindgren, David; Gustafsson, Anders; Wu, Phillip M; Monemar, Bo; Samuelson, Lars

    2012-11-01

    Efficient light-emitting diodes and photovoltaic energy-harvesting devices are expected to play an important role in the continued efforts towards sustainable global power consumption. Semiconductor nanowires are promising candidates as the active components of both light-emitting diodes and photovoltaic cells, primarily due to the added freedom in device design offered by the nanowire geometry. However, for nanowire-based components to move past the proof-of-concept stage and be implemented in production-grade devices, it is necessary to precisely quantify and control fundamental material properties such as doping and carrier mobility. Unfortunately, the nanoscale geometry that makes nanowires interesting for applications also makes them inherently difficult to characterize. Here, we report a method to carry out Hall measurements on single core-shell nanowires. Our technique allows spatially resolved and quantitative determination of the carrier concentration and mobility of the nanowire shell. As Hall measurements have previously been completely unavailable for nanowires, the experimental platform presented here should facilitate the implementation of nanowires in advanced practical devices.

  5. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    PubMed

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  6. Functionalised zinc oxide nanowire gas sensors: Enhanced NO(2) gas sensor response by chemical modification of nanowire surfaces.

    PubMed

    Waclawik, Eric R; Chang, Jin; Ponzoni, Andrea; Concina, Isabella; Zappa, Dario; Comini, Elisabetta; Motta, Nunzio; Faglia, Guido; Sberveglieri, Giorgio

    2012-01-01

    Surface coating with an organic self-assembled monolayer (SAM) can enhance surface reactions or the absorption of specific gases and hence improve the response of a metal oxide (MOx) sensor toward particular target gases in the environment. In this study the effect of an adsorbed organic layer on the dynamic response of zinc oxide nanowire gas sensors was investigated. The effect of ZnO surface functionalisation by two different organic molecules, tris(hydroxymethyl)aminomethane (THMA) and dodecanethiol (DT), was studied. The response towards ammonia, nitrous oxide and nitrogen dioxide was investigated for three sensor configurations, namely pure ZnO nanowires, organic-coated ZnO nanowires and ZnO nanowires covered with a sparse layer of organic-coated ZnO nanoparticles. Exposure of the nanowire sensors to the oxidising gas NO(2) produced a significant and reproducible response. ZnO and THMA-coated ZnO nanowire sensors both readily detected NO(2) down to a concentration in the very low ppm range. Notably, the THMA-coated nanowires consistently displayed a small, enhanced response to NO(2) compared to uncoated ZnO nanowire sensors. At the lower concentration levels tested, ZnO nanowire sensors that were coated with THMA-capped ZnO nanoparticles were found to exhibit the greatest enhanced response. ΔR/R was two times greater than that for the as-prepared ZnO nanowire sensors. It is proposed that the ΔR/R enhancement in this case originates from the changes induced in the depletion-layer width of the ZnO nanoparticles that bridge ZnO nanowires resulting from THMA ligand binding to the surface of the particle coating. The heightened response and selectivity to the NO(2) target are positive results arising from the coating of these ZnO nanowire sensors with organic-SAM-functionalised ZnO nanoparticles.

  7. Semiconductor/High-Tc-Superconductor Hybrid ICs

    NASA Technical Reports Server (NTRS)

    Burns, Michael J.

    1995-01-01

    Hybrid integrated circuits (ICs) containing both Si-based semiconducting and YBa(2)Cu(3)O(7-x) superconducting circuit elements on sapphire substrates developed. Help to prevent diffusion of Cu from superconductors into semiconductors. These hybrid ICs combine superconducting and semiconducting features unavailable in superconducting or semiconducting circuitry alone. For example, complementary metal oxide/semiconductor (CMOS) readout and memory devices integrated with fast-switching Josephson-junction super-conducting logic devices and zero-resistance interconnections.

  8. Efficient n-type doping of zinc-blende III-V semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Besteiro, Lucas V.; Tortajada, Luis; Souto, J.; Gallego, L. J.; Chelikowsky, James R.; Alemany, M. M. G.

    2014-03-01

    We demonstrate that it is preferable to dope III-V semiconductor nanowires by n-type anion substitution as opposed to cation substitution. Specifically, we show the dopability of zinc-blende nanowires is more efficient when the dopants are placed at the anion site as quantified by formation energies and the stabilization of DX-like defect centers. The comparison with previous work on n - type III-V semiconductor nanocrystals also allows to determine the role of dimensionality and quantum confinement on doping characteristics of materials. Our results are based on first-principles calculations of InP nanowires by using the PARSEC code. Work supported by the Spanish MICINN (FIS2012-33126) and Xunta de Galicia (GPC2013-043) in conjunction with FEDER. JRC acknowledges support from DoE (DE-FG02-06ER46286 and DESC0008877). Computational support was provided in part by CESGA.

  9. Thin Film Complementary Metal Oxide Semiconductor (CMOS) Device Using a Single-Step Deposition of the Channel Layer

    PubMed Central

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, M. N.; Wang, Q. X.; Alshareef, H. N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n- and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350°C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications. PMID:24728223

  10. Review on the dynamics of semiconductor nanowire lasers

    NASA Astrophysics Data System (ADS)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  11. Improvement of hot-carrier and radiation hardnesses in metal-oxide-nitride-oxide semiconductor devices by irradiation-then-anneal treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang-Liao, K.S.; Hwu, J.G.

    The hardnesses of hot-carrier and radiation of metal-oxide nitride-oxide semiconductor (MONOS) devices can be improved by the irradiation-then-anneal (ITA) treatments. Each treatment includes an irradiation of Co-60 with a total dose of 1M rads(SiO[sub 2]) and an anneal in N[sub 2] at 400 C for 10 min successively. This improvement can be explained by the release of SiO[sub 2]/Si interfacial strain.

  12. Broadband telecom transparency of semiconductor-coated metal nanowires: more transparent than glass.

    PubMed

    Paniagua-Domínguez, R; Abujetas, D R; Froufe-Pérez, L S; Sáenz, J J; Sánchez-Gil, J A

    2013-09-23

    Metallic nanowires (NW) coated with a high permittivity dielectric are proposed as means to strongly reduce the light scattering of the conducting NW, rendering them transparent at infrared wavelengths of interest in telecommunications. Based on a simple, universal law derived from electrostatics arguments, we find appropriate parameters to reduce the scattering efficiency of hybrid metal-dielectric NW by up to three orders of magnitude as compared with the scattering efficiency of the homogeneous metallic NW. We show that metal@dielectric structures are much more robust against fabrication imperfections than analogous dielectric@metal ones. The bandwidth of the transparent region entirely covers the near IR telecommunications range. Although this effect is optimum at normal incidence and for a given polarization, rigorous theoretical and numerical calculations reveal that transparency is robust against changes in polarization and angle of incidence, and also holds for relatively dense periodic or random arrangements. A wealth of applications based on metal-NWs may benefit from such invisibility.

  13. Metal-dielectric-CNT nanowires for surface-enhanced Raman spectroscopy

    DOEpatents

    Bond, Tiziana C.; Altun, Ali; Park, Hyung Gyu

    2017-10-03

    A sensor with a substrate includes nanowires extending vertically from the substrate, a hafnia coating on the nanowires that provides hafnia coated nanowires, and a noble metal coating on the hafnia coated nanowires. The top of the hafnia and noble metal coated nanowires bent onto one another to create a canopy forest structure. There are numerous randomly arranged holes that let through scattered light. The many points of contact, hot spots, amplify signals. The methods include the steps of providing a Raman spectroscopy substrate, introducing nano crystals to the Raman spectroscopy substrate, growing a forest of nanowires from the nano crystals on the Raman spectroscopy substrate, coating the nanowires with hafnia providing hafnia coated nanowires, and coating the hafnia coated nanowires with a noble metal or other metal.

  14. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  15. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  16. Catalyst patterning for nanowire devices

    NASA Technical Reports Server (NTRS)

    Li, Jun (Inventor); Cassell, Alan M. (Inventor); Han, Jie (Inventor)

    2004-01-01

    Nanowire devices may be provided that are based on carbon nanotubes or single-crystal semiconductor nanowires. The nanowire devices may be formed on a substrate. Catalyst sites may be formed on the substrate. The catalyst sites may be formed using lithography, thin metal layers that form individual catalyst sites when heated, collapsible porous catalyst-filled microscopic spheres, microscopic spheres that serve as masks for catalyst deposition, electrochemical deposition techniques, and catalyst inks. Nanowires may be grown from the catalyst sites.

  17. Switching behavior of resistive change memory using oxide nanowires

    NASA Astrophysics Data System (ADS)

    Aono, Takashige; Sugawa, Kosuke; Shimizu, Tomohiro; Shingubara, Shoso; Takase, Kouichi

    2018-06-01

    Resistive change random access memory (ReRAM), which is expected to be the next-generation nonvolatile memory, often has wide switching voltage distributions due to many kinds of conductive filaments. In this study, we have tried to suppress the distribution through the structural restriction of the filament-forming area using NiO nanowires. The capacitor with Ni metal nanowires whose surface is oxidized showed good switching behaviors with narrow distributions. The knowledge gained from our study will be very helpful in producing practical ReRAM devices.

  18. Evaporation-induced self-alignment and transfer of semiconductor nanowires by wrinkled elastomeric templates.

    PubMed

    Lee, Seung Goo; Kim, Haena; Choi, Hyun Ho; Bong, Hyojin; Park, Yeong Don; Lee, Wi Hyoung; Cho, Kilwon

    2013-04-18

    The evaporation-induced self-alignment of semiconductor nanowires is achieved using wrinkled elastomeric templates. The wrinkled templates, which have a surface topography that can be tuned via changes in the mechanical strain, are used as both a template to align the nanowires and as a stamp to transfer the aligned nanowires to target substrates. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Nanowire NMOS Logic Inverter Characterization.

    PubMed

    Hashim, Yasir

    2016-06-01

    This study is the first to demonstrate characteristics optimization of nanowire N-Channel Metal Oxide Semiconductor (NW-MOS) logic inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. A computer-based model used to produce static characteristics of NW-NMOS logic inverter. In this research two circuit configuration of NW-NMOS inverter was studied, in first NW-NMOS circuit, the noise margin for (low input-high output) condition was very low. For second NMOS circuit gives excellent noise margins, and results indicate that optimization depends on applied voltage to the inverter. Increasing gate to source voltage with (2/1) nanowires ratio results better noise margins. Increasing of applied DC load transistor voltage tends to increasing in decreasing noise margins; decreasing this voltage will improve noise margins significantly.

  20. Effect of Crossing Geometry on the Plasmonic Behavior of Dielectric Core/Metal Sheath Nanowires

    DTIC Science & Technology

    2009-03-01

    uniform SERS substrate. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation of pure Ga metal in a vacuum tube furnace.14 The...equations.15 We have previously shown that Ga2O3 /Ag nanowire composites can be very efficient SERS substrates,11,12 and we suggested that the nanowire...detail, we used these Au lithographically produced lines, combined with the placement of individual Ga2O3 /Ag nanowires in specific crossing angles

  1. Semiconductor nanowires: A platform for nanoscience and nanotechnology

    PubMed Central

    Lieber, Charles M.

    2012-01-01

    Advances in nanoscience and nanotechnology critically depend on the development of nanostructures whose properties are controlled during synthesis. We focus on this critical concept using semiconductor nanowires, which provide the capability through design and rational synthesis to realize unprecedented structural and functional complexity in building blocks as a platform material. First, a brief review of the synthesis of complex modulated nanowires in which rational design and synthesis can be used to precisely control composition, structure, and, most recently, structural topology is discussed. Second, the unique functional characteristics emerging from our exquisite control of nanowire materials are illustrated using several selected examples from nanoelectronics and nano-enabled energy. Finally, the remarkable power of nanowire building blocks is further highlighted through their capability to create unprecedented, active electronic interfaces with biological systems. Recent work pushing the limits of both multiplexed extracellular recording at the single-cell level and the first examples of intracellular recording is described, as well as the prospects for truly blurring the distinction between nonliving nanoelectronic and living biological systems. PMID:22707850

  2. Solitons in two attractive semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Vroumsia, David; Mibaile, Justin; Gambo, Betchewe; Doka, Yamigno Serge; Kofane, Timoleon Crepin

    2018-02-01

    In this paper, by using two semiconductor nanowires attracted to each other by means of Lorentz force, we construct through similarity transformations, explicit solutions to the coupled nonlinear Schrodinger equations (CNSE) with potentials as a function of time and spatial coordinates. We find explicit solutions of electrons and holes such as periodic, bright and dark solitons. We also study the instability of the modulation (MI) of (CNSE) and note that the velocity of the electrons influences the gain MI spectrum.

  3. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  4. A silicon metal-oxide-semiconductor electron spin-orbit qubit

    DOE PAGES

    Jock, Ryan Michael; Jacobson, Noah Tobias; Harvey-Collard, Patrick; ...

    2018-05-02

    Here, the silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin–orbit (SO) effects. Here we advantageously use interface–SO coupling for a critical control axis in a double-quantum-dot singlet–triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface–SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, T* 2m, of 1.6 μs is consistent with 99.95%more » 28Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.« less

  5. Single InAs/GaSb nanowire low-power CMOS inverter.

    PubMed

    Dey, Anil W; Svensson, Johannes; Borg, B Mattias; Ek, Martin; Wernersson, Lars-Erik

    2012-11-14

    III-V semiconductors have so far predominately been employed for n-type transistors in high-frequency applications. This development is based on the advantageous transport properties and the large variety of heterostructure combinations in the family of III-V semiconductors. In contrast, reports on p-type devices with high hole mobility suitable for complementary metal-oxide-semiconductor (CMOS) circuits for low-power operation are scarce. In addition, the difficulty to integrate both n- and p-type devices on the same substrate without the use of complex buffer layers has hampered the development of III-V based digital logic. Here, inverters fabricated from single n-InAs/p-GaSb heterostructure nanowires are demonstrated in a simple processing scheme. Using undoped segments and aggressively scaled high-κ dielectric, enhancement mode operation suitable for digital logic is obtained for both types of transistors. State-of-the-art on- and off-state characteristics are obtained and the individual long-channel n- and p-type transistors exhibit minimum subthreshold swings of SS = 98 mV/dec and SS = 400 mV/dec, respectively, at V(ds) = 0.5 V. Inverter characteristics display a full signal swing and maximum gain of 10.5 with a small device-to-device variability. Complete inversion is measured at low frequencies although large parasitic capacitances deform the waveform at higher frequencies.

  6. Degradation of Gate Oxide Integrity by Formation of Tiny Holes by Metal Contamination of Raw Wafer

    NASA Astrophysics Data System (ADS)

    Chen, Po-Ying

    2008-12-01

    Heavy metal atoms (such as Cu) spontaneously undergo a dissolution reaction when they come into contact with silicon. Most investigations in this extensively studied area begin with a clean, bare wafer and focus on metal contamination during the IC manufacturing stage. In this work, the effect of Fe and Cu contamination on raw wafers was elucidated. When two batches of raw wafers are scheduled, one uncontaminated and one with various degrees of contamination ranging from 0.1 to 10 ppb undergo the typical steps of the 90 nm LOGIC complementary metal-oxide-semiconductor (CMOS) semiconductor manufacturing process. The main contribution of this work is the discovery of a previously unidentified cause of gate oxide leakage: the formation of tiny holes by metal contamination during the wafer manufacturing stage. Because tiny holes are formed, a spontaneous reaction can occur even with at very low metal concentration (0.2 ppb), revealing that the wafer manufacturing stage is more vulnerable to metal contamination than the IC manufacturing stage and therefore requires stricter contamination control.

  7. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Li, L. H.; Deng, Z. X.; Xiao, J. X.; Yang, G. W.

    2015-06-01

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  8. A metallic metal oxide (Ti5O9)-metal oxide (TiO2) nanocomposite as the heterojunction to enhance visible-light photocatalytic activity.

    PubMed

    Li, L H; Deng, Z X; Xiao, J X; Yang, G W

    2015-01-26

    Coupling titanium dioxide (TiO2) with other semiconductors is a popular method to extend the optical response range of TiO2 and improve its photon quantum efficiency, as coupled semiconductors can increase the separation rate of photoinduced charge carriers in photocatalysts. Differing from normal semiconductors, metallic oxides have no energy gap separating occupied and unoccupied levels, but they can excite electrons between bands to create a high carrier mobility to facilitate kinetic charge separation. Here, we propose the first metallic metal oxide-metal oxide (Ti5O9-TiO2) nanocomposite as a heterojunction for enhancing the visible-light photocatalytic activity of TiO2 nanoparticles and we demonstrate that this hybridized TiO2-Ti5O9 nanostructure possesses an excellent visible-light photocatalytic performance in the process of photodegrading dyes. The TiO2-Ti5O9 nanocomposites are synthesized in one step using laser ablation in liquid under ambient conditions. The as-synthesized nanocomposites show strong visible-light absorption in the range of 300-800 nm and high visible-light photocatalytic activity in the oxidation of rhodamine B. They also exhibit excellent cycling stability in the photodegrading process. A working mechanism for the metallic metal oxide-metal oxide nanocomposite in the visible-light photocatalytic process is proposed based on first-principle calculations of Ti5O9. This study suggests that metallic metal oxides can be regarded as partners for metal oxide photocatalysts in the construction of heterojunctions to improve photocatalytic activity.

  9. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  10. Trap densities and transport properties of pentacene metal-oxide-semiconductor transistors. I. Analytical modeling of time-dependent characteristics

    NASA Astrophysics Data System (ADS)

    Basile, A. F.; Cramer, T.; Kyndiah, A.; Biscarini, F.; Fraboni, B.

    2014-06-01

    Metal-oxide-semiconductor (MOS) transistors fabricated with pentacene thin films were characterized by temperature-dependent current-voltage (I-V) characteristics, time-dependent current measurements, and admittance spectroscopy. The channel mobility shows almost linear variation with temperature, suggesting that only shallow traps are present in the semiconductor and at the oxide/semiconductor interface. The admittance spectra feature a broad peak, which can be modeled as the sum of a continuous distribution of relaxation times. The activation energy of this peak is comparable to the polaron binding energy in pentacene. The absence of trap signals in the admittance spectra confirmed that both the semiconductor and the oxide/semiconductor interface have negligible density of deep traps, likely owing to the passivation of SiO2 before pentacene growth. Nevertheless, current instabilities were observed in time-dependent current measurements following the application of gate-voltage pulses. The corresponding activation energy matches the energy of a hole trap in SiO2. We show that hole trapping in the oxide can explain both the temperature and the time dependences of the current instabilities observed in pentacene MOS transistors. The combination of these experimental techniques allows us to derive a comprehensive model for charge transport in hybrid architectures where trapping processes occur at various time and length scales.

  11. Emerging Hierarchical Aerogels: Self-Assembly of Metal and Semiconductor Nanocrystals.

    PubMed

    Cai, Bin; Sayevich, Vladimir; Gaponik, Nikolai; Eychmüller, Alexander

    2018-06-19

    Aerogels assembled from colloidal metal or semiconductor nanocrystals (NCs) feature large surface area, ultralow density, and high porosity, thus rendering them attractive in various applications, such as catalysis, sensors, energy storage, and electronic devices. Morphological and structural modification of the aerogel backbones while maintaining the aerogel properties enables a second stage of the aerogel research, which is defined as hierarchical aerogels. Different from the conventional aerogels with nanowire-like backbones, those hierarchical aerogels are generally comprised of at least two levels of architectures, i.e., an interconnected porous structure on the macroscale and a specially designed configuration at local backbones at the nanoscale. This combination "locks in" the inherent properties of the NCs, so that the beneficial genes obtained by nanoengineering are retained in the resulting monolithic hierarchical aerogels. Herein, groundbreaking advances in the design, synthesis, and physicochemical properties of the hierarchical aerogels are reviewed and organized in three sections: i) pure metallic hierarchical aerogels, ii) semiconductor hierarchical aerogels, and iii) metal/semiconductor hybrid hierarchical aerogels. This report aims to define and demonstrate the concept, potential, and challenges of the hierarchical aerogels, thereby providing a perspective on the further development of these materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Semiconductor metal oxide compounds based gas sensors: A literature review

    NASA Astrophysics Data System (ADS)

    Patil, Sunil Jagannath; Patil, Arun Vithal; Dighavkar, Chandrakant Govindrao; Thakare, Kashinath Shravan; Borase, Ratan Yadav; Nandre, Sachin Jayaram; Deshpande, Nishad Gopal; Ahire, Rajendra Ramdas

    2015-03-01

    This paper gives a statistical view about important contributions and advances on semiconductor metal oxide (SMO) compounds based gas sensors developed to detect the air pollutants such as liquefied petroleum gas (LPG), H2S, NH3, CO2, acetone, ethanol, other volatile compounds and hazardous gases. Moreover, it is revealed that the alloy/composite made up of SMO gas sensors show better gas response than their counterpart single component gas sensors, i.e., they are found to enhance the 4S characteristics namely speed, sensitivity, selectivity and stability. Improvement of such types of sensors used for detection of various air pollutants, which are reported in last two decades, is highlighted herein.

  13. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    NASA Astrophysics Data System (ADS)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  14. Engineering Nanowire n-MOSFETs at L_{g}<8 nm

    NASA Astrophysics Data System (ADS)

    Mehrotra, Saumitra R.; Kim, SungGeun; Kubis, Tillmann; Povolotskyi, Michael; Lundstrom, Mark S.; Klimeck, Gerhard

    2013-07-01

    As metal-oxide-semiconductor field-effect transistors (MOSFET) channel lengths (Lg) are scaled to lengths shorter than Lg<8 nm source-drain tunneling starts to become a major performance limiting factor. In this scenario a heavier transport mass can be used to limit source-drain (S-D) tunneling. Taking InAs and Si as examples, it is shown that different heavier transport masses can be engineered using strain and crystal orientation engineering. Full-band extended device atomistic quantum transport simulations are performed for nanowire MOSFETs at Lg<8 nm in both ballistic and incoherent scattering regimes. In conclusion, a heavier transport mass can indeed be advantageous in improving ON state currents in ultra scaled nanowire MOSFETs.

  15. Multi-Aperture-Based Probabilistic Noise Reduction of Random Telegraph Signal Noise and Photon Shot Noise in Semi-Photon-Counting Complementary-Metal-Oxide-Semiconductor Image Sensor

    PubMed Central

    Ishida, Haruki; Kagawa, Keiichiro; Komuro, Takashi; Zhang, Bo; Seo, Min-Woong; Takasawa, Taishi; Yasutomi, Keita; Kawahito, Shoji

    2018-01-01

    A probabilistic method to remove the random telegraph signal (RTS) noise and to increase the signal level is proposed, and was verified by simulation based on measured real sensor noise. Although semi-photon-counting-level (SPCL) ultra-low noise complementary-metal-oxide-semiconductor (CMOS) image sensors (CISs) with high conversion gain pixels have emerged, they still suffer from huge RTS noise, which is inherent to the CISs. The proposed method utilizes a multi-aperture (MA) camera that is composed of multiple sets of an SPCL CIS and a moderately fast and compact imaging lens to emulate a very fast single lens. Due to the redundancy of the MA camera, the RTS noise is removed by the maximum likelihood estimation where noise characteristics are modeled by the probability density distribution. In the proposed method, the photon shot noise is also relatively reduced because of the averaging effect, where the pixel values of all the multiple apertures are considered. An extremely low-light condition that the maximum number of electrons per aperture was the only 2e− was simulated. PSNRs of a test image for simple averaging, selective averaging (our previous method), and the proposed method were 11.92 dB, 11.61 dB, and 13.14 dB, respectively. The selective averaging, which can remove RTS noise, was worse than the simple averaging because it ignores the pixels with RTS noise and photon shot noise was less improved. The simulation results showed that the proposed method provided the best noise reduction performance. PMID:29587424

  16. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Southward, Robin E. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Delozier, Donavon Mark (Inventor); Smith, Joseph G. (Inventor); Watson, Kent A. (Inventor)

    2014-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  17. Preparation of Metal Nanowire Decorated Carbon Allotropes

    NASA Technical Reports Server (NTRS)

    Smith, Jr., Joseph G. (Inventor); Ghose, Sayata (Inventor); Connell, John W. (Inventor); Southward, Robin E. (Inventor); Delozier, Donavon Mark (Inventor); Watson, Kent A. (Inventor)

    2016-01-01

    In the method of embodiments of the invention, the metal seeded carbon allotropes are reacted in solution forming zero valent metallic nanowires at the seeded sites. A polymeric passivating reagent, which selects for anisotropic growth is also used in the reaction to facilitate nanowire formation. The resulting structure resembles a porcupine, where carbon allotropes have metallic wires of nanometer dimensions that emanate from the seed sites on the carbon allotrope. These sites are populated by nanowires having approximately the same diameter as the starting nanoparticle diameter.

  18. Magnetoconductance signatures of subband structure in semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Holloway, Gregory; Haapamaki, Chris; Lapierre, Ray; Baugh, Jonathan

    2015-03-01

    Understanding the subband structure due to radial confinement in semiconductor nanowires can benefit technologies ranging from optical sensors to quantum information processing. An axial magnetic field couples to the orbital angular momentum, giving rise to non-trivial features in electronic transport as a function of magnetic field. Previous reports focused on conduction electrons confined to a thin shell near the nanowire surface, which lead to flux-periodic energies and conductance oscillations. Here, we calculate the eigenstates for more general radial potentials with moderate to low surface band bending such that electrons are distributed more uniformly across the nanowire cross-section. It is found that the energy spectrum becomes aperiodic in both gate voltage and magnetic field as the radial potential becomes flatter. The behavior of an energy level is dictated by its angular momentum, and this allows, in principle, each state to be identified based on its dependence on magnetic field and the chemical potential. We experimentally investigate a short-channel InAs nanowire FET in search of conductance features that reveal this subband structure. A quantitative measure for assigning conductance features to specific transverse states is introduced and applied to this device.

  19. Mask-free, vacuum-free fabrication of high-conductivity metallic nanowire by spatially shaped ultrafast laser (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Wang, Andong; Li, Xiaowei; Qu, Lianti; Lu, Yongfeng; Jiang, Lan

    2017-03-01

    Metal nanowire fabrication has drawn tremendous attention in recent years due to its wide application in electronics, optoelectronics, and plasmonics. However, conventional laser fabrication technologies are limited by diffraction limit thus the fabrication resolution cannot meet the increasingly high demand of modern devices. Herein we report on a novel method for high-resolution high-quality metal nanowire fabrication by using Hermite-Gaussian beam to ablate metal thin film. The nanowire is formed due to the intensity valley in the center of the laser beam while the surrounding film is ablated. Arbitrary nanowire can be generated on the substrate by dynamically adjusting the orientation of the intensity valley. This method shows obvious advantages compared to conventional methods. First, the minimum nanowire has a width of 60 nm (≍1/13 of the laser wavelength), which is much smaller than the diffraction limit. The high resolution is achieved by combining the ultrashort nature of the femtosecond laser and the low thermal conductivity of the thin film. In addition, the fabricated nanowires have good inside qualities. No inner nanopores and particle intervals are generated inside the nanowire, thus endowing the nanowire with good electronic characteristics: the conductivity of the nanowires is as high as 1.2×107 S/m (≍1/4 of buck material), and the maximum current density is up to 1.66×108 A/m2. Last, the nanowire has a good adhesion to the substrates, which can withstand ultrasonic bath for a long time. These advantages make our method a good approach for high-resolution high-quality nanowire fabrication as a complementary method to conventional lithography methods.

  20. Native oxide formation on pentagonal copper nanowires: A TEM study

    NASA Astrophysics Data System (ADS)

    Hajimammadov, Rashad; Mohl, Melinda; Kordas, Krisztian

    2018-06-01

    Hydrothermally synthesized copper nanowires were allowed to oxidize in air at room temperature and 30% constant humidity for the period of 22 days. The growth of native oxide layer was followed up by high-resolution transmission electron microscopy and diffraction to reveal and understand the kinetics of the oxidation process. Copper oxides appear in the form of differently oriented crystalline phases around the metallic core as a shell-like layer (Cu2O) and as nanoscopic islands (CuO) on the top of that. Time dependent oxide thickness data suggests that oxidation follows the field-assisted growth model at the beginning of the process, as practically immediately an oxide layer of ∼2.8 nm thickness develops on the surface. However, after this initial rapid growth, the local field attenuates and the classical parabolic diffusion limited growth plays the main role in the oxidation. Because of the single crystal facets on the side surface of penta-twinned Cu nanowires, the oxidation rate in the diffusion limited regime is lower than in polycrystalline films.

  1. Thermally Stable Silver Nanowires-Embedding Metal Oxide for Schottky Junction Solar Cells.

    PubMed

    Kim, Hong-Sik; Patel, Malkeshkumar; Park, Hyeong-Ho; Ray, Abhijit; Jeong, Chaehwan; Kim, Joondong

    2016-04-06

    Thermally stable silver nanowires (AgNWs)-embedding metal oxide was applied for Schottky junction solar cells without an intentional doping process in Si. A large scale (100 mm(2)) Schottky solar cell showed a power conversion efficiency of 6.1% under standard illumination, and 8.3% under diffused illumination conditions which is the highest efficiency for AgNWs-involved Schottky junction Si solar cells. Indium-tin-oxide (ITO)-capped AgNWs showed excellent thermal stability with no deformation at 500 °C. The top ITO layer grew in a cylindrical shape along the AgNWs, forming a teardrop shape. The design of ITO/AgNWs/ITO layers is optically beneficial because the AgNWs generate plasmonic photons, due to the AgNWs. Electrical investigations were performed by Mott-Schottky and impedance spectroscopy to reveal the formation of a single space charge region at the interface between Si and AgNWs-embedding ITO layer. We propose a route to design the thermally stable AgNWs for photoelectric device applications with investigation of the optical and electrical aspects.

  2. Coupling of semiconductor nanowires with neurons and their interfacial structure.

    PubMed

    Lee, Ki-Young; Shim, Sojung; Kim, Il-Soo; Oh, Hwangyou; Kim, Sunoh; Ahn, Jae-Pyeong; Park, Seung-Han; Rhim, Hyewhon; Choi, Heon-Jin

    2009-12-04

    We report on the compatibility of various nanowires with hippocampal neurons and the structural study of the neuron-nanowire interface. Si, Ge, SiGe, and GaN nanowires are compatible with hippocampal neurons due to their native oxide, but ZnO nanowires are toxic to neuron due to a release of Zn ion. The interfaces of fixed Si nanowire and hippocampal neuron, cross-sectional samples, were prepared by focused ion beam and observed by transmission electron microscopy. The results showed that the processes of neuron were adhered well on the nanowire without cleft.

  3. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  4. Relative Influence of Intrinsic and Extrinsic Factors on the Metal-Insulator Transition of VO2 Nanowires

    NASA Astrophysics Data System (ADS)

    Kim, In Soo

    The influence of stoichiometry on the metal-insulator transition of vanadium dioxide (VO2) nanowires was investigated using Raman spectroscopy. Controlled reduction of nominally strain-free suspended VO2 nanowires was conducted by rapid thermal annealing (RTA). The deficiency in oxygen assisted in the unprecedented suppression of the metallic (R) phase to temperatures as low as 103 K through generation of free electrons. In a complementary manner, oxygen-rich conditions stabilized the metastable monoclinic (M2) and triclinic (T) phases. A pseudo-phase diagram with dimensions of temperature and stoichiometry was established, highlighting the accessibility of new phases in the nanowire geometry. Detection of the dynamic elastic response across the metal-insulator transition in suspended VO2 nanowires was enabled by fiber-coupled polarization dependent interferometry. Dual-beam Raman spectroscopy was developed to determine the local domain/phase structure of VO2 nanowires, which allowed for accurate modeling using COMSOL finite element analysis (FEA). The Young's moduli of the single crystal insulating (M1) and metallic (R) phases without artifacts were determined for the first time. The sources of dissipation were identified as clamping losses, structural losses, thermoelastic damping, and domain wall motion. While contribution of thermoelastic damping was found to be dominant in the terminal phases, extraordinary dissipation was observed upon formation and movement of domain walls. Finally, it was shown that creation of local defects could lead to new classes of tunable sensors with a discrete and programmable frequency response with temperature.

  5. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Tuttle, Blair R.; Dhar, Sarit

    2015-06-01

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO2/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  6. Selective CO2 reduction conjugated with H2O oxidation utilizing semiconductor/metal-complex hybrid photocatalysts

    NASA Astrophysics Data System (ADS)

    Morikawa, T.; Sato, S.; Arai, T.; Uemura, K.; Yamanaka, K. I.; Suzuki, T. M.; Kajino, T.; Motohiro, T.

    2013-12-01

    We developed a new hybrid photocatalyst for CO2 reduction, which is composed of a semiconductor and a metal complex. In the hybrid photocatalyst, ΔG between the position of conduction band minimum (ECBM) of the semiconductor and the CO2 reduction potential of the complex is an essential factor for realizing fast electron transfer from the conduction band of semiconductor to metal complex leading to high photocatalytic activity. On the basis of this concept, the hybrid photocatalyst InP/Ru-complex, which functions in aqueous media, was developed. The photoreduction of CO2 to formate using water as an electron donor and a proton source was successfully achieved as a Z-scheme system by functionally conjugating the InP/Ru-complex photocatalyst for CO2 reduction with a TiO2 photocatalyst for water oxidation. The conversion efficiency from solar energy to chemical energy was ca. 0.04%, which approaches that for photosynthesis in a plant. Because this system can be applied to many other inorganic semiconductors and metal-complex catalysts, the efficiency and reaction selectivity can be enhanced by optimization of the electron transfer process including the energy-band configurations, conjugation conformations, and catalyst structures. This electrical-bias-free reaction is a huge leap forward for future practical applications of artificial photosynthesis under solar irradiation to produce organic species.

  7. Silicon Nanowire Field Effect Transistor Sensors with Minimal Sensor to Sensor Variations and Enhanced Sensing Characteristics.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Jagtiani, Ashish; Kratschmer, Ernst; Miao, Xin; Zhu, Yu; Mo, Renee; Sosa, Norma; Hamann, Hendrik F; Shahidi, Ghavam; Riel, Heike

    2018-06-22

    Silicon nanowire field effect transistor (FET) sensors have demonstrated their ability for rapid and label free detection of proteins, nucleotide sequences, and viruses at ultralow concentrations with the potential to be a transformative diagnostic technology. Their nanoscale size gives them their unique ultralow detection ability but also makes their fabrication challenging with large sensor to sensor variations, thus limiting their commercial applications. In this work, a combined approach of nanofabrication, device simulation, materials and electrical characterization is applied towards identifying and improving fabrication steps that induce sensor to sensor variations. An enhanced complementary metal-oxide-semiconductor (CMOS) compatible process for fabricating silicon nanowire FET sensors is demonstrated. Nanowire (30 nm width) FETs with aqueous solution as gates are shown to have the Nernst limit sub-threshold swing SS = 60 mV/decade with ~1.7% variations, whereas literature values for SS are ≥ 80 mV/decade with larger (>10 times) variations. Also, their threshold voltage variations are significantly (~3 times) reduced, compared to literature values. Furthermore, these improved FETs have significantly reduced drain current hysteresis (~0.6 mV) and enhanced on-current to off-current ratios (~10 6 ). These improvements resulted in nanowire FET sensors with lowest (~3%) reported sensor to sensor variations, compared to literature studies. Also, these improved nanowire sensors have the highest reported sensitivity and enhanced signal to noise ratio with the lowest reported defect density of 1x10 18 eV -1 cm -3 in comparison to literature data. In summary, this work brings the nanowire sensor technology a step closer to commercial products for early diagnosis and monitoring of diseases.

  8. Optical properties of nanowire metamaterials with gain

    NASA Astrophysics Data System (ADS)

    Lima, Joaquim; Adam, Jost; Rego, Davi; Esquerre, Vitaly; Bordo, Vladimir

    2016-11-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide. The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications.

  9. Nanophase diagram of binary eutectic Au-Ge nanoalloys for vapor-liquid-solid semiconductor nanowires growth

    NASA Astrophysics Data System (ADS)

    Lu, Haiming; Meng, Xiangkang

    2015-06-01

    Although the vapor-liquid-solid growth of semiconductor nanowire is a non-equilibrium process, the equilibrium phase diagram of binary alloy provides important guidance on the growth conditions, such as the temperature and the equilibrium composition of the alloy. Given the small dimensions of the alloy seeds and the nanowires, the known phase diagram of bulk binary alloy cannot be expected to accurately predict the behavior of the nanowire growth. Here, we developed a unified model to describe the size- and dimensionality-dependent equilibrium phase diagram of Au-Ge binary eutectic nanoalloys based on the size-dependent cohesive energy model. It is found that the liquidus curves reduce and shift leftward with decreasing size and dimensionality. Moreover, the effects of size and dimensionality on the eutectic composition are small and negligible when both components in binary eutectic alloys have the same dimensionality. However, when two components have different dimensionality (e.g. Au nanoparticle-Ge nanowire usually used in the semiconductor nanowires growth), the eutectic composition reduces with decreasing size.

  10. Welding of Semiconductor Nanowires by Coupling Laser-Induced Peening and Localized Heating.

    PubMed

    Rickey, Kelly M; Nian, Qiong; Zhang, Genqiang; Chen, Liangliang; Suslov, Sergey; Bhat, S Venkataprasad; Wu, Yue; Cheng, Gary J; Ruan, Xiulin

    2015-11-03

    We demonstrate that laser peening coupled with sintering of CdTe nanowire films substantially enhances film quality and charge transfer while largely maintaining basic particle morphology. During the laser peening phase, a shockwave is used to compress the film. Laser sintering comprises the second step, where a nanosecond pulse laser beam welds the nanowires. Microstructure, morphology, material content, and electrical conductivities of the films are characterized before and after treatment. The morphology results show that laser peening can decrease porosity and bring nanowires into contact, and pulsed laser heating fuses those contacts. Multiphysics simulations coupling electromagnetic and heat transfer modules demonstrate that during pulsed laser heating, local EM field enhancement is generated specifically around the contact areas between two semiconductor nanowires, indicating localized heating. The characterization results indicate that solely laser peening or sintering can only moderately improve the thin film quality; however, when coupled together as laser peen sintering (LPS), the electrical conductivity enhancement is dramatic. LPS can decrease resistivity up to a factor of ~10,000, resulting in values on the order of ~10(5) Ω-cm in some cases, which is comparable to CdTe thin films. Our work demonstrates that LPS is an effective processing method to obtain high-quality semiconductor nanocrystal films.

  11. Welding of Semiconductor Nanowires by Coupling Laser-Induced Peening and Localized Heating

    PubMed Central

    Rickey, Kelly M.; Nian, Qiong; Zhang, Genqiang; Chen, Liangliang; Suslov, Sergey; Bhat, S. Venkataprasad; Wu, Yue; Cheng, Gary J.; Ruan, Xiulin

    2015-01-01

    We demonstrate that laser peening coupled with sintering of CdTe nanowire films substantially enhances film quality and charge transfer while largely maintaining basic particle morphology. During the laser peening phase, a shockwave is used to compress the film. Laser sintering comprises the second step, where a nanosecond pulse laser beam welds the nanowires. Microstructure, morphology, material content, and electrical conductivities of the films are characterized before and after treatment. The morphology results show that laser peening can decrease porosity and bring nanowires into contact, and pulsed laser heating fuses those contacts. Multiphysics simulations coupling electromagnetic and heat transfer modules demonstrate that during pulsed laser heating, local EM field enhancement is generated specifically around the contact areas between two semiconductor nanowires, indicating localized heating. The characterization results indicate that solely laser peening or sintering can only moderately improve the thin film quality; however, when coupled together as laser peen sintering (LPS), the electrical conductivity enhancement is dramatic. LPS can decrease resistivity up to a factor of ~10,000, resulting in values on the order of ~105 Ω-cm in some cases, which is comparable to CdTe thin films. Our work demonstrates that LPS is an effective processing method to obtain high-quality semiconductor nanocrystal films. PMID:26527570

  12. Chemical segregation in metallic glass nanowires.

    PubMed

    Zhang, Qi; Li, Qi-Kai; Li, Mo

    2014-11-21

    Nanowires made of metallic glass have been actively pursued recently due to the superb and unique properties over those of the crystalline materials. The amorphous nanowires are synthesized either at high temperature or via mechanical disruption using focused ion beam. These processes have potential to cause significant changes in structure and chemical concentration, as well as formation of defect or imperfection, but little is known to date about the possibilities and mechanisms. Here, we report chemical segregation to surfaces and its mechanisms in metallic glass nanowires made of binary Cu and Zr elements from molecular dynamics simulation. Strong concentration deviation are found in the nanowires under the conditions similar to these in experiment via focused ion beam processing, hot imprinting, and casting by rapid cooling from liquid state. Our analysis indicates that non-uniform internal stress distribution is a major cause for the chemical segregation, especially at low temperatures. Extension is discussed for this observation to multicomponent metallic glass nanowires as well as the potential applications and side effects of the composition modulation. The finding also points to the possibility of the mechanical-chemical process that may occur in different settings such as fracture, cavitation, and foams where strong internal stress is present in small length scales.

  13. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    NASA Astrophysics Data System (ADS)

    Almuslem, A. S.; Hanna, A. N.; Yapici, T.; Wehbe, N.; Diallo, E. M.; Kutbee, A. T.; Bahabry, R. R.; Hussain, M. M.

    2017-02-01

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO2) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  14. Ultraviolet GaN photodetectors on Si via oxide buffer heterostructures with integrated short period oxide-based distributed Bragg reflectors and leakage suppressing metal-oxide-semiconductor contacts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szyszka, A., E-mail: szyszka@ihp-microelectronics.com, E-mail: adam.szyszka@pwr.wroc.pl; Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw; Lupina, L.

    2014-08-28

    Based on a novel double step oxide buffer heterostructure approach for GaN integration on Si, we present an optimized Metal-Semiconductor-Metal (MSM)-based Ultraviolet (UV) GaN photodetector system with integrated short-period (oxide/Si) Distributed Bragg Reflector (DBR) and leakage suppressing Metal-Oxide-Semiconductor (MOS) electrode contacts. In terms of structural properties, it is demonstrated by in-situ reflection high energy electron diffraction and transmission electron microscopy-energy dispersive x-ray studies that the DBR heterostructure layers grow with high thickness homogeneity and sharp interface structures sufficient for UV applications; only minor Si diffusion into the Y{sub 2}O{sub 3} films is detected under the applied thermal growth budget. Asmore » revealed by comparative high resolution x-ray diffraction studies on GaN/oxide buffer/Si systems with and without DBR systems, the final GaN layer structure quality is not significantly influenced by the growth of the integrated DBR heterostructure. In terms of optoelectronic properties, it is demonstrated that—with respect to the basic GaN/oxide/Si system without DBR—the insertion of (a) the DBR heterostructures and (b) dark current suppressing MOS contacts enhances the photoresponsivity below the GaN band-gap related UV cut-off energy by almost up to two orders of magnitude. Given the in-situ oxide passivation capability of grown GaN surfaces and the one order of magnitude lower number of superlattice layers in case of higher refractive index contrast (oxide/Si) systems with respect to classical III-N DBR superlattices, virtual GaN substrates on Si via functional oxide buffer systems are thus a promising robust approach for future GaN-based UV detector technologies.« less

  15. Low temperature mobility in hafnium-oxide gated germanium p-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Beer, Chris; Whall, Terry; Parker, Evan; Leadley, David; De Jaeger, Brice; Nicholas, Gareth; Zimmerman, Paul; Meuris, Marc; Szostak, Slawomir; Gluszko, Grzegorz; Lukasiak, Lidia

    2007-12-01

    Effective mobility measurements have been made at 4.2K on high performance high-k gated germanium p-type metal-oxide-semiconductor field effect transistors with a range of Ge/gate dielectric interface state densities. The mobility is successfully modelled by assuming surface roughness and interface charge scattering at the SiO2 interlayer/Ge interface. The deduced interface charge density is approximately equal to the values obtained from the threshold voltage and subthreshold slope measurements on each device. A hydrogen anneal reduces both the interface state density and the surface root mean square roughness by 20%.

  16. Solution combustion synthesis of oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Thomas, Abegayl Lorenda Shara-Lynn

    The quest for stable and efficient photocatalytic materials beyond TiO2 and WO3 has over the years led to the development of new materials that possess varied interfacial energetics. This dissertation study focused on using for the first time a novel method, solution combustion synthesis (SCS), to prepare two distinct families of binary metal-based oxide semiconductor materials. Detailed studies on material characteristics and applications were carried out on tungsten- and niobium-based oxide semiconductors with varying principal metals. Initial emphasis was placed on the SCS of tungsten-based oxide semiconductors (ZnWO4, CuWO4, and Ag2WO4). The influence of different tungsten precursor's on the resultant product was of particular relevance to this study, with the most significant effects highlighted. Upon characterization, each sample's photocatalytic activity towards methyl orange dye degradation was studied, and benchmarked against their respective commercial oxide sample, obtained by solid-state ceramic synthesis. Detailed analysis highlighted the importance of the SCS process as a time- and energy-efficient method to produce crystalline nano-sized materials even without additional or excessive heat treatment. It was observed that using different tungstate precursors does influence the structural and morphological make-up of the resulting materials. The as-synthesized tungstate materials showed good photocatalytic performance for the degradation of methyl orange dye, while taking into account specific surface area and adsorbed dye amount on the surface of the material. Like the tungstate's, niobium-based oxide semiconductors CuNb 2O6 and ZnNb2O6 were the first to be synthesized via solution combustion synthesis. Particular attention was placed on the crystal structures formed while using an oxalate niobium precursor during the reaction process. X-ray patterns yielded a multiphase structure for the ZnNb2O6 and a single phase structure for CuNb 2O6

  17. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    NASA Astrophysics Data System (ADS)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  18. Semi-transparent all-oxide ultraviolet light-emitting diodes based on ZnO/NiO-core/shell nanowires

    NASA Astrophysics Data System (ADS)

    Shi, Zhi-Feng; Xu, Ting-Ting; Wu, Di; Zhang, Yuan-Tao; Zhang, Bao-Lin; Tian, Yong-Tao; Li, Xin-Jian; Du, Guo-Tong

    2016-05-01

    Semi-transparent all-oxide light-emitting diodes based on ZnO/NiO-core/shell nanowire structures were prepared on double-polished c-Al2O3 substrates. The entire heterojunction diode showed an average transparency of ~65% in the ultraviolet and visible regions. Under forward bias, the diode displayed an intense ultraviolet emission at ~382 nm, and its electroluminescence performance was remarkable in terms of a low emission onset, acceptable operating stability, and the ability to optically excite emissive semiconductor nanoparticle chromophores.Semi-transparent all-oxide light-emitting diodes based on ZnO/NiO-core/shell nanowire structures were prepared on double-polished c-Al2O3 substrates. The entire heterojunction diode showed an average transparency of ~65% in the ultraviolet and visible regions. Under forward bias, the diode displayed an intense ultraviolet emission at ~382 nm, and its electroluminescence performance was remarkable in terms of a low emission onset, acceptable operating stability, and the ability to optically excite emissive semiconductor nanoparticle chromophores. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07236k

  19. NiMn layered double hydroxide nanosheets/NiCo2O4 nanowires with surface rich high valence state metal oxide as an efficient electrocatalyst for oxygen evolution reaction

    NASA Astrophysics Data System (ADS)

    Yang, Liting; Chen, Lin; Yang, Dawen; Yu, Xu; Xue, Huaiguo; Feng, Ligang

    2018-07-01

    High valence transition metal oxide is significant for anode catalyst of proton membrane water electrolysis technique. Herein, we demonstrate NiMn layered double hydroxide nanosheets/NiCo2O4 nanowires hierarchical nanocomposite catalyst with surface rich high valence metal oxide as an efficient catalyst for oxygen evolution reaction. A low overpotential of 310 mV is needed to drive a 10 mA cm-2 with a Tafel slope of 99 mV dec-1, and a remarkable stability during 8 h is demonstrated in a chronoamperometry test. Theoretical calculation displays the change in the rate-determining step on the nanocomposite electrode in comparison to NiCo2O4 nanowires alone. It is found high valence Ni and Mn oxide in the catalyst system can efficiently facilitate the charge transport across the electrode/electrolyte interface. The enhanced electrical conductivity, more accessible active sites and synergistic effects between NiMn layered double hydroxide nanosheets and NiCo2O4 nanowires can account for the excellent oxygen evolution reaction. The catalytic performance is comparable to most of the best non-noble catalysts and IrO2 noble catalyst, indicating the promising applications in water-splitting technology. It is an important step in the development of hierarchical nanocomposites by surface valence state tuning as an alternative to noble metals for oxygen evolution reaction.

  20. Anisotropic-Strain-Induced Band Gap Engineering in Nanowire-Based Quantum Dots.

    PubMed

    Francaviglia, Luca; Giunto, Andrea; Kim, Wonjong; Romero-Gomez, Pablo; Vukajlovic-Plestina, Jelena; Friedl, Martin; Potts, Heidi; Güniat, Lucas; Tütüncüoglu, Gözde; Fontcuberta I Morral, Anna

    2018-04-11

    Tuning light emission in bulk and quantum structures by strain constitutes a complementary method to engineer functional properties of semiconductors. Here, we demonstrate the tuning of light emission of GaAs nanowires and their quantum dots up to 115 meV by applying strain through an oxide envelope. We prove that the strain is highly anisotropic and clearly results in a component along the NW longitudinal axis, showing good agreement with the equations of uniaxial stress. We further demonstrate that the strain strongly depends on the oxide thickness, the oxide intrinsic strain, and the oxide microstructure. We also show that ensemble measurements are fully consistent with characterizations at the single-NW level, further elucidating the general character of the findings. This work provides the basic elements for strain-induced band gap engineering and opens new avenues in applications where a band-edge shift is necessary.

  1. Nanowire CdS-CdTe solar cells with molybdenum oxide as contact

    DOE PAGES

    Dang, Hongmei; Singh, Vijay P.

    2015-10-06

    Using a 10 nm thick molybdenum oxide (MoO 3-x) layer as a transparent and low barrier contact to p-CdTe, we demonstrate nanowire CdS-CdTe solar cells with a power conversion efficiency of 11% under front side illumination. Annealing the as-deposited MoO 3 film in N2 resulted in a reduction of the cell’s series resistance, from 9.97 Ω/cm 2 to 7.69 Ω/cm 2, and increase in efficiency from 9.9% to 11%. Under illumination from the back, the MoO 3-x/Au side, the nanowire solar cells yielded Jsc of 21 mA/cm 2 and efficiency of 8.67%. Our results demonstrate use of a thin layermore » transition metal oxide as a potential way for a transparent back contact to nanowire CdS-CdTe solar cells. As a result, this work has implications toward enabling a novel superstrate structure nanowire CdS-CdTe solar cell on Al foil substrate by a low cost roll-to roll fabrication process.« less

  2. Compositional disorder and its effect on the thermoelectric performance of Zn₃P₂ nanowire-copper nanoparticle composites.

    PubMed

    Brockway, Lance; Vasiraju, Venkata; Vaddiraju, Sreeram

    2014-03-28

    Recent studies indicated that nanowire format of materials is ideal for enhancing the thermoelectric performance of materials. Most of these studies were performed using individual nanowires as the test elements. It is not currently clear whether bulk assemblies of nanowires replicate this enhanced thermoelectric performance of individual nanowires. Therefore, it is imperative to understand whether enhanced thermoelectric performance exhibited by individual nanowires can be extended to bulk assemblies of nanowires. It is also imperative to know whether the addition of metal nanoparticle to semiconductor nanowires can be employed for enhancing their thermoelectric performance further. Specifically, it is important to understand the effect of microstructure and composition on the thermoelectric performance on bulk compound semiconductor nanowire-metal nanoparticle composites. In this study, bulk composites composed of mixtures of copper nanoparticles with either unfunctionalized or 1,4-benzenedithiol (BDT) functionalized Zn₃P₂ nanowires were fabricated and analyzed for their thermoelectric performance. The results indicated that use of BDT functionalized nanowires for the fabrication of composites leads to interface-engineered composites that have uniform composition all across their cross-section. The interface engineering allows for increasing their Seebeck coefficients and electrical conductivities, relative to the Zn₃P₂ nanowire pellets. In contrast, the use of unfunctionalized Zn₃P₂ nanowires for the fabrication of composite leads to the formation of composites that are non-uniform in composition across their cross-section. Ultimately, the composites were found to have Zn₃P₂ nanowires interspersed with metal alloy nanoparticles. Such non-uniform composites exhibited very high electrical conductivities, but slightly lower Seebeck coefficients, relative to Zn₃P₂ nanowire pellets. These composites were found to show a very high zT of 0.23 at 770

  3. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Lei; Yan, Danhua; Shaffer, David W.

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  4. Improved Stability and Performance of Visible Photoelectrochemical Water Splitting on Solution-Processed Organic Semiconductor Thin Films by Ultrathin Metal Oxide Passivation

    DOE PAGES

    Wang, Lei; Yan, Danhua; Shaffer, David W.; ...

    2017-12-27

    Solution-processable organic semiconductors have potentials as visible photoelectrochemical (PEC) water splitting photoelectrodes due to their tunable small band gap and electronic energy levels, but they are typically limited by poor stability and photocatalytic activity. In this study, we demonstrate the direct visible PEC water oxidation on solution-processed organic semiconductor thin films with improved stability and performance by ultrathin metal oxide passivation layers. N-type fullerene-derivative thin films passivated by sub-2 nm ZnO via atomic layer deposition enabled the visible PEC water oxidation at wavelengths longer than 600 nm in harsh alkaline electrolyte environments with up to 30 μA/cm 2 photocurrents atmore » the thermodynamic water-oxidation equilibrium potential and the photoanode half-lifetime extended to ~1000 s. The systematic investigation reveals the enhanced water oxidation catalytic activity afforded by ZnO passivation and the charge tunneling governing the hole transfer through passivation layers. Further enhanced PEC performances were realized by improving the bottom ohmic contact to the organic semiconductor, achieving ~60 μA/cm 2 water oxidation photocurrent at the equilibrium potential, the highest values reported for organic semiconductor thin films to our knowledge. The improved stability and performance of passivated organic photoelectrodes and discovered design rationales provide useful guidelines for realizing the stable visible solar PEC water splitting based on organic semiconductor thin films.« less

  5. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    NASA Astrophysics Data System (ADS)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  6. Reconfigurable quadruple quantum dots in a silicon nanowire transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Betz, A. C., E-mail: ab2106@cam.ac.uk; Broström, M.; Gonzalez-Zalba, M. F.

    2016-05-16

    We present a reconfigurable metal-oxide-semiconductor multi-gate transistor that can host a quadruple quantum dot in silicon. The device consists of an industrial quadruple-gate silicon nanowire field-effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple-gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.

  7. Electronic Structures of Free-Standing Nanowires made from Indirect Bandgap Semiconductor Gallium Phosphide

    PubMed Central

    Liao, Gaohua; Luo, Ning; Chen, Ke-Qiu; Xu, H. Q.

    2016-01-01

    We present a theoretical study of the electronic structures of freestanding nanowires made from gallium phosphide (GaP)—a III-V semiconductor with an indirect bulk bandgap. We consider [001]-oriented GaP nanowires with square and rectangular cross sections, and [111]-oriented GaP nanowires with hexagonal cross sections. Based on tight binding models, both the band structures and wave functions of the nanowires are calculated. For the [001]-oriented GaP nanowires, the bands show anti-crossing structures, while the bands of the [111]-oriented nanowires display crossing structures. Two minima are observed in the conduction bands, while the maximum of the valence bands is always at the Γ-point. Using double group theory, we analyze the symmetry properties of the lowest conduction band states and highest valence band states of GaP nanowires with different sizes and directions. The band state wave functions of the lowest conduction bands and the highest valence bands of the nanowires are evaluated by spatial probability distributions. For practical use, we fit the confinement energies of the electrons and holes in the nanowires to obtain an empirical formula. PMID:27307081

  8. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  9. Metal-conductive polymer hybrid nanostructures: preparation and electrical properties of palladium-polyimidazole nanowires

    NASA Astrophysics Data System (ADS)

    Al-Hinai, Mariam; Hassanien, Reda; Watson, Scott M. D.; Wright, Nicholas G.; Houlton, Andrew; Horrocks, Benjamin R.

    2016-03-01

    A simple, convenient method for the formation of hybrid metal/conductive polymer nanostructures is described. Polyimidazole (PIm) has been templated on λ-DNA via oxidative polymerisation of imidazole using FeCl3 to produce conductive PIm/DNA nanowires. The PIm/DNA nanowires were decorated with Pd (Pd/PIm/DNA) by electroless reduction of {{{{PdCl}}}4}2- with NaBH4 in the presence of PIm/DNA; the choice of imidazole was motivated by the potential Pd(II) binding site at the pyridinic N atom. The formation of PIm/DNA and the presence of metallic Pd on Pd/PIm/DNA nanowires were verified by FTIR, UV-vis and XPS spectroscopy techniques. AFM studies show that the nanowires have diameters in the range 5-45 nm with a slightly greater mean diameter (17.1 ± 0.75 nm) for the Pd-decorated nanowires than the PIm/DNA nanowires (14.5 ± 0.89 nm). After incubation for 24 h in the polymerisation solution, the PIm/DNA nanowires show a smooth, uniform morphology, which is retained after decoration with Pd. Using a combination of scanned conductance microscopy, conductive AFM and two-terminal measurements we show that both types of nanowire are conductive and that it is possible to discriminate different possible mechanisms of transport. The conductivity of the Pd/PIm/DNA nanowires, (0.1-1.4 S cm-1), is comparable to the PIm/DNA nanowires (0.37 ± 0.029 S cm-1). In addition, the conductance of Pd/PIm/DNA nanowires exhibits Arrhenius behaviour (E a = 0.43 ± 0.02 eV) as a function of temperature in contrast to simple Pd/DNA nanowires. These results indicate that although the Pd crystallites on Pd/PIm/DNA nanowires decorate the PIm polymer, the major current pathway is through the polymer rather than the Pd.

  10. MOF-Based Membrane Encapsulated ZnO Nanowires for Enhanced Gas Sensor Selectivity.

    PubMed

    Drobek, Martin; Kim, Jae-Hun; Bechelany, Mikhael; Vallicari, Cyril; Julbe, Anne; Kim, Sang Sub

    2016-04-06

    Gas sensors are of a great interest for applications including toxic or explosive gases detection in both in-house and industrial environments, air quality monitoring, medical diagnostics, or control of food/cosmetic properties. In the area of semiconductor metal oxides (SMOs)-based sensors, a lot of effort has been devoted to improve the sensing characteristics. In this work, we report on a general methodology for improving the selectivity of SMOx nanowires sensors, based on the coverage of ZnO nanowires with a thin ZIF-8 molecular sieve membrane. The optimized ZnO@ZIF-8-based nanocomposite sensor shows markedly selective response to H2 in comparison with the pristine ZnO nanowires sensor, while showing the negligible sensing response to C7H8 and C6H6. This original MOF-membrane encapsulation strategy applied to nanowires sensor architecture pave the way for other complex 3D architectures and various types of applications requiring either gas or ion selectivity, such as biosensors, photo(catalysts), and electrodes.

  11. Transformation of bulk alloys to oxide nanowires

    NASA Astrophysics Data System (ADS)

    Lei, Danni; Benson, Jim; Magasinski, Alexandre; Berdichevsky, Gene; Yushin, Gleb

    2017-01-01

    One dimensional (1D) nanostructures offer prospects for enhancing the electrical, thermal, and mechanical properties of a broad range of functional materials and composites, but their synthesis methods are typically elaborate and expensive. We demonstrate a direct transformation of bulk materials into nanowires under ambient conditions without the use of catalysts or any external stimuli. The nanowires form via minimization of strain energy at the boundary of a chemical reaction front. We show the transformation of multimicrometer-sized particles of aluminum or magnesium alloys into alkoxide nanowires of tunable dimensions, which are converted into oxide nanowires upon heating in air. Fabricated separators based on aluminum oxide nanowires enhanced the safety and rate capabilities of lithium-ion batteries. The reported approach allows ultralow-cost scalable synthesis of 1D materials and membranes.

  12. A CMOS wireless biomolecular sensing system-on-chip based on polysilicon nanowire technology.

    PubMed

    Huang, C-W; Huang, Y-J; Yen, P-W; Tsai, H-H; Liao, H-H; Juang, Y-Z; Lu, S-S; Lin, C-T

    2013-11-21

    As developments of modern societies, an on-field and personalized diagnosis has become important for disease prevention and proper treatment. To address this need, in this work, a polysilicon nanowire (poly-Si NW) based biosensor system-on-chip (bio-SSoC) is designed and fabricated by a 0.35 μm 2-Poly-4-Metal (2P4M) complementary metal-oxide-semiconductor (CMOS) process provided by a commercialized semiconductor foundry. Because of the advantages of CMOS system-on-chip (SoC) technologies, the poly-Si NW biosensor is integrated with a chopper differential-difference amplifier (DDA) based analog-front-end (AFE), a successive approximation analog-to-digital converter (SAR ADC), and a microcontroller to have better sensing capabilities than a traditional Si NW discrete measuring system. In addition, an on-off key (OOK) wireless transceiver is also integrated to form a wireless bio-SSoC technology. This is pioneering work to harness the momentum of CMOS integrated technology into emerging bio-diagnosis technologies. This integrated technology is experimentally examined to have a label-free and low-concentration biomolecular detection for both Hepatitis B Virus DNA (10 fM) and cardiac troponin I protein (3.2 pM). Based on this work, the implemented wireless bio-SSoC has demonstrated a good biomolecular sensing characteristic and a potential for low-cost and mobile applications. As a consequence, this developed technology can be a promising candidate for on-field and personalized applications in biomedical diagnosis.

  13. Plasmonic lattice solitons in metallic nanowire materials

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swami, O. P., E-mail: omg1789@gmail.com; Kumar, Vijendra, E-mail: vsmedphysics@gmail.com; Nagar, A. K., E-mail: ajaya.nagar@gmail.com

    2016-05-06

    In this paper, we demonstrate theoretically that the plasmonic lattice solitons (PLSs) are formed in array of metallic nanowires embedded in Kerr-type material. The strong nonlinearity at metal surface, combined with the tight confinement of the guiding modes of the metallic nanowires, provide the main physical mechanism for balancing the creation of plasmonic lattice solitons and wave diffraction. We show that the PLSs are satisfied in a verity of plasmonic systems, which have important applications in nanophotonics and subwavelength optics.

  14. Spin-orbit qubit in a semiconductor nanowire.

    PubMed

    Nadj-Perge, S; Frolov, S M; Bakkers, E P A M; Kouwenhoven, L P

    2010-12-23

    Motion of electrons can influence their spins through a fundamental effect called spin-orbit interaction. This interaction provides a way to control spins electrically and thus lies at the foundation of spintronics. Even at the level of single electrons, the spin-orbit interaction has proven promising for coherent spin rotations. Here we implement a spin-orbit quantum bit (qubit) in an indium arsenide nanowire, where the spin-orbit interaction is so strong that spin and motion can no longer be separated. In this regime, we realize fast qubit rotations and universal single-qubit control using only electric fields; the qubits are hosted in single-electron quantum dots that are individually addressable. We enhance coherence by dynamically decoupling the qubits from the environment. Nanowires offer various advantages for quantum computing: they can serve as one-dimensional templates for scalable qubit registers, and it is possible to vary the material even during wire growth. Such flexibility can be used to design wires with suppressed decoherence and to push semiconductor qubit fidelities towards error correction levels. Furthermore, electrical dots can be integrated with optical dots in p-n junction nanowires. The coherence times achieved here are sufficient for the conversion of an electronic qubit into a photon, which can serve as a flying qubit for long-distance quantum communication.

  15. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  16. Nanowire structures and electrical devices

    DOEpatents

    Bezryadin, Alexey; Remeika, Mikas

    2010-07-06

    The present invention provides structures and devices comprising conductive segments and conductance constricting segments of a nanowire, such as metallic, superconducting or semiconducting nanowire. The present invention provides structures and devices comprising conductive nanowire segments and conductance constricting nanowire segments having accurately selected phases including crystalline and amorphous states, compositions, morphologies and physical dimensions, including selected cross sectional dimensions, shapes and lengths along the length of a nanowire. Further, the present invention provides methods of processing nanowires capable of patterning a nanowire to form a plurality of conductance constricting segments having selected positions along the length of a nanowire, including conductance constricting segments having reduced cross sectional dimensions and conductance constricting segments comprising one or more insulating materials such as metal oxides.

  17. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    NASA Astrophysics Data System (ADS)

    Held, Martin; Schießl, Stefan P.; Miehler, Dominik; Gannott, Florentina; Zaumseil, Jana

    2015-08-01

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfOx) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100-300 nF/cm2) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfOx dielectrics.

  18. Vertical InAs nanowire wrap gate transistors with f(t) > 7 GHz and f(max) > 20 GHz.

    PubMed

    Egard, M; Johansson, S; Johansson, A-C; Persson, K-M; Dey, A W; Borg, B M; Thelander, C; Wernersson, L-E; Lind, E

    2010-03-10

    In this letter we report on high-frequency measurements on vertically standing III-V nanowire wrap-gate MOSFETs (metal-oxide-semiconductor field-effect transistors). The nanowire transistors are fabricated from InAs nanowires that are epitaxially grown on a semi-insulating InP substrate. All three terminals of the MOSFETs are defined by wrap around contacts. This makes it possible to perform high-frequency measurements on the vertical InAs MOSFETs. We present S-parameter measurements performed on a matrix consisting of 70 InAs nanowire MOSFETs, which have a gate length of about 100 nm. The highest unity current gain cutoff frequency, f(t), extracted from these measurements is 7.4 GHz and the maximum frequency of oscillation, f(max), is higher than 20 GHz. This demonstrates that this is a viable technique for fabricating high-frequency integrated circuits consisting of vertical nanowires.

  19. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    NASA Astrophysics Data System (ADS)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  20. Transformation of bulk alloys to oxide nanowires.

    PubMed

    Lei, Danni; Benson, Jim; Magasinski, Alexandre; Berdichevsky, Gene; Yushin, Gleb

    2017-01-20

    One dimensional (1D) nanostructures offer prospects for enhancing the electrical, thermal, and mechanical properties of a broad range of functional materials and composites, but their synthesis methods are typically elaborate and expensive. We demonstrate a direct transformation of bulk materials into nanowires under ambient conditions without the use of catalysts or any external stimuli. The nanowires form via minimization of strain energy at the boundary of a chemical reaction front. We show the transformation of multimicrometer-sized particles of aluminum or magnesium alloys into alkoxide nanowires of tunable dimensions, which are converted into oxide nanowires upon heating in air. Fabricated separators based on aluminum oxide nanowires enhanced the safety and rate capabilities of lithium-ion batteries. The reported approach allows ultralow-cost scalable synthesis of 1D materials and membranes. Copyright © 2017, American Association for the Advancement of Science.

  1. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  2. X-ray Characterization of Oxide-based Magnetic Semiconductors

    NASA Astrophysics Data System (ADS)

    Idzerda, Yves

    2008-05-01

    Although the evidence for magnetic semiconductors (not simply semiconductors which are ferromagnetic) is compelling, there is much uncertainty in the mechanism for the polarization of the carriers, suggesting that it must be quite novel. Recent experimental evidence suggests that this mechanism is similar to the polaron percolation theory proposed by Kaminski and Das Sarma,ootnotetextKaminski and S. Das Sarma, Physical Review Letters 88, 247202 (2002). which was recently applied specifically to doped oxides by Coey et al.ootnotetextJ. M. D. Coey, M. Venkatesan, and C. B. Fitzgerald, Nature Materials 4, 173 (2005). where the ferromagnetism is driven by the percolation of polarons generated by defects or dopants. We have used X-ray absorption spectroscopy at the L-edges and K-edges for low concentrations transition metal (TM) doped magnetic oxides (including TiO2, La1-xSrxO3, HfO2, and In2O3). We have found that in most cases, the transition metal assumes a valence consistent with being at a substitutional, and not interstitial site. We have also measured the X-ray Magnetic Circular Dichroism spectra. Although these materials show strong bulk magnetization, we are unable to detect a robust dichroism feature associated with magnetic elements in the host semiconductor. In the cases where a dichroism signal was observed, it was very weak and could be ascribed to a distinct ferromagnetic phase (TM metal cluster, TM oxide particulate, etc.) separate from the host material. This fascinating absence of a dichroic signal and its significant substantiation of important features of the polaron percolation model may help to finally resolve the issue of ferromagnetism in magnetically doped oxides.

  3. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    NASA Astrophysics Data System (ADS)

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V-1 s-1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  4. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass.

    PubMed

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-08

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co 28.6 Fe 12.4 Ta 4.3 B 8.7 O 46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm 2  V -1  s -1 . Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  5. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    PubMed Central

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-an; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-01-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III–V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p–n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V−1 s−1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities. PMID:27929059

  6. Prediction of the thermal annealing of thick oxide metal-oxide-semiconductor dosimeters irradiated in a harsh radiation environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ravotti, F.; Glaser, M.; Saigne, F.

    Radiation-sensing metal-oxide-semiconductor field-effect transistors produced by the laboratory LAAS-CNRS were exposed to a harsh hadron field that represents the real radiation environment expected at the CERN Large Hadron Collider experiments. The long-term stability of the transistor's I{sub ds}-V{sub gs} characteristic was investigated using the isochronal annealing technique. In this work, devices exposed to high intensity hadron levels ({phi}{>=}10{sup 12} neutrons/cm{sup 2}) show evidences of displacement damages in the I{sub ds}-V{sub gs} annealing behavior. By comparing experimental and simulated results over 14 months, the isochronal annealing method, originally devoted to oxide trapped charge, is shown to enable prediction of the recoverymore » of silicon bulk defects.« less

  7. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  8. Synthesis Methods, Microscopy Characterization and Device Integration of Nanoscale Metal Oxide Semiconductors for Gas Sensing

    PubMed Central

    Vander Wal, Randy L.; Berger, Gordon M.; Kulis, Michael J.; Hunter, Gary W.; Xu, Jennifer C.; Evans, Laura

    2009-01-01

    A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. Both nanostructures possess a one-dimensional morphology. Different synthesis methods are used to produce these materials: thermal evaporation-condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed. Practical issues associated with harvesting, purification, and integration of these materials into sensing devices are detailed. For comparison to the nascent form, these sensing materials are surface coated with Pd and Pt nanoparticles. Gas sensing tests, with respect to H2, are conducted at ambient and elevated temperatures. Comparative normalized responses and time constants for the catalyst and noncatalyst systems provide a basis for identification of the superior metal-oxide nanostructure and catalyst combination. With temperature-dependent data, Arrhenius analyses are made to determine activation energies for the catalyst-assisted systems. PMID:22408484

  9. Inversion channel diamond metal-oxide-semiconductor field-effect transistor with normally off characteristics.

    PubMed

    Matsumoto, Tsubasa; Kato, Hiromitsu; Oyama, Kazuhiro; Makino, Toshiharu; Ogura, Masahiko; Takeuchi, Daisuke; Inokuma, Takao; Tokuda, Norio; Yamasaki, Satoshi

    2016-08-22

    We fabricated inversion channel diamond metal-oxide-semiconductor field-effect transistors (MOSFETs) with normally off characteristics. At present, Si MOSFETs and insulated gate bipolar transistors (IGBTs) with inversion channels are widely used because of their high controllability of electric power and high tolerance. Although a diamond semiconductor is considered to be a material with a strong potential for application in next-generation power devices, diamond MOSFETs with an inversion channel have not yet been reported. We precisely controlled the MOS interface for diamond by wet annealing and fabricated p-channel and planar-type MOSFETs with phosphorus-doped n-type body on diamond (111) substrate. The gate oxide of Al2O3 was deposited onto the n-type diamond body by atomic layer deposition at 300 °C. The drain current was controlled by the negative gate voltage, indicating that an inversion channel with a p-type character was formed at a high-quality n-type diamond body/Al2O3 interface. The maximum drain current density and the field-effect mobility of a diamond MOSFET with a gate electrode length of 5 μm were 1.6 mA/mm and 8.0 cm(2)/Vs, respectively, at room temperature.

  10. Palladium Coated Copper Nanowires as a Hydrogen Oxidation Electrocatalyst in Base

    DOE PAGES

    Alia, Shaun M.; Yan, Yushan

    2015-05-09

    The palladium (Pd) nanotubes we synthesized by the spontaneous galvanic displacement of copper (Cu) nanowires, are forming extended surface nanostructures highly active for the hydrogen oxidation reaction (HOR) in base. The synthesized catalysts produce specific activities in rotating disk electrode half-cells 20 times greater than Pd nanoparticles and about 80% higher than polycrystalline Pd. Although the surface area of the Pd nanotubes was low compared to conventional catalysts, partial galvanic displacement thrifted the noble metal layer and increased the Pd surface area. Moreover, the use of Pd coated Cu nanowires resulted in a HOR mass exchange current density 7 timesmore » greater than the Pd nanoparticles. The activity of the Pd coated Cu nanowires further nears Pt/C, producing 95% of the mass activity.« less

  11. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    PubMed

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  12. Fabrication of Smooth Patterned Structures of Refractory Metals, Semiconductors, and Oxides via Template Stripping

    PubMed Central

    2013-01-01

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics. PMID:24001174

  13. Electrically coupling complex oxides to semiconductors: A route to novel material functionalities

    DOE PAGES

    Ngai, J. H.; Ahmadi-Majlan, K.; Moghadam, J.; ...

    2017-01-12

    Complex oxides and semiconductors exhibit distinct yet complementary properties owing to their respective ionic and covalent natures. By electrically coupling complex oxides to traditional semiconductors within epitaxial heterostructures, enhanced or novel functionalities beyond those of the constituent materials can potentially be realized. Essential to electrically coupling complex oxides to semiconductors is control of the physical structure of the epitaxially grown oxide, as well as the electronic structure of the interface. In this paper, we discuss how composition of the perovskite A- and B-site cations can be manipulated to control the physical and electronic structure of semiconductor—complex oxide heterostructures. Two prototypicalmore » heterostructures, Ba 1-xSr xTiO 3/Ge and SrZr xTi 1-xO 3/Ge, will be discussed. In the case of Ba 1-xSr xTiO 3/Ge, we discuss how strain can be engineered through A-site composition to enable the re-orientable ferroelectric polarization of the former to be coupled to carriers in the semiconductor. In the case of SrZr xTi 1-xO 3/Ge we discuss how B-site composition can be exploited to control the band offset at the interface. Finally, analogous to heterojunctions between compound semiconducting materials, control of band offsets, i.e., band-gap engineering, provides a pathway to electrically couple complex oxides to semiconductors to realize a host of functionalities.« less

  14. Electrically coupling complex oxides to semiconductors: A route to novel material functionalities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ngai, J. H.; Ahmadi-Majlan, K.; Moghadam, J.

    Complex oxides and semiconductors exhibit distinct yet complementary properties owing to their respective ionic and covalent natures. By electrically coupling complex oxides to traditional semiconductors within epitaxial heterostructures, enhanced or novel functionalities beyond those of the constituent materials can potentially be realized. Essential to electrically coupling complex oxides to semiconductors is control of the physical structure of the epitaxially grown oxide, as well as the electronic structure of the interface. In this paper, we discuss how composition of the perovskite A- and B-site cations can be manipulated to control the physical and electronic structure of semiconductor—complex oxide heterostructures. Two prototypicalmore » heterostructures, Ba 1-xSr xTiO 3/Ge and SrZr xTi 1-xO 3/Ge, will be discussed. In the case of Ba 1-xSr xTiO 3/Ge, we discuss how strain can be engineered through A-site composition to enable the re-orientable ferroelectric polarization of the former to be coupled to carriers in the semiconductor. In the case of SrZr xTi 1-xO 3/Ge we discuss how B-site composition can be exploited to control the band offset at the interface. Finally, analogous to heterojunctions between compound semiconducting materials, control of band offsets, i.e., band-gap engineering, provides a pathway to electrically couple complex oxides to semiconductors to realize a host of functionalities.« less

  15. Optically controllable nanobreaking of metallic nanowires

    NASA Astrophysics Data System (ADS)

    Zhou, Lina; Lu, Jinsheng; Yang, Hangbo; Luo, Si; Wang, Wei; Lv, Jun; Qiu, Min; Li, Qiang

    2017-02-01

    Nanobreaking of nanowires has shown its necessity for manufacturing integrated nanodevices as nanojoining does. In this letter, we develop a method for breaking gold pentagonal nanowires by taking advantage of the photothermal effect with a 532 nm continuous-wave (CW) laser. The critical power required for nanobreaking is much lower for perpendicular polarization than that for parallel polarization. By controlling the polarization and the power of the irradiation light for nanobreaking, the nanowires can be cut into segments with gap widths ranging from dozens of nanometers to several micrometers. This CW light-induced single point nanobreaking of metallic nanowires provides a highly useful and promising method in constructing nanosystems.

  16. Metal-insulator-semiconductor capacitors with bismuth oxide as insulator

    NASA Astrophysics Data System (ADS)

    Raju, T. A.; Talwai, A. S.

    1981-07-01

    Metal-insulator-semiconductor capacitors using aluminum Bi2O3 and silicon have been studied for varactor applications. Reactively sputtered Bi2O3 films which under suitable proportions of oxygen and argon and had high resistivity suitable for device applications showed a dielectric constant of 25.

  17. Solution-Based Electro-Orientation Spectroscopy (EOS) for Contactless Measurement of Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Yuan, Wuhan; Mohabir, Amar; Tutuncuoglu, Gozde; Filler, Michael; Feldman, Leonard; Shan, Jerry

    2017-11-01

    Solution-based, contactless methods for determining the electrical conductivity of nanowires and nanotubes have unique advantages over conventional techniques in terms of high throughput and compatibility with further solution-based processing and assembly methods. Here, we describe the solution-based electro-orientation spectroscopy (EOS) method, in which nanowire conductivity is measured from the AC-electric-field-induced alignment rate of the nanowire in a suspending fluid. The particle conductivity is determined from the measured crossover frequency between conductivity-dominated, low-frequency alignment to the permittivity-dominated, high-frequency regime. We discuss the extension of the EOS measurement range by an order-of-magnitude, taking advantage of the high dielectric constant of deionized water. With water and other fluids, we demonstrate that EOS can quantitatively characterize the electrical conductivities of nanowires over a 7-order-of-magnitude range, 10-5 to 102 S/m. We highlight the efficiency and utility of EOS for nanomaterial characterization by statistically characterizing the variability of semiconductor nanowires of the same nominal composition, and studying the connection between synthesis parameters and properties. NSF CBET-1604931.

  18. Structural Flexibility and Alloying in Ultrathin Transition-Metal Chalcogenide Nanowires

    DOE PAGES

    Lin, Junhao; Zhang, Yuyang; Zhou, Wu; ...

    2016-01-18

    Metallic transition-metal chalcogenide (TMC) nanowires are an important building block for 2D electronics that may be fabricated within semiconducting transition-metal dichalcogenide (TMDC) monolayers. Tuning the geometric structure and electronic properties of such nanowires is a promising way to pattern diverse functional channels for wiring multiple units inside a 2D electronic circuit. Nevertheless, few experimental investigations have been reported exploring the structural and compositional tunability of these nanowires, due to difficulties in manipulating the structure and chemical composition of an individual nanowire. Here, using a combination of scanning transmission electron microscopy (STEM) and density functional theory (DFT), we report that TMCmore » nanowires have substantial intrinsic structural flexibility and their chemical composition can be manipulated.« less

  19. Oxidation of InP nanowires: a first principles molecular dynamics study.

    PubMed

    Berwanger, Mailing; Schoenhalz, Aline L; Dos Santos, Cláudia L; Piquini, Paulo

    2016-11-16

    InP nanowires are candidates for optoelectronic applications, and as protective capping layers of III-V core-shell nanowires. Their surfaces are oxidized under ambient conditions which affects the nanowire physical properties. The majority of theoretical studies of InP nanowires, however, do not take into account the oxide layer at their surfaces. In this work we use first principles molecular dynamics electronic structure calculations to study the first steps in the oxidation process of a non-saturated InP nanowire surface as well as the properties of an already oxidized surface of an InP nanowire. Our calculations show that the O 2 molecules dissociate through several mechanisms, resulting in incorporation of O atoms into the surface layers. The results confirm the experimental observation that the oxidized layers become amorphous but the non-oxidized core layers remain crystalline. Oxygen related bonds at the oxidized layers introduce defective levels at the band gap region, with greater contributions from defects involving In-O and P-O bonds.

  20. Piezo-Phototronic Matrix via a Nanowire Array.

    PubMed

    Zhang, Yang; Zhai, Junyi; Wang, Zhong Lin

    2017-12-01

    Piezoelectric semiconductors, such as ZnO and GaN, demonstrate multiproperty coupling effects toward various aspects of mechanical, electrical, and optical excitation. In particular, the three-way coupling among semiconducting, photoexcitation, and piezoelectric characteristics in wurtzite-structured semiconductors is established as a new field, which was first coined as piezo-phototronics by Wang in 2010. The piezo-phototronic effect can controllably modulate the charge-carrier generation, separation, transport, and/or recombination in optical-electronic processes by modifying the band structure at the metal-semiconductor or semiconductor-semiconductor heterojunction/interface. Here, the progress made in using the piezo-phototronic effect for enhancing photodetectors, pressure sensors, light-emitting diodes, and solar cells is reviewed. In comparison with previous works on a single piezoelectric semiconducting nanowire, piezo-phototronic nanodevices built using nanowire arrays provide a promising platform for fabricating integrated optoelectronics with the realization of high-spatial-resolution imaging and fast responsivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Thermally activated charge transport in microbial protein nanowires

    PubMed Central

    Lampa-Pastirk, Sanela; Veazey, Joshua P.; Walsh, Kathleen A.; Feliciano, Gustavo T.; Steidl, Rebecca J.; Tessmer, Stuart H.; Reguera, Gemma

    2016-01-01

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors. PMID:27009596

  2. Thermally activated charge transport in microbial protein nanowires

    NASA Astrophysics Data System (ADS)

    Lampa-Pastirk, Sanela; Veazey, Joshua P.; Walsh, Kathleen A.; Feliciano, Gustavo T.; Steidl, Rebecca J.; Tessmer, Stuart H.; Reguera, Gemma

    2016-03-01

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors.

  3. Thermally activated charge transport in microbial protein nanowires.

    PubMed

    Lampa-Pastirk, Sanela; Veazey, Joshua P; Walsh, Kathleen A; Feliciano, Gustavo T; Steidl, Rebecca J; Tessmer, Stuart H; Reguera, Gemma

    2016-03-24

    The bacterium Geobacter sulfurreducens requires the expression of conductive protein filaments or pili to respire extracellular electron acceptors such as iron oxides and uranium and to wire electroactive biofilms, but the contribution of the protein fiber to charge transport has remained elusive. Here we demonstrate efficient long-range charge transport along individual pili purified free of metal and redox organic cofactors at rates high enough to satisfy the respiratory rates of the cell. Carrier characteristics were within the orders reported for organic semiconductors (mobility) and inorganic nanowires (concentration), and resistivity was within the lower ranges reported for moderately doped silicon nanowires. However, the pilus conductance and the carrier mobility decreased when one of the tyrosines of the predicted axial multistep hopping path was replaced with an alanine. Furthermore, low temperature scanning tunneling microscopy demonstrated the thermal dependence of the differential conductance at the low voltages that operate in biological systems. The results thus provide evidence for thermally activated multistep hopping as the mechanism that allows Geobacter pili to function as protein nanowires between the cell and extracellular electron acceptors.

  4. Nickel-copper oxide nanowires for highly sensitive sensing of glucose

    NASA Astrophysics Data System (ADS)

    Bai, Xiaofang; Chen, Wei; Song, Yanfang; Zhang, Jiazhou; Ge, Ruipeng; Wei, Wei; Jiao, Zheng; Sun, Yuhan

    2017-10-01

    Accurate determination of glucose is of considerable importance in diverse fields such as clinical diagnostics, biotechnology, and food industry. A low-cost and easy to scale-up approach has been developed for the preparation of nickel-copper oxide nanowires (Ni-CuO NWs) with hierarchical structures comprising porous NiO substrate and CuO nanowires. The successfully prepared Ni-CuO NWs were exploited as non-enzymatic electrochemical sensing probes for the reliable detection of glucose. Electrochemical measurements such as cyclic voltammetry (CV) and chronoamperometry (CA) illustrated that the Ni-CuO NWs exhibited excellent electrochemical performance toward glucose oxidation with a superior sensitivity of 5610.6 μA mM-1 cm-2, a low detection limit of 0.07 μM, a wide linear range from 0.2 to 3.0 mM, and a good selectivity. This was attributed to the synergetic effect of the hierarchical structures and active Ni(OH)2 surface species in Ni-CuO NWs. The rational design of the metal oxide composites provided an efficient strategy for the fabrication of electrochemical non-enzymatic sensors.

  5. Tuning Rashba spin-orbit coupling in homogeneous semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Wójcik, Paweł; Bertoni, Andrea; Goldoni, Guido

    2018-04-01

    We use k .p theory to estimate the Rashba spin-orbit coupling (SOC) in large semiconductor nanowires. We specifically investigate GaAs- and InSb-based devices with different gate configurations to control symmetry and localization of the electron charge density. We explore gate-controlled SOC for wires of different size and doping, and we show that in high carrier density SOC has a nonlinear electric field susceptibility, due to large reshaping of the quantum states. We analyze recent experiments with InSb nanowires in light of our calculations. Good agreement is found with the SOC coefficients reported in Phys. Rev. B 91, 201413(R) (2015), 10.1103/PhysRevB.91.201413, but not with the much larger values reported in Nat. Commun. 8, 478 (2017), 10.1038/s41467-017-00315-y. We discuss possible origins of this discrepancy.

  6. Visible light water splitting using dye-sensitized oxide semiconductors.

    PubMed

    Youngblood, W Justin; Lee, Seung-Hyun Anna; Maeda, Kazuhiko; Mallouk, Thomas E

    2009-12-21

    Researchers are intensively investigating photochemical water splitting as a means of converting solar to chemical energy in the form of fuels. Hydrogen is a key solar fuel because it can be used directly in combustion engines or fuel cells, or combined catalytically with CO(2) to make carbon containing fuels. Different approaches to solar water splitting include semiconductor particles as photocatalysts and photoelectrodes, molecular donor-acceptor systems linked to catalysts for hydrogen and oxygen evolution, and photovoltaic cells coupled directly or indirectly to electrocatalysts. Despite several decades of research, solar hydrogen generation is efficient only in systems that use expensive photovoltaic cells to power water electrolysis. Direct photocatalytic water splitting is a challenging problem because the reaction is thermodynamically uphill. Light absorption results in the formation of energetic charge-separated states in both molecular donor-acceptor systems and semiconductor particles. Unfortunately, energetically favorable charge recombination reactions tend to be much faster than the slow multielectron processes of water oxidation and reduction. Consequently, visible light water splitting has only recently been achieved in semiconductor-based photocatalytic systems and remains an inefficient process. This Account describes our approach to two problems in solar water splitting: the organization of molecules into assemblies that promote long-lived charge separation, and catalysis of the electrolysis reactions, in particular the four-electron oxidation of water. The building blocks of our artificial photosynthetic systems are wide band gap semiconductor particles, photosensitizer and electron relay molecules, and nanoparticle catalysts. We intercalate layered metal oxide semiconductors with metal nanoparticles. These intercalation compounds, when sensitized with [Ru(bpy)(3)](2+) derivatives, catalyze the photoproduction of hydrogen from sacrificial

  7. Self-assembly of metal nanowires induced by alternating current electric fields

    NASA Astrophysics Data System (ADS)

    García-Sánchez, Pablo; Arcenegui, Juan J.; Morgan, Hywel; Ramos, Antonio

    2015-01-01

    We describe the reversible assembly of an aqueous suspension of metal nanowires into two different 2-dimensional stable configurations. The assembly is induced by an AC electric field of magnitude around 10 kV/m. It is known that single metal nanowires orientate parallel to the electric field for all values of applied frequency, according to two different mechanisms depending on the frequency. These different mechanisms also govern the mutual interaction between nanowires, which leads to directed-assembly into distinctive structures, the shape of which depends on the frequency of the applied field. We show that for frequencies higher than the typical frequency for charging the electrical double layer at the metal-electrolyte interface, dipole-dipole interaction leads to the formation of chains of nanowires. For lower frequencies, the nanowires form wavy bands perpendicular to the electric field direction. This behavior appears to be driven by the electroosmotic flow induced on the metal surface of the nanowires. Remarkably, no similar structures have been reported in previous studies of nanowires.

  8. Ultrathin Tungsten Oxide Nanowires/Reduced Graphene Oxide Composites for Toluene Sensing

    PubMed Central

    Hassan, Muhammad; Wang, Zhi-Hua; Huang, Wei-Ran; Li, Min-Qiang; Chen, Jia-Fu

    2017-01-01

    Graphene-based composites have gained great attention in the field of gas sensor fabrication due to their higher surface area with additional functional groups. Decorating one-dimensional (1D) semiconductor nanomaterials on graphene also show potential benefits in gas sensing applications. Here we demonstrate the one-pot and low cost synthesis of W18O49 NWs/rGO composites with different amount of reduced graphene oxide (rGO) which show excellent gas-sensing properties towards toluene and strong dependence on their chemical composition. As compared to pure W18O49 NWs, an improved gas sensing response (2.8 times higher) was achieved in case of W18O49 NWs composite with 0.5 wt. % rGO. Promisingly, this strategy can be extended to prepare other nanowire based composites with excellent gas-sensing performance. PMID:28961178

  9. One-Dimensional Nanostructures and Devices of II–V Group Semiconductors

    PubMed Central

    2009-01-01

    The II–V group semiconductors, with narrow band gaps, are important materials with many applications in infrared detectors, lasers, solar cells, ultrasonic multipliers, and Hall generators. Since the first report on trumpet-like Zn3P2nanowires, one-dimensional (1-D) nanostructures of II–V group semiconductors have attracted great research attention recently because these special 1-D nanostructures may find applications in fabricating new electronic and optoelectronic nanoscale devices. This article covers the 1-D II–V semiconducting nanostructures that have been synthesized till now, focusing on nanotubes, nanowires, nanobelts, and special nanostructures like heterostructured nanowires. Novel electronic and optoelectronic devices built on 1-D II–V semiconducting nanostructures will also be discussed, which include metal–insulator-semiconductor field-effect transistors, metal-semiconductor field-effect transistors, andp–nheterojunction photodiode. We intent to provide the readers a brief account of these exciting research activities. PMID:20596452

  10. Zinc oxide nanowire gamma ray detector with high spatiotemporal resolution

    NASA Astrophysics Data System (ADS)

    Mayo, Daniel C.; Nolen, J. Ryan; Cook, Andrew; Mu, Richard R.; Haglund, Richard F.

    2016-03-01

    Conventional scintillation detectors are typically single crystals of heavy-metal oxides or halides doped with rare-earth ions that record the recombination of electron-hole pairs by photon emission in the visible to ultraviolet. However, the light yields are typically low enough to require photomultiplier detection with the attendant instrumental complications. Here we report initial studies of gamma ray detection by zinc oxide (ZnO) nanowires, grown by vapor-solid deposition. The nanowires grow along the c-axis in a wurtzite structure; they are typically 80 nm in diameter and have lengths of 1- 2 μm. The nanowires are single crystals of high quality, with a photoluminescence (PL) yield from band-edge exciton emission in the ultraviolet that is typically one hundred times larger than the PL yield from defect centers in the visible. Nanowire ensembles were irradiated by 662 keV gamma rays from a Cs-137 source for periods of up to ten hours; gamma rays in this energy range interact by Compton scattering, which in ZnO creates F+ centers that relax to form singly-charged positive oxygen vacancies. Following irradiation, we fit the PL spectra of the visible emission with a sum of Gaussians at the energies of the known defects. We find highly efficient PL from the irradiated area, with a figure of merit approaching 106 photons/s/MeV of deposited energy. Over a period of days, the singly charged O+ vacancies relax to the more stable doubly charged O++ vacancies. However, the overall defect PL returns to pre-irradiation values after about a week, as the vacancies diffuse to the surface of these very thin nanowires, indicating that a self-healing process restores the nanowires to their original state.

  11. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states atmore » the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.« less

  12. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  13. Selective CO{sub 2} reduction conjugated with H{sub 2}O oxidation utilizing semiconductor/metal-complex hybrid photocatalysts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morikawa, T., E-mail: morikawa@mosk.tytlabs.co.jp; Sato, S., E-mail: morikawa@mosk.tytlabs.co.jp; Arai, T., E-mail: morikawa@mosk.tytlabs.co.jp

    2013-12-10

    We developed a new hybrid photocatalyst for CO{sub 2} reduction, which is composed of a semiconductor and a metal complex. In the hybrid photocatalyst, ΔG between the position of conduction band minimum (E{sub CBM}) of the semiconductor and the CO{sub 2} reduction potential of the complex is an essential factor for realizing fast electron transfer from the conduction band of semiconductor to metal complex leading to high photocatalytic activity. On the basis of this concept, the hybrid photocatalyst InP/Ru-complex, which functions in aqueous media, was developed. The photoreduction of CO{sub 2} to formate using water as an electron donor andmore » a proton source was successfully achieved as a Z-scheme system by functionally conjugating the InP/Ru-complex photocatalyst for CO{sub 2} reduction with a TiO{sub 2} photocatalyst for water oxidation. The conversion efficiency from solar energy to chemical energy was ca. 0.04%, which approaches that for photosynthesis in a plant. Because this system can be applied to many other inorganic semiconductors and metal-complex catalysts, the efficiency and reaction selectivity can be enhanced by optimization of the electron transfer process including the energy-band configurations, conjugation conformations, and catalyst structures. This electrical-bias-free reaction is a huge leap forward for future practical applications of artificial photosynthesis under solar irradiation to produce organic species.« less

  14. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  15. Heterogeneous integration of low-temperature metal-oxide TFTs

    NASA Astrophysics Data System (ADS)

    Schuette, Michael L.; Green, Andrew J.; Leedy, Kevin D.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-02-01

    The breadth of circuit fabrication opportunities enabled by metal-oxide thin-film transistors (MO-TFTs) is unprecedented. Large-area deposition techniques and high electron mobility are behind their adoption in the display industry, and substrate agnosticism and low process temperatures enabled the present wave of flexible electronics research. Reports of circuits involving complementaryMO-TFTs, oxide-organic hybrid combinations, and even MO-TFTs integrated onto Si LSI back end of line interconnects demonstrate this technology's utility in 2D and 3D monolithic heterogeneous integration (HI). In addition to a brief literature review focused on functional HI between MO-TFTs and a variety of dissimilar active devices, we share progress toward integrating MO-TFTs with compound semiconductor devices, namely GaN HEMTs. A monolithically integrated cascode topology was used to couple a HEMT's >200 V breakdown characteristic with the gate driving characteristic of an IGZO TFT, effectively shifting the HEMT threshold voltage from -3 V to +1 V.

  16. 25th anniversary article: semiconductor nanowires--synthesis, characterization, and applications.

    PubMed

    Dasgupta, Neil P; Sun, Jianwei; Liu, Chong; Brittman, Sarah; Andrews, Sean C; Lim, Jongwoo; Gao, Hanwei; Yan, Ruoxue; Yang, Peidong

    2014-04-09

    Semiconductor nanowires (NWs) have been studied extensively for over two decades for their novel electronic, photonic, thermal, electrochemical and mechanical properties. This comprehensive review article summarizes major advances in the synthesis, characterization, and application of these materials in the past decade. Developments in the understanding of the fundamental principles of "bottom-up" growth mechanisms are presented, with an emphasis on rational control of the morphology, stoichiometry, and crystal structure of the materials. This is followed by a discussion of the application of nanowires in i) electronic, ii) sensor, iii) photonic, iv) thermoelectric, v) photovoltaic, vi) photoelectrochemical, vii) battery, viii) mechanical, and ix) biological applications. Throughout the discussion, a detailed explanation of the unique properties associated with the one-dimensional nanowire geometry will be presented, and the benefits of these properties for the various applications will be highlighted. The review concludes with a brief perspective on future research directions, and remaining barriers which must be overcome for the successful commercial application of these technologies. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Platinum Nickel Nanowires as Methanol Oxidation Electrocatalysts

    DOE PAGES

    Alia, Shaun M.; Pylypenko, Svitlana; Neyerlin, Kenneth C.; ...

    2015-08-27

    We investigated platinum(Pt) nickel (Ni) nanowires (PtNiNWs) as methanol oxidation reaction (MOR) catalysts in rotating disk electrode (RDE) half-cells under acidic conditions. Pt-ruthenium (Ru) nanoparticles have long been the state of the art MOR catalyst for direct methanol fuel cells (DMFCs) where Ru provides oxophilic sites, lowering the potential for carbon monoxide oxidation and the MOR onset. Ru, however, is a precious metal that has long term durability concerns. Ni/Ni oxide species offer a potential to replace Ru in MOR electrocatalysis. PtNiNWs were investigated for MOR and oxygen annealing was investigated as a route to improve catalyst performance (mass activitymore » 65% greater) and stability to potential cycling. Our results presented show that PtNiNWs offer significant promise in the area, but also result in Ni ion leaching that is a concern requiring further evaluation in fuel cells.« less

  18. Estimation of carrier mobility and charge behaviors of organic semiconductor films in metal-insulator-semiconductor diodes consisting of high-k oxide/organic semiconductor double layers

    NASA Astrophysics Data System (ADS)

    Chosei, Naoya; Itoh, Eiji

    2018-02-01

    We have comparatively studied the charge behaviors of organic semiconductor films based on charge extraction by linearly increasing voltage in a metal-insulator-semiconductor (MIS) diode structure (MIS-CELIV) and by classical capacitance-voltage measurement. The MIS-CELIV technique allows the selective measurement of electron and hole mobilities of n- and p-type organic films with thicknesses representative of those of actual devices. We used an anodic oxidized sputtered Ta or Hf electrode as a high-k layer, and it effectively blocked holes at the insulator/semiconductor interface. We estimated the hole mobilities of the polythiophene derivatives regioregular poly(3-hexylthiophene) (P3HT) and poly(3,3‧‧‧-didodecylquarterthiophene) (PQT-12) before and after heat treatment in the ITO/high-k/(thin polymer insulator)/semiconductor/MoO3/Ag device structure. The hole mobility of PQT-12 was improved from 1.1 × 10-5 to 2.1 × 10-5 cm2 V-1 s-1 by the heat treatment of the device at 100 °C for 30 min. An almost two orders of magnitude higher mobility was obtained in MIS diodes with P3HT as the p-type layer. We also determined the capacitance from the displacement current in MIS diodes at a relatively low-voltage sweep, and it corresponded well to the classical capacitance-voltage and frequency measurement results.

  19. Semiconductor-insulator transition in a YbB6 nanowire with boron vacancy

    NASA Astrophysics Data System (ADS)

    Han, Wei; Wang, Zhen; Li, Qidong; Lian, Xin; Liu, Xudong; Fan, Qinghua; Zhao, Yanming

    2018-06-01

    In this paper, we report the study of transport and magnetic properties of ytterbium hexaboride (YbB6) nanowires grown by a low trigger-temperature (200-240 °C) solid state method. The temperature dependence of resistivity shows that the YbB6 nanowire undergoes a semiconductor-insulator transition (SIT) below 20 K with an activation energy ΔE of 1 meV. The value of ρ at 2 K reaches 49 times the value of ρ at 300 K (ρ2 K/ρ300 K = 49). The observed non-saturating magnetoresistance (MR) has a linear relationship with B2. The anomalous electronic transport in the YbB6 nanowire can be explained by the mixed valence of Yb ions due to the boron deficiency supporting by the X-ray photoelectron spectroscopy (XPS) and paramagnetic magnetization.

  20. Modification of SiO2 nanowires with metallic nanocrystals from supercritical CO2.

    PubMed

    Ye, Xiang-Rong; Zhang, Hai-Feng; Lin, Yuehe; Wang, Lai-Sheng; Wai, Chien M

    2004-01-01

    Through hydrogen reduction of metal precursors in supercritical CO2, Cu, and Pd, nanocrystals were deposited onto SiO2 nanowires to form different types of nanostructured materials, including nanocrystal-nanowire, spherical aggregation-nanowire, shell-nanowire composites, and "mesoporous" metals supported by the framework of nanowires. This supercritical fluid deposition technique is an attractive approach for modifying nanowires because of its generality and simplicity; the modified nanowires could be useful as catalysts and for further fabrication of multifunctional composites.

  1. A molybdenum disulfide/carbon nanotube heterogeneous complementary inverter.

    PubMed

    Huang, Jun; Somu, Sivasubramanian; Busnaina, Ahmed

    2012-08-24

    We report a simple, bottom-up/top-down approach for integrating drastically different nanoscale building blocks to form a heterogeneous complementary inverter circuit based on layered molybdenum disulfide and carbon nanotube (CNT) bundles. The fabricated CNT/MoS(2) inverter is composed of n-type molybdenum disulfide (MOS(2)) and p-type CNT transistors, with a high voltage gain of 1.3. The CNT channels are fabricated using directed assembly while the layered molybdenum disulfide channels are fabricated by mechanical exfoliation. This bottom-up fabrication approach for integrating various nanoscale elements with unique characteristics provides an alternative cost-effective methodology to complementary metal-oxide-semiconductors, laying the foundation for the realization of high performance logic circuits.

  2. Ion-beam-induced bending of semiconductor nanowires.

    PubMed

    Hanif, Imran; Camara, Osmane; Tunes, Matheus A; Harrison, Robert W; Greaves, Graeme; Donnelly, Stephen E; Hinks, Jonathan A

    2018-08-17

    The miniaturisation of technology increasingly requires the development of both new structures as well as novel techniques for their manufacture and modification. Semiconductor nanowires (NWs) are a prime example of this and as such have been the subject of intense scientific research for applications ranging from microelectronics to nano-electromechanical devices. Ion irradiation has long been a key processing step for semiconductors and the natural extension of this technique to the modification of semiconductor NWs has led to the discovery of ion beam-induced deformation effects. In this work, transmission electron microscopy with in situ ion bombardment has been used to directly observe the evolution of individual silicon and germanium NWs under irradiation. Silicon NWs were irradiated with either 6 keV neon ions or xenon ions at 5, 7 or 9.5 keV with a flux of 3 × 10 13 ions cm -2 s -1 . Germanium NWs were irradiated with 30 or 70 keV xenon ions with a flux of 10 13 ions cm -2 s -1 . These new results are combined with those reported in the literature in a systematic analysis using a custom implementation of the transport of ions in matter Monte Carlo computer code to facilitate a direct comparison with experimental results taking into account the wide range of experimental conditions. Across the various studies this has revealed underlying trends and forms the basis of a critical review of the various mechanisms which have been proposed to explain the deformation of semiconductor NWs under ion irradiation.

  3. Synthesis and characterization of WO3 nanowires and metal nanoparticle-WO3 nanowire composites

    NASA Astrophysics Data System (ADS)

    Szabó, Mária; Pusztai, Péter; Leino, Anne-Riikka; Kordás, Krisztián; Kónya, Zoltán; Kukovecz, Ákos

    2013-07-01

    Tungsten-trioxide nanowire bundles were prepared using a simple hydrothermal method. Sodium-tungstate was used as precursor and sodium-sulfate as structure directing agent. All the reflections of the X-ray diffractogram of the synthesized wires belong to the hexagonal phase of the tungsten trioxide. The nanowires were successfully decorated with metal nanoparticles by wet impregnation. The TEM investigation showed that using different metal precursors resulted in different particle sizes and coverage on the surface.

  4. Brittle-to-Ductile Transition in Metallic Glass Nanowires.

    PubMed

    Şopu, D; Foroughi, A; Stoica, M; Eckert, J

    2016-07-13

    When reducing the size of metallic glass samples down to the nanoscale regime, experimental studies on the plasticity under uniaxial tension show a wide range of failure modes ranging from brittle to ductile ones. Simulations on the deformation behavior of nanoscaled metallic glasses report an unusual extended strain softening and are not able to reproduce the brittle-like fracture deformation as found in experiments. Using large-scale molecular dynamics simulations we provide an atomistic understanding of the deformation mechanisms of metallic glass nanowires and differentiate the extrinsic size effects and aspect ratio contribution to plasticity. A model for predicting the critical nanowire aspect ratio for the ductile-to-brittle transition is developed. Furthermore, the structure of brittle nanowires can be tuned to a softer phase characterized by a defective short-range order and an excess free volume upon systematic structural rejuvenation, leading to enhanced tensile ductility. The presented results shed light on the fundamental deformation mechanisms of nanoscaled metallic glasses and demarcate ductile and catastrophic failure.

  5. Large-scale complementary macroelectronics using hybrid integration of carbon nanotubes and IGZO thin-film transistors.

    PubMed

    Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu

    2014-06-13

    Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).

  6. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}),more » which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.« less

  7. Chirality-Discriminated Conductivity of Metal-Amino Acid Biocoordination Polymer Nanowires.

    PubMed

    Zheng, Jianzhong; Wu, Yijin; Deng, Ke; He, Meng; He, Liangcan; Cao, Jing; Zhang, Xugang; Liu, Yaling; Li, Shunxing; Tang, Zhiyong

    2016-09-27

    Biocoordination polymer (BCP) nanowires are successfully constructed through self-assembly of chiral cysteine amino acids and Cd cations in solution. The varied chirality of cysteine is explored to demonstrate the difference of BCP nanowires in both morphology and structure. More interestingly and surprisingly, the electrical property measurement reveals that, although all Cd(II)/cysteine BCP nanowires behave as semiconductors, the conductivity of the Cd(II)/dl-cysteine nanowires is 4 times higher than that of the Cd(II)/l-cysteine or Cd(II)/d-cysteine ones. The origin of such chirality-discriminated characteristics registered in BCP nanowires is further elucidated by theoretical calculation. These findings demonstrate that the morphology, structure, and property of BCP nanostructures could be tuned by the chirality of the bridging ligands, which will shed light on the comprehension of chirality transcription as well as construction of chirality-regulated functional materials.

  8. Metal-Insulator Transition in W-doped VO2 Nanowires

    NASA Astrophysics Data System (ADS)

    Long, Gen; Parry, James; Whittaker, Luisa; Banerjee, Sarbajit; Zeng, Hao

    2010-03-01

    We report a systematic study of the metal-insulator transition in W-doped VO2 nanowires. Magnetic susceptibility were measured for a bulk amount of VO2 nanowire powder. The susceptibility shows a sharp drop with decreasing temperature corresponding to the metal-insulator transition. The transition shows large temperature hysteresis for cooling and heating. With increasing doping concentration, the transition temperatures decreases systematically from 320 K to 275K. Charge transport measurements on the same nanowires showed similar behavior. XRD and TEM measurements were taken to further determine the structure of the materials in study.

  9. Single photon sources in 4H-SiC metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Abe, Y.; Umeda, T.; Okamoto, M.; Kosugi, R.; Harada, S.; Haruyama, M.; Kada, W.; Hanaizumi, O.; Onoda, S.; Ohshima, T.

    2018-01-01

    We present single photon sources (SPSs) embedded in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs). They are formed in the SiC/SiO2 interface regions of wet-oxidation C-face 4H-SiC MOSFETs and were not found in other C-face and Si-face MOSFETs. Their bright room-temperature photoluminescence (PL) was observed in the range from 550 to 750 nm and revealed variable multi-peak structures as well as variable peak shifts. We characterized a wide variety of their PL spectra as the inevitable variation of local atomic structures at the interface. Their polarization dependence indicates that they are formed at the SiC side of the interface. We also demonstrate that it is possible to switch on/off the SPSs by a bias voltage of the MOSFET.

  10. Optimized Spiral Metal-Gallium-Nitride Nanowire Cavity for Ultra-High Circular Dichroism Ultraviolet Lasing at Room Temperature.

    PubMed

    Liao, Wei-Chun; Liao, Shu-Wei; Chen, Kuo-Ju; Hsiao, Yu-Hao; Chang, Shu-Wei; Kuo, Hao-Chung; Shih, Min-Hsiung

    2016-05-25

    Circularly polarized laser sources with small footprints and high efficiencies can possess advanced functionalities in optical communication and biophotonic integrated systems. However, the conventional lasers with additional circular-polarization converters are bulky and hardly compatible with nanophotonic circuits, and most active chiral plasmonic nanostructures nowadays exhibit broadband emission and low circular dichroism. In this work, with spirals of gallium nitride (GaN) nanowires (NWRs) covered by a metal layer, we demonstrated an ultrasmall semiconductor laser capable of emitting circularly-polarized photons. The left- and right-hand spiral metal nanowire cavities with varied periods were designed at ultraviolet wavelengths to achieve the high quality factor circular dichroism metastructures. The dissymmetry factors characterizing the degrees of circular polarizations of the left- and right-hand chiral lasers were 1.4 and -1.6 (±2 if perfectly circular polarized), respectively. The results show that the chiral cavities with only 5 spiral periods can achieve lasing signals with the high degrees of circular polarizations.

  11. The growth of ultralong and highly blue luminescent gallium oxide nanowires and nanobelts, and direct horizontal nanowire growth on substrates.

    PubMed

    Kuo, Chi-Liang; Huang, Michael H

    2008-04-16

    We report the growth of ultralong β-Ga(2)O(3) nanowires and nanobelts on silicon substrates using a vapor phase transport method. The growth was carried out in a tube furnace, with gallium metal serving as the gallium source. The nanowires and nanobelts can grow to lengths of hundreds of nanometers and even millimeters. Their full lengths have been captured by both scanning electron microscope (SEM) and optical images. X-ray diffraction (XRD) patterns and transmission electron microscope (TEM) images have been used to study the crystal structures of these nanowires and nanobelts. Strong blue emission from these ultralong nanostructures can be readily observed by irradiation with an ultraviolet (UV) lamp. Diffuse reflectance spectroscopy measurements gave a band gap of 4.56 eV for these nanostructures. The blue emission shows a band maximum at 470 nm. Interestingly, by annealing the silicon substrates in an oxygen atmosphere to form a thick SiO(2) film, and growing Ga(2)O(3) nanowires over the sputtered gold patterned regions, horizontal Ga(2)O(3) nanowire growth in the non-gold-coated regions can be observed. These horizontal nanowires can grow to as long as over 10 µm in length. Their composition has been confirmed by TEM characterization. This represents one of the first examples of direct horizontal growth of oxide nanowires on substrates.

  12. Faceting, composition and crystal phase evolution in III-V antimonide nanowire heterostructures revealed by combining microscopy techniques.

    PubMed

    Xu, Tao; Dick, Kimberly A; Plissard, Sébastien; Nguyen, Thanh Hai; Makoudi, Younes; Berthe, Maxime; Nys, Jean-Philippe; Wallart, Xavier; Grandidier, Bruno; Caroff, Philippe

    2012-03-09

    III-V antimonide nanowires are among the most interesting semiconductors for transport physics, nanoelectronics and long-wavelength optoelectronic devices due to their optimal material properties. In order to investigate their complex crystal structure evolution, faceting and composition, we report a combined scanning electron microscopy (SEM), transmission electron microscopy (TEM), and scanning tunneling microscopy (STM) study of gold-nucleated ternary InAs/InAs(1-x)Sb(x) nanowire heterostructures grown by molecular beam epitaxy. SEM showed the general morphology and faceting, TEM revealed the internal crystal structure and ternary compositions, while STM was successfully applied to characterize the oxide-free nanowire sidewalls, in terms of nanofaceting morphology, atomic structure and surface composition. The complementary use of these techniques allows for correlation of the morphological and structural properties of the nanowires with the amount of Sb incorporated during growth. The addition of even a minute amount of Sb to InAs changes the crystal structure from perfect wurtzite to perfect zinc blende, via intermediate stacking fault and pseudo-periodic twinning regimes. Moreover, the addition of Sb during the axial growth of InAs/InAs(1-x)Sb(x) heterostructure nanowires causes a significant conformal lateral overgrowth on both segments, leading to the spontaneous formation of a core-shell structure, with an Sb-rich shell.

  13. Organic molecules on metal and oxide semiconductor substrates: Adsorption behavior and electronic energy level alignment

    NASA Astrophysics Data System (ADS)

    Ruggieri, Charles M.

    Modern devices such as organic light emitting diodes use organic/oxide and organic/metal interfaces for crucial processes such as charge injection and charge transfer. Understanding fundamental physical processes occurring at these interfaces is essential to improving device performance. The ultimate goal of studying such interfaces is to form a predictive model of interfacial interactions, which has not yet been established. To this end, this thesis focuses on obtaining a better understanding of fundamental physical interactions governing molecular self-assembly and electronic energy level alignment at organic/metal and organic/oxide interfaces. This is accomplished by investigating both the molecular adsorption geometry using scanning tunneling microscopy, as well as the electronic structure at the interface using direct and inverse photoemission spectroscopy, and analyzing the results in the context of first principles electronic structure calculations. First, we study the adsorption geometry of zinc tetraphenylporphyrin (ZnTPP) molecules on three noble metal surfaces: Au(111), Ag(111), and Ag(100). These surfaces were chosen to systematically compare the molecular self-assembly and adsorption behavior on two metals of the same surface symmetry and two surface symmetries of one metal. From this investigation, we improve the understanding of self-assembly at organic/metal interfaces and the relative strengths of competing intermolecular and molecule-substrate interactions that influence molecular adsorption geometry. We then investigate the electronic structure of the ZnTPP/Au(111), Ag(111), and Ag(100) interfaces as examples of weakly-interacting systems. We compare these cases to ZnTPP on TiO2(110), a wide-bandgap oxide semiconductor, and explain the intermolecular and molecule-substrate interactions that determine the electronic energy level alignment at the interface. Finally we study tetracyanoquinodimethane (TCNQ), a strong electron acceptor, on TiO2

  14. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  15. Synthesis of hexagonal ultrathin tungsten oxide nanowires with diameters below 5 nm for enhanced photocatalytic performance

    NASA Astrophysics Data System (ADS)

    Lu, Huidan; Zhu, Qin; Zhang, Mengying; Yan, Yi; Liu, Yongping; Li, Ming; Yang, Zhishu; Geng, Peng

    2018-04-01

    Semiconductor with one dimension (1D) ultrathin nanostructure has been proved to be a promising nanomaterial in photocatalytic field. Great efforts were made on preparation of monoclinic ultrathin tungsten oxide nanowires. However, non-monoclinic phase tungsten oxides with 1D ultrathin structure, especially less than 5 nm width, have not been reported. Herein, we report the synthesis of hexagonal ultrathin tungsten oxide nanowires (U-WOx NW) by modified hydrothermal method. Microstructure characterization showed that U-WOx NW have the diameters of 1-3 nm below 5 nm and are hexagonal phase sub-stoichiometric WOx. U-WOx NW show absorption tail in the visible and near infrared region due to oxygen vacancies. For improving further photocatalytic performance, Ag co-catalyst was grown directly onto U-WOx NW surface by in situ redox reaction. Photocatalytic measurements revealed hexagonal U-WOx NW have better photodegradation activity, compared with commercial WO3(C-WO3) and oxidized U-WOx NW, ascribe to larger surface area, short diffusion length of photo-generated charge carriers and visible absorption of oxygen-vacancy-rich hexagonal ultrathin nanostructures. Moreover, the photocatalytic activity and stability of U-WOx NW using Ag co-catalyst were further improved.

  16. Synthesis, fabrication and characterization of Ge/Si axial nanowire heterostructure tunnel FETs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Picraux, Samuel T; Dayeh, Shadi A

    2010-01-01

    Axial Ge/Si heterostructure nanowires allow energy band-edge engineering along the axis of the nanowire, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two advances in the area of heterostructure nanowires and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure nanowires with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these nanowires for high-on currents and suppressed ambipolar behavior. Initial prototype devices resulted in a current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5}more » I{sub on}/I{sub off} ratios. These results demonstrate the potential of such asymmetric heterostructures (both in the semiconductor channel and metal-semiconductor barrier heights) for low-power and high performance electronics.« less

  17. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  18. Infrared rectification in a nanoantenna-coupled metal-oxide-semiconductor tunnel diode.

    PubMed

    Davids, Paul S; Jarecki, Robert L; Starbuck, Andrew; Burckel, D Bruce; Kadlec, Emil A; Ribaudo, Troy; Shaner, Eric A; Peters, David W

    2015-12-01

    Direct rectification of electromagnetic radiation is a well-established method for wireless power conversion in the microwave region of the spectrum, for which conversion efficiencies in excess of 84% have been demonstrated. Scaling to the infrared or optical part of the spectrum requires ultrafast rectification that can only be obtained by direct tunnelling. Many research groups have looked to plasmonics to overcome antenna-scaling limits and to increase the confinement. Recently, surface plasmons on heavily doped Si surfaces were investigated as a way of extending surface-mode confinement to the thermal infrared region. Here we combine a nanostructured metallic surface with a heavily doped Si infrared-reflective ground plane designed to confine infrared radiation in an active electronic direct-conversion device. The interplay of strong infrared photon-phonon coupling and electromagnetic confinement in nanoscale devices is demonstrated to have a large impact on ultrafast electronic tunnelling in metal-oxide-semiconductor (MOS) structures. Infrared dispersion of SiO2 near a longitudinal optical (LO) phonon mode gives large transverse-field confinement in a nanometre-scale oxide-tunnel gap as the wavelength-dependent permittivity changes from 1 to 0, which leads to enhanced electromagnetic fields at material interfaces and a rectified displacement current that provides a direct conversion of infrared radiation into electric current. The spectral and electrical signatures of the nanoantenna-coupled tunnel diodes are examined under broadband blackbody and quantum-cascade laser (QCL) illumination. In the region near the LO phonon resonance, we obtained a measured photoresponsivity of 2.7 mA W(-1) cm(-2) at -0.1 V.

  19. Experimental phase diagram of zero-bias conductance peaks in superconductor/semiconductor nanowire devices

    PubMed Central

    Chen, Jun; Yu, Peng; Stenger, John; Hocevar, Moïra; Car, Diana; Plissard, Sébastien R.; Bakkers, Erik P. A. M.; Stanescu, Tudor D.; Frolov, Sergey M.

    2017-01-01

    Topological superconductivity is an exotic state of matter characterized by spinless p-wave Cooper pairing of electrons and by Majorana zero modes at the edges. The first signature of topological superconductivity is a robust zero-bias peak in tunneling conductance. We perform tunneling experiments on semiconductor nanowires (InSb) coupled to superconductors (NbTiN) and establish the zero-bias peak phase in the space of gate voltage and external magnetic field. Our findings are consistent with calculations for a finite-length topological nanowire and provide means for Majorana manipulation as required for braiding and topological quantum bits. PMID:28913432

  20. H+-type and OH--type biological protonic semiconductors and complementary devices

    NASA Astrophysics Data System (ADS)

    Deng, Yingxin; Josberger, Erik; Jin, Jungho; Rousdari, Anita Fadavi; Helms, Brett A.; Zhong, Chao; Anantram, M. P.; Rolandi, Marco

    2013-10-01

    Proton conduction is essential in biological systems. Oxidative phosphorylation in mitochondria, proton pumping in bacteriorhodopsin, and uncoupling membrane potentials by the antibiotic Gramicidin are examples. In these systems, H+ hop along chains of hydrogen bonds between water molecules and hydrophilic residues - proton wires. These wires also support the transport of OH- as proton holes. Discriminating between H+ and OH- transport has been elusive. Here, H+ and OH- transport is achieved in polysaccharide- based proton wires and devices. A H+- OH- junction with rectifying behaviour and H+-type and OH--type complementary field effect transistors are demonstrated. We describe these devices with a model that relates H+ and OH- to electron and hole transport in semiconductors. In turn, the model developed for these devices may provide additional insights into proton conduction in biological systems.

  1. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  2. Models of second-order effects in metal-oxide-semiconductor field-effect transistors for computer applications

    NASA Technical Reports Server (NTRS)

    Benumof, Reuben; Zoutendyk, John; Coss, James

    1988-01-01

    Second-order effects in metal-oxide-semiconductor field-effect transistors (MOSFETs) are important for devices with dimensions of 2 microns or less. The short and narrow channel effects and drain-induced barrier lowering primarily affect threshold voltage, but formulas for drain current must also take these effects into account. In addition, the drain current is sensitive to channel length modulation due to pinch-off or velocity saturation and is diminished by electron mobility degradation due to normal and lateral electric fields in the channel. A model of a MOSFET including these considerations and emphasizing charge conservation is discussed.

  3. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulationmore » by the gate and pinch off.« less

  4. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  5. Monolithic integration of GaN-based light-emitting diodes and metal-oxide-semiconductor field-effect transistors.

    PubMed

    Lee, Ya-Ju; Yang, Zu-Po; Chen, Pin-Guang; Hsieh, Yung-An; Yao, Yung-Chi; Liao, Ming-Han; Lee, Min-Hung; Wang, Mei-Tan; Hwang, Jung-Min

    2014-10-20

    In this study, we report a novel monolithically integrated GaN-based light-emitting diode (LED) with metal-oxide-semiconductor field-effect transistor (MOSFET). Without additionally introducing complicated epitaxial structures for transistors, the MOSFET is directly fabricated on the exposed n-type GaN layer of the LED after dry etching, and serially connected to the LED through standard semiconductor-manufacturing technologies. Such monolithically integrated LED/MOSFET device is able to circumvent undesirable issues that might be faced by other kinds of integration schemes by growing a transistor on an LED or vice versa. For the performances of resulting device, our monolithically integrated LED/MOSFET device exhibits good characteristics in the modulation of gate voltage and good capability of driving injected current, which are essential for the important applications such as smart lighting, interconnection, and optical communication.

  6. Seeded Nanowire and Microwire Growth from Lithium Alloys.

    PubMed

    Han, Sang Yun; Boebinger, Matthew G; Kondekar, Neha P; Worthy, Trevor J; McDowell, Matthew T

    2018-06-06

    Although vapor-liquid-solid (VLS) growth of nanowires from alloy seed particles is common in various semiconductor systems, related wire growth in all-metal systems is rare. Here, we report the spontaneous growth of nano- and microwires from metal seed particles during the cooling of Li-rich bulk alloys containing Au, Ag, or In. The as-grown wires feature Au-, Ag-, or In-rich metal tips and LiOH shafts; the results indicate that the wires grow as Li metal and are converted to polycrystalline LiOH during and/or after growth due to exposure to H 2 O and O 2 . This new process is a simple way to create nanostructures, and the findings suggest that metal nanowire growth from alloy seeds is possible in a variety of systems.

  7. DNA origami metallized site specifically to form electrically conductive nanowires.

    PubMed

    Pearson, Anthony C; Liu, Jianfei; Pound, Elisabeth; Uprety, Bibek; Woolley, Adam T; Davis, Robert C; Harb, John N

    2012-09-06

    DNA origami is a promising tool for use as a template in the design and fabrication of nanoscale structures. The ability to engineer selected staple strands on a DNA origami structure provides a high density of addressable locations across the structure. Here we report a method using site-specific attachment of gold nanoparticles to modified staple strands and subsequent metallization to fabricate conductive wires from DNA origami templates. We have modified DNA origami structures by lengthening each staple strand in select regions with a 10-base nucleotide sequence and have attached DNA-modified gold nanoparticles to the lengthened staple strands via complementary base-pairing. The high density of extended staple strands allowed the gold nanoparticles to pack tightly in the modified regions of the DNA origami, where the measured median gap size between neighboring particles was 4.1 nm. Gold metallization processes were optimized so that the attached gold nanoparticles grew until gaps between particles were filled and uniform continuous nanowires were formed. Finally, electron beam lithography was used to pattern electrodes in order to measure the electrical conductivity of metallized DNA origami, which showed an average resistance of 2.4 kΩ per metallized structure.

  8. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  9. Effect of NO annealing on charge traps in oxide insulator and transition layer for 4H-SiC metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Jia, Yifan; Lv, Hongliang; Niu, Yingxi; Li, Ling; Song, Qingwen; Tang, Xiaoyan; Li, Chengzhan; Zhao, Yanli; Xiao, Li; Wang, Liangyong; Tang, Guangming; Zhang, Yimen; Zhang, Yuming

    2016-09-01

    The effect of nitric oxide (NO) annealing on charge traps in the oxide insulator and transition layer in n-type 4H-SiC metal-oxide-semiconductor (MOS) devices has been investigated using the time-dependent bias stress (TDBS), capacitance-voltage (C-V), and secondary ion mass spectroscopy (SIMS). It is revealed that two main categories of charge traps, near interface oxide traps (Nniot) and oxide traps (Not), have different responses to the TDBS and C-V characteristics in NO-annealed and Ar-annealed samples. The Nniot are mainly responsible for the hysteresis occurring in the bidirectional C-V characteristics, which are very close to the semiconductor interface and can readily exchange charges with the inner semiconductor. However, Not is mainly responsible for the TDBS induced C-V shifts. Electrons tunneling into the Not are hardly released quickly when suffering TDBS, resulting in the problem of the threshold voltage stability. Compared with the Ar-annealed sample, Nniot can be significantly suppressed by the NO annealing, but there is little improvement of Not. SIMS results demonstrate that the Nniot are distributed within the transition layer, which correlated with the existence of the excess silicon. During the NO annealing process, the excess Si atoms incorporate into nitrogen in the transition layer, allowing better relaxation of the interface strain and effectively reducing the width of the transition layer and the density of Nniot. Project supported by the National Natural Science Foundation of China (Grant Nos. 61404098 and 61274079), the Doctoral Fund of Ministry of Education of China (Grant No. 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), the National Grid Science & Technology Project, China (Grant No. SGRI-WD-71-14-018), and the Key Specific Project in the National Science & Technology Program, China (Grant Nos. 2013ZX02305002-002 and 2015CB759600).

  10. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    PubMed

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  11. Comparative analysis of breakdown mechanism in thin SiO2 oxide films in metal-oxide-semiconductor structures under the action of heavy charged particles and a pulsed voltage

    NASA Astrophysics Data System (ADS)

    Zinchenko, V. F.; Lavrent'ev, K. V.; Emel'yanov, V. V.; Vatuev, A. S.

    2016-02-01

    Regularities in the breakdown of thin SiO2 oxide films in metal-oxide-semiconductors structures of power field-effect transistors under the action of single heavy charged particles and a pulsed voltage are studied experimentally. Using a phenomenological approach, we carry out comparative analysis of physical mechanisms and energy criteria of the SiO2 breakdown in extreme conditions of excitation of the electron subsystem in the subpicosecond time range.

  12. Silver decorated polymer supported semiconductor thin films by UV aided metalized laser printing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Halbur, Jonathan C.; Padbury, Richard P.; Jur, Jesse S., E-mail: jsjur@ncsu.edu

    2016-05-15

    A facile ultraviolet assisted metalized laser printing technique is demonstrated through the ability to control selective photodeposition of silver on flexible substrates after atomic layer deposition pretreatment with zinc oxide and titania. The photodeposition of noble metals such as silver onto high surface area, polymer supported semiconductor metal oxides exhibits a new route for nanoparticle surface modification of photoactive enhanced substrates. Photodeposited silver is subsequently characterized using low voltage secondary electron microscopy, x-ray diffraction, and time of flight secondary ion mass spectroscopy. At the nanoscale, the formation of specific morphologies, flake and particle, is highlighted after silver is photodeposited onmore » zinc oxide and titania coated substrates, respectively. The results indicate that the morphology and composition of the silver after photodeposition has a strong dependency on the morphology, crystallinity, and impurity content of the underlying semiconductor oxide. At the macroscale, this work demonstrates how the nanoscale features rapidly coalesce into a printed pattern through the use of masks or an X-Y gantry stage with virtually unlimited design control.« less

  13. Controlled fabrication of photoactive copper oxide-cobalt oxide nanowire heterostructures for efficient phenol photodegradation.

    PubMed

    Shi, Wenwu; Chopra, Nitin

    2012-10-24

    Fabrication of oxide nanowire heterostructures with controlled morphology, interface, and phase purity is critical for high-efficiency and low-cost photocatalysis. Here, we have studied the formation of copper oxide-cobalt nanowire heterostructures by sputtering and subsequent air annealing to result in cobalt oxide (Co(3)O(4))-coated CuO nanowires. This approach allowed fabrication of standing nanowire heterostructures with tunable compositions and morphologies. The vertically standing CuO nanowires were synthesized in a thermal growth method. The shell growth kinetics of Co and Co(3)O(4) on CuO nanowires, morphological evolution of the shell, and nanowire self-shadowing effects were found to be strongly dependent on sputtering duration, air-annealing conditions, and alignment of CuO nanowires. Finite element method (FEM) analysis indicated that alignment and stiffness of CuO-Co nanowire heterostructures greatly influenced the nanomechanical aspects such as von Mises equivalent stress distribution and bending of nanowire heterostructures during the Co deposition process. This fundamental knowledge was critical for the morphological control of Co and Co(3)O(4) on CuO nanowires with desired interfaces and a uniform coating. Band gap energies and phenol photodegradation capability of CuO-Co(3)O(4) nanowire heterostructures were studied as a function of Co(3)O(4) morphology. Multiple absorption edges and band gap tailings were observed for these heterostructures, indicating photoactivity from visible to UV range. A polycrystalline Co(3)O(4) shell on CuO nanowires showed the best photodegradation performance (efficiency ~50-90%) in a low-powered UV or visible light illumination with a sacrificial agent (H(2)O(2)). An anomalously high efficiency (~67.5%) observed under visible light without sacrificial agent for CuO nanowires coated with thin (∼5.6 nm) Co(3)O(4) shell and nanoparticles was especially interesting. Such photoactive heterostructures demonstrate unique

  14. Semiconductor nanostructures for artificial photosynthesis

    NASA Astrophysics Data System (ADS)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  15. Nanowire Photovoltaic Devices

    NASA Technical Reports Server (NTRS)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  16. Circular electrode geometry metal-semiconductor-metal photodetectors

    NASA Technical Reports Server (NTRS)

    Mcaddo, James A. (Inventor); Towe, Elias (Inventor); Bishop, William L. (Inventor); Wang, Liang-Guo (Inventor)

    1994-01-01

    The invention comprises a high speed, metal-semiconductor-metal photodetector which comprises a pair of generally circular, electrically conductive electrodes formed on an optically active semiconductor layer. Various embodiments of the invention include a spiral, intercoiled electrode geometry and an electrode geometry comprised of substantially circular, concentric electrodes which are interposed. These electrode geometries result in photodetectors with lower capacitances, dark currents and lower inductance which reduces the ringing seen in the optical pulse response.

  17. Electronic transport with dielectric confinement in degenerate InN nanowires.

    PubMed

    Blömers, Ch; Lu, J G; Huang, L; Witte, C; Grützmacher, D; Lüth, H; Schäpers, Th

    2012-06-13

    In this Letter, we present the size effects on charge conduction in InN nanowires by comprehensive transport studies supported by theoretical analysis. A consistent model for highly degenerate narrow gap semiconductor nanowires is developed. In contrast to common knowledge of InN, there is no evidence of an enhanced surface conduction, however, high intrinsic doping exists. Furthermore, the room-temperature resistivity exhibits a strong increase when the lateral size becomes smaller than 80 nm and the temperature dependence changes from metallic to semiconductor-like. This effect is modeled by donor deactivation due to dielectric confinement, yielding a shift of the donor band to higher ionization energies as the size shrinks.

  18. SOI metal-oxide-semiconductor field-effect transistor photon detector based on single-hole counting.

    PubMed

    Du, Wei; Inokawa, Hiroshi; Satoh, Hiroaki; Ono, Atsushi

    2011-08-01

    In this Letter, a scaled-down silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) is characterized as a photon detector, where photogenerated individual holes are trapped below the negatively biased gate and modulate stepwise the electron current flowing in the bottom channel induced by the positive substrate bias. The output waveforms exhibit clear separation of current levels corresponding to different numbers of trapped holes. Considering this capability of single-hole counting, a small dark count of less than 0.02 s(-1) at room temperature, and low operation voltage of 1 V, SOI MOSFET could be a unique photon-number-resolving detector if the small quantum efficiency were improved. © 2011 Optical Society of America

  19. Effect of Si-doping on InAs nanowire transport and morphology

    NASA Astrophysics Data System (ADS)

    Wirths, S.; Weis, K.; Winden, A.; Sladek, K.; Volk, C.; Alagha, S.; Weirich, T. E.; von der Ahe, M.; Hardtdegen, H.; Lüth, H.; Demarina, N.; Grützmacher, D.; Schäpers, Th.

    2011-09-01

    The effect of Si-doping on the morphology, structure, and transport properties of nanowires was investigated. The nanowires were deposited by selective-area metal organic vapor phase epitaxy in an N2 ambient. It is observed that doping systematically affects the nanowire morphology but not the structure of the nanowires. However, the transport properties of the wires are greatly affected. Room-temperature four-terminal measurements show that with an increasing dopant supply the conductivity monotonously increases. For the highest doping level the conductivity is higher by a factor of 25 compared to only intrinsically doped reference nanowires. By means of back-gate field-effect transistor measurements it was confirmed that the doping results in an increased carrier concentration. Temperature dependent resistance measurements reveal, for lower doping concentrations, a thermally activated semiconductor-type increase of the conductivity. In contrast, the nanowires with the highest doping concentration show a metal-type decrease of the resistivity with decreasing temperature.

  20. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  1. Manganese oxide nanowires, films, and membranes and methods of making

    DOEpatents

    Suib, Steven Lawrence [Storrs, CT; Yuan, Jikang [Storrs, CT

    2008-10-21

    Nanowires, films, and membranes comprising ordered porous manganese oxide-based octahedral molecular sieves, and methods of making, are disclosed. A single crystal ultra-long nanowire includes an ordered porous manganese oxide-based octahedral molecular sieve, and has an average length greater than about 10 micrometers and an average diameter of about 5 nanometers to about 100 nanometers. A film comprises a microporous network comprising a plurality of single crystal nanowires in the form of a layer, wherein a plurality of layers is stacked on a surface of a substrate, wherein the nanowires of each layer are substantially axially aligned. A free standing membrane comprises a microporous network comprising a plurality of single crystal nanowires in the form of a layer, wherein a plurality of layers is aggregately stacked, and wherein the nanowires of each layer are substantially axially aligned.

  2. The microstructure and magnetic properties of Cu/CuO/Ni core/multi-shell nanowire arrays

    NASA Astrophysics Data System (ADS)

    Yang, Feng; Shi, Jie; Zhang, Xiaofeng; Hao, Shijie; Liu, Yinong; Feng, Chun; Cui, Lishan

    2018-04-01

    Multifunctional metal/oxide/metal core/multi-shell nanowire arrays were prepared mostly by physical or chemical vapor deposition. In our study, the Cu/CuO/Ni core/multi-shell nanowire arrays were prepared by AAO template-electrodeposition and oxidation processes. The Cu/Ni core/shell nanowire arrays were prepared by AAO template-electrodeposition method. The microstructure and chemical compositions of the core/multi-shell nanowires and core/shell nanowires have been characterized using transmission electron microscopy with HADDF-STEM and X-ray diffraction. Magnetization measurements revealed that the Cu/CuO/Ni and Cu/Ni nanowire arrays have high coercivity and remanence ratio.

  3. Epitaxial growth of CoO films on semiconductor and metal substrates by constructing a complex heterostructure

    NASA Astrophysics Data System (ADS)

    Entani, S.; Kiguchi, M.; Saiki, K.; Koma, A.

    2003-01-01

    Epitaxial growth of CoO films was studied using reflection high-energy electron diffraction (RHEED), electron energy loss spectroscopy (EELS), ultraviolet photoelectron spectroscopy (UPS) and Auger electron spectroscopy (AES). The RHEED results indicated that an epitaxial CoO film grew on semiconductor and metal substrates (CoO (0 0 1)∥GaAs (0 0 1), Cu (0 0 1), Ag (0 0 1) and [1 0 0]CoO∥[1 0 0] substrates) by constructing a complex heterostructure with two alkali halide buffer layers. The AES, EELS and UPS results showed that the grown CoO film had almost the same electronic structure as bulk CoO. We could show that use of alkali halide buffer layers was a good way to grow metal oxide films on semiconductor and metal substrates in an O 2 atmosphere. The alkali halide layers not only works as glue to connect very dissimilar materials but also prevents oxidation of metal and semiconductor substrates.

  4. Robust mode space approach for atomistic modeling of realistically large nanowire transistors

    NASA Astrophysics Data System (ADS)

    Huang, Jun Z.; Ilatikhameneh, Hesameddin; Povolotskyi, Michael; Klimeck, Gerhard

    2018-01-01

    Nanoelectronic transistors have reached 3D length scales in which the number of atoms is countable. Truly atomistic device representations are needed to capture the essential functionalities of the devices. Atomistic quantum transport simulations of realistically extended devices are, however, computationally very demanding. The widely used mode space (MS) approach can significantly reduce the numerical cost, but a good MS basis is usually very hard to obtain for atomistic full-band models. In this work, a robust and parallel algorithm is developed to optimize the MS basis for atomistic nanowires. This enables engineering-level, reliable tight binding non-equilibrium Green's function simulation of nanowire metal-oxide-semiconductor field-effect transistor (MOSFET) with a realistic cross section of 10 nm × 10 nm using a small computer cluster. This approach is applied to compare the performance of InGaAs and Si nanowire n-type MOSFETs (nMOSFETs) with various channel lengths and cross sections. Simulation results with full-band accuracy indicate that InGaAs nanowire nMOSFETs have no drive current advantage over their Si counterparts for cross sections up to about 10 nm × 10 nm.

  5. Bisecting Microfluidic Channels with Metallic Nanowires Fabricated by Nanoskiving.

    PubMed

    Kalkman, Gerard A; Zhang, Yanxi; Monachino, Enrico; Mathwig, Klaus; Kamminga, Machteld E; Pourhossein, Parisa; Oomen, Pieter E; Stratmann, Sarah A; Zhao, Zhiyuan; van Oijen, Antoine M; Verpoorte, Elisabeth; Chiechi, Ryan C

    2016-02-23

    This paper describes the fabrication of millimeter-long gold nanowires that bisect the center of microfluidic channels. We fabricated the nanowires by nanoskiving and then suspended them over a trench in a glass structure. The channel was sealed by bonding it to a complementary poly(dimethylsiloxane) structure. The resulting structures place the nanowires in the region of highest flow, as opposed to the walls, where it approaches zero, and expose their entire surface area to fluid. We demonstrate active functionality, by constructing a hot-wire anemometer to measure flow through determining the change in resistance of the nanowire as a function of heat dissipation at low voltage (<5 V). Further, passive functionality is demonstrated by visualizing individual, fluorescently labeled DNA molecules attached to the wires. We measure rates of flow and show that, compared to surface-bound DNA strands, elongation saturates at lower rates of flow and background fluorescence from nonspecific binding is reduced.

  6. H+-type and OH−-type biological protonic semiconductors and complementary devices

    PubMed Central

    Deng, Yingxin; Josberger, Erik; Jin, Jungho; Rousdari, Anita Fadavi; Helms, Brett A.; Zhong, Chao; Anantram, M. P.; Rolandi, Marco

    2013-01-01

    Proton conduction is essential in biological systems. Oxidative phosphorylation in mitochondria, proton pumping in bacteriorhodopsin, and uncoupling membrane potentials by the antibiotic Gramicidin are examples. In these systems, H+ hop along chains of hydrogen bonds between water molecules and hydrophilic residues – proton wires. These wires also support the transport of OH− as proton holes. Discriminating between H+ and OH− transport has been elusive. Here, H+ and OH− transport is achieved in polysaccharide- based proton wires and devices. A H+- OH− junction with rectifying behaviour and H+-type and OH−-type complementary field effect transistors are demonstrated. We describe these devices with a model that relates H+ and OH− to electron and hole transport in semiconductors. In turn, the model developed for these devices may provide additional insights into proton conduction in biological systems. PMID:24089083

  7. Fabrication of a P3HT-ZnO Nanowires Gas Sensor Detecting Ammonia Gas

    PubMed Central

    Kuo, Chin-Guo; Chen, Jung-Hsuan; Chao, Yi-Chieh; Chen, Po-Lin

    2017-01-01

    In this study, an organic-inorganic semiconductor gas sensor was fabricated to detect ammonia gas. An inorganic semiconductor was a zinc oxide (ZnO) nanowire array produced by atomic layer deposition (ALD) while an organic material was a p-type semiconductor, poly(3-hexylthiophene) (P3HT). P3HT was suitable for the gas sensing application due to its high hole mobility, good stability, and good electrical conductivity. In this work, P3HT was coated on the zinc oxide nanowires by the spin coating to form an organic-inorganic heterogeneous interface of the gas sensor for detecting ammonia gas. The thicknesses of the P3HT were around 462 nm, 397 nm, and 277 nm when the speeds of the spin coating were 4000 rpm, 5000 rpm, and 6000 rpm, respectively. The electrical properties and sensing characteristics of the gas sensing device at room temperature were evaluated by Hall effect measurement and the sensitivity of detecting ammonia gas. The results of Hall effect measurement for the P3HT-ZnO nanowires semiconductor with 462 nm P3HT film showed that the carrier concentration and the mobility were 2.7 × 1019 cm−3 and 24.7 cm2∙V−1∙s−1 respectively. The gas sensing device prepared by the P3HT-ZnO nanowires semiconductor had better sensitivity than the device composed of the ZnO film and P3HT film. Additionally, this gas sensing device could reach a maximum sensitivity around 11.58 per ppm. PMID:29295573

  8. Fabrication of a P3HT-ZnO Nanowires Gas Sensor Detecting Ammonia Gas.

    PubMed

    Kuo, Chin-Guo; Chen, Jung-Hsuan; Chao, Yi-Chieh; Chen, Po-Lin

    2017-12-25

    In this study, an organic-inorganic semiconductor gas sensor was fabricated to detect ammonia gas. An inorganic semiconductor was a zinc oxide (ZnO) nanowire array produced by atomic layer deposition (ALD) while an organic material was a p-type semiconductor, poly(3-hexylthiophene) (P3HT). P3HT was suitable for the gas sensing application due to its high hole mobility, good stability, and good electrical conductivity. In this work, P3HT was coated on the zinc oxide nanowires by the spin coating to form an organic-inorganic heterogeneous interface of the gas sensor for detecting ammonia gas. The thicknesses of the P3HT were around 462 nm, 397 nm, and 277 nm when the speeds of the spin coating were 4000 rpm, 5000 rpm, and 6000 rpm, respectively. The electrical properties and sensing characteristics of the gas sensing device at room temperature were evaluated by Hall effect measurement and the sensitivity of detecting ammonia gas. The results of Hall effect measurement for the P3HT-ZnO nanowires semiconductor with 462 nm P3HT film showed that the carrier concentration and the mobility were 2.7 × 10 19 cm -3 and 24.7 cm²∙V -1 ∙s -1 respectively. The gas sensing device prepared by the P3HT-ZnO nanowires semiconductor had better sensitivity than the device composed of the ZnO film and P3HT film. Additionally, this gas sensing device could reach a maximum sensitivity around 11.58 per ppm.

  9. Insights into semiconductor nanowire conductivity using electrodeposition

    NASA Astrophysics Data System (ADS)

    Liu, C.; Salehzadeh, O.; Poole, P. J.; Watkins, S. P.; Kavanagh, K. L.

    2012-10-01

    Copper (Cu) and iron (Fe) electrical contacts to gallium arsenide (GaAs) and indium arsenide (InAs) nanowires (NWs) have been fabricated via electrodeposition. For undoped or low carbon-doped (1017/cm-3), p-type GaAs NWs, Cu or Fe nucleate and grow only on the gold catalyst at the NW tip, avoiding the sidewalls. Metal growth is limited by the Au contact resistance due to thick sidewall depletion layers. For InAs NWs and heavier-doped, core-shell (undoped core-C-doped shell) GaAs NWs, metal nucleation and growth occurs on the sidewalls as well as on the gold catalyst limited now by the ion electrolyte diffusivity.

  10. Selective etchant for oxide sacrificial material in semiconductor device fabrication

    DOEpatents

    Clews, Peggy J.; Mani, Seethambal S.

    2005-05-17

    An etching composition and method is disclosed for removing an oxide sacrificial material during manufacture of semiconductor devices including micromechanical, microelectromechanical or microfluidic devices. The etching composition and method are based on the combination of hydrofluoric acid (HF) and sulfuric acid (H.sub.2 SO.sub.4). These acids can be used in the ratio of 1:3 to 3:1 HF:H.sub.2 SO.sub.4 to remove all or part of the oxide sacrificial material while providing a high etch selectivity for non-oxide materials including polysilicon, silicon nitride and metals comprising aluminum. Both the HF and H.sub.2 SO.sub.4 can be provided as "semiconductor grade" acids in concentrations of generally 40-50% by weight HF, and at least 90% by weight H.sub.2 SO.sub.4.

  11. Semiconductor-metal transition of Se in Ru-Se Catalyst Nanoparticles

    NASA Astrophysics Data System (ADS)

    Babu, P. K.; Lewera, Adam; Oldfield, Eric; Wieckowski, Andrzej

    2009-03-01

    Ru-Se composite nanoparticles are promising catalysts for the oxygen reduction reaction (ORR) in fuel cells. Though the role of Se in enhancing the chemical stability of Ru nanoparticles is well established, the microscopic nature of Ru-Se interaction was not clearly understood. We carried out a combined investigation of ^77Se NMR and XPS on Ru-Se nanoparticles and our results indicate that Se, a semiconductor in elemental form, becomes metallic when interacting with Ru. ^77Se spin-lattice relaxation rates are found to be proportional to T, the well-known Korringa behavior characteristic of metals. The NMR results are supported by the XPS binding energy shifts which suggest that a possible Ru->Se charge transfer could be responsible for the semiconductor->metal transition of Se which also makes Ru less susceptible to oxidation during ORR.

  12. Chitin Liquid-Crystal-Templated Oxide Semiconductor Aerogels.

    PubMed

    Chau, Trang The Lieu; Le, Dung Quang Tien; Le, Hoa Thi; Nguyen, Cuong Duc; Nguyen, Long Viet; Nguyen, Thanh-Dinh

    2017-09-13

    Chitin nanocrystals have been used as a liquid crystalline template to fabricate layered oxide semiconductor aerogels. Anisotropic chitin liquid crystals are transformed to sponge-like aerogels by hydrothermally cross-linked gelation and lyophilization-induced solidification. The hydrothermal gelation of chitin aqueous suspensions then proceeds with peroxotitanate to form hydrogel composites that recover to form aerogels after freeze-drying. The homogeneous peroxotitanate/chitin composites are calcined to generate freestanding titania aerogels that exhibit the nanostructural integrity of layered chitin template. Our extended investigations show that coassembling chitin nanocrystals with other metal-based precursors also yielded semiconductor aerogels of perovskite BaTiO 3 and CuO x nanocrystals. The potential of these materials is great to investigate these chitin sponges for biomedicine and these semiconductor aerogels for photocatalysis, gas sensing, and other applications. Our results present a new aerogel templating method of highly porous, ultralight materials with chitin liquid crystals.

  13. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainey, Mel F.; Redwing, Joan M.

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis onmore » methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.« less

  14. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  15. Metal oxide nanostructures: preparation, characterization and functional applications as chemical sensors.

    PubMed

    Zappa, Dario; Bertuna, Angela; Comini, Elisabetta; Kaur, Navpreet; Poli, Nicola; Sberveglieri, Veronica; Sberveglieri, Giorgio

    2017-01-01

    Preparation and characterization of different metal oxide (NiO, WO 3 , ZnO, SnO 2 and Nb 2 O 5 ) nanostructures for chemical sensing are presented. p-Type (NiO) and n-type (WO 3 , SnO 2 , ZnO and Nb 2 O 5 ) metal oxide nanostructures were grown on alumina substrates using evaporation-condensation, thermal oxidation and hydrothermal techniques. Surface morphologies and crystal structures were investigated through scanning electron microscopy and Raman spectroscopy. Furthermore, different batches of sensors have been prepared, and their sensing performances towards carbon monoxide and nitrogen dioxide have been explored. Moreover, metal oxide nanowires have been integrated into an electronic nose and successfully applied to discriminate between drinking and contaminated water.

  16. Synthesis Methods, Microscopy Characterization and Device Integration of Nanoscale Metal Oxide Semiconductors for Gas Sensing in Aerospace Applications

    NASA Technical Reports Server (NTRS)

    VanderWal, Randy L.; Berger, Gordon M.; Kulis, Michael J.; Hunter, Gary W.; Xu, Jennifer C.; Evans, Laura J.

    2009-01-01

    A comparison is made between SnO2, ZnO, and TiO2 single-crystal nanowires and SnO2 polycrystalline nanofibers for gas sensing. Both nanostructures possess a one-dimensional morphology. Different synthesis methods are used to produce these materials: thermal evaporation-condensation (TEC), controlled oxidation, and electrospinning. Advantages and limitations of each technique are listed. Practical issues associated with harvesting, purification, and integration of these materials into sensing devices are detailed. For comparison to the nascent form, these sensing materials are surface coated with Pd and Pt nanoparticles. Gas sensing tests, with respect to H2, are conducted at ambient and elevated temperatures. Comparative normalized responses and time constants for the catalyst and noncatalyst systems provide a basis for identification of the superior metal-oxide nanostructure and catalyst combination. With temperature-dependent data, Arrhenius analyses are made to determine an activation energy for the catalyst-assisted systems.

  17. Training and operation of an integrated neuromorphic network based on metal-oxide memristors.

    PubMed

    Prezioso, M; Merrikh-Bayat, F; Hoskins, B D; Adam, G C; Likharev, K K; Strukov, D B

    2015-05-07

    Despite much progress in semiconductor integrated circuit technology, the extreme complexity of the human cerebral cortex, with its approximately 10(14) synapses, makes the hardware implementation of neuromorphic networks with a comparable number of devices exceptionally challenging. To provide comparable complexity while operating much faster and with manageable power dissipation, networks based on circuits combining complementary metal-oxide-semiconductors (CMOSs) and adjustable two-terminal resistive devices (memristors) have been developed. In such circuits, the usual CMOS stack is augmented with one or several crossbar layers, with memristors at each crosspoint. There have recently been notable improvements in the fabrication of such memristive crossbars and their integration with CMOS circuits, including first demonstrations of their vertical integration. Separately, discrete memristors have been used as artificial synapses in neuromorphic networks. Very recently, such experiments have been extended to crossbar arrays of phase-change memristive devices. The adjustment of such devices, however, requires an additional transistor at each crosspoint, and hence these devices are much harder to scale than metal-oxide memristors, whose nonlinear current-voltage curves enable transistor-free operation. Here we report the experimental implementation of transistor-free metal-oxide memristor crossbars, with device variability sufficiently low to allow operation of integrated neural networks, in a simple network: a single-layer perceptron (an algorithm for linear classification). The network can be taught in situ using a coarse-grain variety of the delta rule algorithm to perform the perfect classification of 3 × 3-pixel black/white images into three classes (representing letters). This demonstration is an important step towards much larger and more complex memristive neuromorphic networks.

  18. Copper Nanowires: A Substitute for Noble Metals to Enhance Photocatalytic H2 Generation.

    PubMed

    Xiao, Shuning; Liu, Peijue; Zhu, Wei; Li, Guisheng; Zhang, Dieqing; Li, Hexing

    2015-08-12

    Microwave-assisted hydrothermal approach was developed as a general strategy to decorate copper nanowires (CuNWs) with nanorods (NRs) or nanoparticles (NPs) of metal oxides, metal sulfides, and metal organic frameworks (MOFs). The microwave irradiation induced local "super hot" dots generated on the CuNWs surface, which initiated the adsorption and chemical reactions of the metal ions, accompanied by the growth and assembly of NPs building blocks along the metal nanowires' surfaces. This solution-processed approach enables the NRs (NPs) @CuNWs hybrid structure to exhibit three unique characteristics: (1) high coverage density of NRs (NPs) per NWs with the morphology of NRs (NPs) directly growing from the CuNWs core, (2) intimate contact between CuNWs and NRs (NPs), and (3) flexible choices of material composition. Such hybrid structures also increased light absorption by light scattering. In general, the TiO2/CuNWs showed excellent photocatalytic activity for H2 generation. The corresponding hydrogen production rate is 5104 μmol h(-1) g(-1) with an apparent quantum yield (AQY) of 17.2%, a remarkably high AQY among the noble-metal free TiO2 photocatalysts. Such performance may be associated with the favorable geometry of the hybrid system, which is characterized by a large contact area between the photoactive materials (TiO2) and the H2 evolution cocatalyst (Cu), the fast and short diffusion paths of photogenerated electrons transferring from the TiO2 to the CuNWs. This study not only shows a possibility for the utilization of low cost copper nanowires as a substitute for noble metals in enhanced solar photocatalytic H2 generation but also exhibits a general strategy for fabricating other highly active H2 production photocatalysts by a facile microwave-assisted solution approach.

  19. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    NASA Astrophysics Data System (ADS)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  20. SEM evaluation of metallization on semiconductors. [Scanning Electron Microscope

    NASA Technical Reports Server (NTRS)

    Fresh, D. L.; Adolphsen, J. W.

    1974-01-01

    A test method for the evaluation of metallization on semiconductors is presented and discussed. The method has been prepared in MIL-STD format for submittal as a proposed addition to MIL-STD-883. It is applicable to discrete devices and to integrated circuits and specifically addresses batch-process oriented defects. Quantitative accept/reject criteria are given for contact windows, other oxide steps, and general interconnecting metallization. Figures are provided that illustrate typical types of defects. Apparatus specifications, sampling plans, and specimen preparation and examination requirements are described. Procedures for glassivated devices and for multi-metal interconnection systems are included.

  1. Semiconductor quantum dot super-emitters: spontaneous emission enhancement combined with suppression of defect environment using metal-oxide plasmonic metafilms

    NASA Astrophysics Data System (ADS)

    Sadeghi, Seyed M.; Wing, Waylin J.; Gutha, Rithvik R.; Sharp, Christina

    2018-01-01

    We demonstrate that a metal-oxide plasmonic metafilm consisting of a Si/Al oxide junction in the vicinity of a thin gold layer can quarantine excitons in colloidal semiconductor quantum dots against their defect environments. This process happens while the plasmon fields of the gold layer enhance spontaneous emission decay rates of the quantum dots. We study the emission dynamics of such quantum dots when the distance between the Si/Al oxide junction and the gold thin layer is varied. The results show that for distances less than a critical value the lifetime of the quantum dots can be elongated while they experience intense plasmon fields. This suggests that the metal-oxide metafilm can keep photo-excited electrons in the cores of the quantum dots, suppressing their migration to the surface defect sites. This leads to suppression of Auger recombination, offering quantum dot super-emitters with emission that is enhanced not only by the plasmon fields (Purcell effect), but also by strong suppression of the non-radiative decay caused by the defect sites.

  2. Silicon Metal-oxide-semiconductor Quantum Dots for Single-electron Pumping

    PubMed Central

    Rossi, Alessandro; Tanttu, Tuomo; Hudson, Fay E.; Sun, Yuxin; Möttönen, Mikko; Dzurak, Andrew S.

    2015-01-01

    As mass-produced silicon transistors have reached the nano-scale, their behavior and performances are increasingly affected, and often deteriorated, by quantum mechanical effects such as tunneling through single dopants, scattering via interface defects, and discrete trap charge states. However, progress in silicon technology has shown that these phenomena can be harnessed and exploited for a new class of quantum-based electronics. Among others, multi-layer-gated silicon metal-oxide-semiconductor (MOS) technology can be used to control single charge or spin confined in electrostatically-defined quantum dots (QD). These QD-based devices are an excellent platform for quantum computing applications and, recently, it has been demonstrated that they can also be used as single-electron pumps, which are accurate sources of quantized current for metrological purposes. Here, we discuss in detail the fabrication protocol for silicon MOS QDs which is relevant to both quantum computing and quantum metrology applications. Moreover, we describe characterization methods to test the integrity of the devices after fabrication. Finally, we give a brief description of the measurement set-up used for charge pumping experiments and show representative results of electric current quantization. PMID:26067215

  3. Advances in nanowire bioelectronics

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Dai, Xiaochuan; Lieber, Charles M.

    2017-01-01

    Semiconductor nanowires represent powerful building blocks for next generation bioelectronics given their attractive properties, including nanometer-scale footprint comparable to subcellular structures and bio-molecules, configurable in nonstandard device geometries readily interfaced with biological systems, high surface-to-volume ratios, fast signal responses, and minimum consumption of energy. In this review article, we summarize recent progress in the field of nanowire bioelectronics with a focus primarily on silicon nanowire field-effect transistor biosensors. First, the synthesis and assembly of semiconductor nanowires will be described, including the basics of nanowire FETs crucial to their configuration as biosensors. Second, we will introduce and review recent results in nanowire bioelectronics for biomedical applications ranging from label-free sensing of biomolecules, to extracellular and intracellular electrophysiological recording.

  4. Insulator charging limits direct current across tunneling metal-insulator-semiconductor junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vilan, Ayelet

    Molecular electronics studies how the molecular nature affects the probability of charge carriers to tunnel through the molecules. Nevertheless, transport is also critically affected by the contacts to the molecules, an aspect that is often overlooked. Specifically, the limited ability of non-metallic contacts to maintain the required charge balance across the fairly insulating molecule often have dramatic effects. This paper shows that in the case of lead/organic monolayer-silicon junctions, a charge balance is responsible for an unusual current scaling, with the junction diameter (perimeter), rather than its area. This is attributed to the balance between the 2D charging at themore » metal/insulator interface and the 3D charging of the semiconductor space-charge region. A derivative method is developed to quantify transport across tunneling metal-insulator-semiconductor junctions; this enables separating the tunneling barrier from the space-charge barrier for a given current-voltage curve, without complementary measurements. The paper provides practical tools to analyze specific molecular junctions compatible with existing silicon technology, and demonstrates the importance of contacts' physics in modeling charge transport across molecular junctions.« less

  5. A dual-scale metal nanowire network transparent conductor for highly efficient and flexible organic light emitting diodes.

    PubMed

    Lee, Jinhwan; An, Kunsik; Won, Phillip; Ka, Yoonseok; Hwang, Hyejin; Moon, Hyunjin; Kwon, Yongwon; Hong, Sukjoon; Kim, Changsoon; Lee, Changhee; Ko, Seung Hwan

    2017-02-02

    Although solution processed metal nanowire (NW) percolation networks are a strong candidate to replace commercial indium tin oxide, their performance is limited in thin film device applications due to reduced effective electrical areas arising from the dimple structure and percolative voids that single size metal NW percolation networks inevitably possess. Here, we present a transparent electrode based on a dual-scale silver nanowire (AgNW) percolation network embedded in a flexible substrate to demonstrate a significant enhancement in the effective electrical area by filling the large percolative voids present in a long/thick AgNW network with short/thin AgNWs. As a proof of concept, the performance enhancement of a flexible phosphorescent OLED is demonstrated with the dual-scale AgNW percolation network compared to the previous mono-scale AgNWs. Moreover, we report that mechanical and oxidative robustness, which are critical for flexible OLEDs, are greatly increased by embedding the dual-scale AgNW network in a resin layer.

  6. Direct observation of confined acoustic phonon polarization branches in free-standing semiconductor nanowires

    DOE PAGES

    Kargar, Fariborz; Debnath, Bishwajit; Kakko, Joona -Pekko; ...

    2016-11-10

    Similar to electron waves, the phonon states in semiconductors can undergo changes induced by external boundaries. However, despite strong scientific and practical importance, conclusive experimental evidence of confined acoustic phonon polarization branches in individual free-standing nanostructures is lacking. Here we report results of Brillouin-Mandelstam light scattering spectroscopy, which reveal multiple (up to ten) confined acoustic phonon polarization branches in GaAs nanowires with a diameter as large as 128 nm, at a length scale that exceeds the grey phonon mean-free path in this material by almost an order-of-magnitude. The dispersion modification and energy scaling with diameter in individual nanowires are inmore » excellent agreement with theory. The phonon confinement effects result in a decrease in the phonon group velocity along the nanowire axis and changes in the phonon density of states. Furthermore, the obtained results can lead to more efficient nanoscale control of acoustic phonons, with benefits for nanoelectronic, thermoelectric and spintronic devices.« less

  7. In Situ Integration of Ultrathin PtCu Nanowires with Reduced Graphene Oxide Nanosheets for Efficient Electrocatalytic Oxygen Reduction.

    PubMed

    Yan, Xiaoxiao; Chen, Yifan; Deng, Sihui; Yang, Yifan; Huang, Zhenna; Ge, Cunwang; Xu, Lin; Sun, Dongmei; Fu, Gengtao; Tang, Yawen

    2017-11-27

    Ultrathin Pt-based nanowires are considered as promising electrocatalysts owing to their high atomic utilization efficiency and structural robustness. Moreover, integration of Pt-based nanowires with graphene oxide (GO) could further increase the electrocatalytic performance, yet remains challenging to date. Herein, for the first time we demonstrate the in situ synthesis of ultrathin PtCu nanowires grown over reduced GO (PtCu-NWs/rGO) by a one-pot hydrothermal approach with the aid of amine-terminated poly(N-isopropyl acrylamide) (PNIPAM-NH 2 ). The judicious selection of PNIPAM-NH 2 facilitates the in situ nucleation and anisotropic growth of nanowires on the rGO surface and oriented attachment mechanism accounts for the formation of PtCu ultrathin nanowires. Owing to the synergy between PtCu NWs and rGO support, the PtCu-NWs/rGO outperforms the rGO supported PtCu nanoparticles (PtCu-NPs/rGO), PtCu-NWs, and commercial Pt/C toward the oxygen reduction reaction (ORR) with higher activity and better stability, making it a promising cathodic electrocatalyst for both fuel cells and metal-air cells. Moreover, the present synthetic strategy could inspire the future design of other metal alloy nanowires/carbon hybrid catalysts. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Cross-plane electrical and thermal transport in oxide metal/semiconductor superlattices

    NASA Astrophysics Data System (ADS)

    Jha, Pankaj

    Perovskite oxides display a rich variety of electronic properties as metals, ferroelectrics, ferromagnetics, multiferroics, and thermoelectrics. Cross-plane electron filtering transport in metal/semiconductor superlattices provides a potential approach to increase the thermoelectric figure of merit (ZT). La0.67Sr0.33MnO3 (LSMO) and LaMnO3 (LMO) thin-film depositions were optimized using pulsed laser deposition (PLD) to achieve low resistivity constituent materials for LSMO/LMO superlattice heterostructures on (100)-strontium titanate (STO) substrates. X-ray diffraction and high-resolution reciprocal space mapping (RSM) indicate that the superlattices are epitaxial and pseudomorphic. Cross-plane devices were fabricated by etching cylindrical pillar structures in superlattices using inductively-coupled-plasma reactive-ion etching. The cross-plane electrical conductivity data for LSMO/LMO superlattices reveal an effective barrier height of 220 meV. The cross-plane LSMO/LMO superlattices showed a giant Seebeck coefficient of 2560 microV/K at 300K that increases to 16640 microV/K at 360K. The large Seebeck coefficient may arise due to hot electron and spin filtering as LSMO/LMO superlattice constituent materials exhibit spintronic properties where charges and spin current are intertwined and can generate a spin-Seebeck effect. The room temperature thermal conductivity achieved in low resistivity superlattices was 0.92 W/mK, which indicates that cross-plane phonon scattering at interfaces reduces the lattice contribution to the thermal conductivity. The giant contribution of spin-Seebeck, the large temperature dependence of the cross-plane power factor, and the low thermal conductivity in low resistance LSMO/LMO superlattices may offer opportunities to realize spin-magnetic thermoelectric devices, and suggests a direction for further investigations of the potential of LSMO/LMO oxide superlattices for thermoelectric devices.

  9. Coupling Molecular Beacons to Barcoded Metal Nanowires for Multiplexed, Sealed Chamber DNA Bioassays

    PubMed Central

    Stoermer, Rebecca L.; Cederquist, Kristin B.; McFarland, Sean K.; Sha, Michael Y.; Penn, Sharron G.

    2010-01-01

    We have combined molecular beacon (MB) probes with barcoded metal nanowires to enable no-wash, sealed chamber, multiplexed detection of nucleic acids. Probe design and experimental parameters important in nanowire-based MB assays are discussed. Loop regions of 24 bases and 5 base pair stem regions in the beacon probes gave optimal performance. Our results suggest that thermodynamic predictions for secondary structure stability of solution-phase MB can guide probe design for nanowire-based assays. Dengue virus-specific probes with predicted solution-phase ΔG of folding in 500 mM buffered NaCl of approximately −4 kcal/mol performed better than those with ΔG > −2 or < −6 kcal/mol. Buffered 300–500 mM NaCl was selected after comparison of several buffers previously reported for similar types of assays, and 200–500 mM NaCl was found to be the optimal ionic strength for the hybridization temperatures (25 and 50 °C) and probe designs used here. Target binding to the surface as a function of solution concentration fit a Sips isotherm with Kd = 1.7 ± 0.3 nM. The detection limit was ∼100 pM, limited by incomplete quenching. Single base mismatches could be discriminated from fully complementary targets. Oligonucleotide target sequences specific for human immunodeficiency, hepatitis C, and severe acute respiratory viruses were assayed simultaneously in a no-wash, sealed chamber, multiplexed experiment in which each of three probe sequences was attached to a different pattern of encoded nanowires. Finally, we demonstrated that probe-coated nanowires retain their selectivity and sensitivity in a triplexed assay after storage for over 3 months. PMID:17177440

  10. Positron annihilation in a metal-oxide semiconductor studied by using a pulsed monoenergetic positron beam

    NASA Astrophysics Data System (ADS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Ohji, Y.

    1993-12-01

    The positron annihilation in a metal-oxide semiconductor was studied by using a pulsed monoenergetic positron beam. Lifetime spectra of positrons were measured as a function of incident positron energy for a polycrystalline Si(100 nm)/SiO2(400 nm)/Si specimen. Applying a gate voltage between the polycrystalline Si film and the Si substrate, positrons implanted into the specimen were accumulated at the SiO2/Si interface. From the measurements, it was found that the annihilation probability of ortho-positronium (ortho-Ps) drastically decreased at the SiO2/Si interface. The observed inhibition of the Ps formation was attributed to an interaction between positrons and defects at the SiO2/Si interface.

  11. DNA-Templated Pd Conductive Metallic Nanowires

    NASA Astrophysics Data System (ADS)

    Nguyen, K.; Monteverde, M.; Lyonnais, S.; Campidelli, S.; Bourgoin, J.-Ph.; Filoramo, A.

    2008-10-01

    Because of its unique recognition properties, its size and the sub-nanometric resolution, DNA is of particular interest for positioning and organizing nanomaterials. However, in DNA-directed nanoelectronic it can be envisioned to use DNA not only as a positioning scaffold, but also as a support for the conducting element. To ensure this function a metallization process is necessary and among the various DNA metallization methods the Pd based ones are of particular interest for carbon nanotube transistor connections. In this field, the major drawback of the existing methods is the fast kinetics of the process which lead to a stochastic growth. Here, we present a novel approach to DNA Pd metalization where the DNA molecule is previously deposited on a dry substrate in a typical nanodevice configuration. In our approach the progressive growth of nanowires is achieved by the slow and selective precipitation of PdO, followed by a subsequent reduction step. Thanks to this strategy we fabricated homogeneous, continuous and conductive Pd nanowires on the DNA scaffolds of very thin diameter (20-25 nm).

  12. Trap densities and transport properties of pentacene metal-oxide-semiconductor transistors: II—Numerical modeling of dc characteristics

    NASA Astrophysics Data System (ADS)

    Basile, A. F.; Kyndiah, A.; Biscarini, F.; Fraboni, B.

    2014-06-01

    A numerical procedure to calculate the drain-current (ID) vs. gate-voltage (VG) characteristics from numerical solutions of the Poisson equation for organic Thin-Film Transistors (TFTs) is presented. Polaron transport is modeled as two-dimensional charge transport in a semiconductor having free-carrier density of states proportional to the density of molecules and traps with energy equal to the polaron-hopping barrier. The simulated ID-VG curves are proportional to the product of the density of free carriers, calculated as a function of VG, and the intrinsic mobility, assumed to be a constant independent of temperature. The presence of traps in the oxide was also taken into account in the model, which was applied to a TFT made with six monolayers of pentacene grown on an oxide substrate. The polaron-hopping barrier determines the temperature dependence of the simulated ID-VG curves, trapping in the oxide is responsible for current reduction at high bias and the slope of the characteristics near threshold is related to the metal-semiconductor work-function difference. The values of the model parameters yielding the best match between calculations and experiments are consistent with previous experimental results and theoretical predictions. Therefore, this model enables to extract both physical and technological properties of thin-film devices from the temperature-dependent dc characteristics.

  13. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  14. M13 virus-directed synthesis of nanostructured metal oxides for lithium-oxygen batteries.

    PubMed

    Oh, Dahyun; Qi, Jifa; Han, Binghong; Zhang, Geran; Carney, Thomas J; Ohmura, Jacqueline; Zhang, Yong; Shao-Horn, Yang; Belcher, Angela M

    2014-08-13

    Transition metal oxides are promising electrocatalysts for both water oxidations and metal-air batteries. Here, we report the virus-mediated synthesis of cobalt manganese oxide nanowires (NWs) to fabricate high capacity Li-O2 battery electrodes. Furthermore, we hybridized Ni nanoparticles (NPs) on bio Co3O4 NWs to improve the round trip efficiency as well as the cycle life of Li-O2 batteries. This biomolecular directed synthesis method is expected to provide a selection platform for future energy storage electrocatalysts.

  15. Metal-oxide-metal point contact junction detectors. [detection mechanism and mechanical stability

    NASA Technical Reports Server (NTRS)

    Baird, J.; Havemann, R. H.; Fults, R. D.

    1973-01-01

    The detection mechanism(s) and design of a mechanically stable metal-oxide-metal point contact junction detector are considered. A prototype for a mechanically stable device has been constructed and tested. A technique has been developed which accurately predicts microwave video detector and heterodyne mixer SIM (semiconductor-insulator-metal) diode performance from low dc frequency volt-ampere curves. The difference in contact potential between the two metals and geometrically induced rectification constitute the detection mechanisms.

  16. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  17. Group IIB-VIA semiconductor oxide cluster ions

    NASA Astrophysics Data System (ADS)

    Jayasekharan, Thankan

    2018-05-01

    Metal oxide cluster ions, MnOm± (M = Zn, Cd) and HgnOm- of various stoichiometry have been generated from solid IIB-VIA semiconductor oxides targets, (ZnO(s), CdO(s), and HgO(s)) by using pulse laser desorption ionization time of flight mass spectrometry with a laser of λ = 355 nm. Analysis of mass spectral data indicates the formation of stoichiometric cluster ions viz., (ZnO)n=1-30+ and (CdO)n=1-40+ along with -O bound anions, (ZnO)n=1-30O-, (CdO)n=1-40O- and (HgO)n=1-36O- from their respective solids. Further, metal oxoanions such as ZnOn=2,3-, CdOn=2,3,6-, and HgOn=2,3,6,7- have also been noted signifying the higher coordination ability of both Cd and Hg with O/O2/O3 species.

  18. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  19. Modifying the Interface Edge to Control the Electrical Transport Properties of Nanocontacts to Nanowires.

    PubMed

    Lord, Alex M; Ramasse, Quentin M; Kepaptsoglou, Despoina M; Evans, Jonathan E; Davies, Philip R; Ward, Michael B; Wilks, Steve P

    2017-02-08

    Selecting the electrical properties of nanomaterials is essential if their potential as manufacturable devices is to be reached. Here, we show that the addition or removal of native semiconductor material at the edge of a nanocontact can be used to determine the electrical transport properties of metal-nanowire interfaces. While the transport properties of as-grown Au nanocatalyst contacts to semiconductor nanowires are well-studied, there are few techniques that have been explored to modify the electrical behavior. In this work, we use an iterative analytical process that directly correlates multiprobe transport measurements with subsequent aberration-corrected scanning transmission electron microscopy to study the effects of chemical processes that create structural changes at the contact interface edge. A strong metal-support interaction that encapsulates the Au nanocontacts over time, adding ZnO material to the edge region, gives rise to ohmic transport behavior due to the enhanced quantum-mechanical tunneling path. Removal of the extraneous material at the Au-nanowire interface eliminates the edge-tunneling path, producing a range of transport behavior that is dependent on the final interface quality. These results demonstrate chemically driven processes that can be factored into nanowire-device design to select the final properties.

  20. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n-channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study

    NASA Astrophysics Data System (ADS)

    Maitra, Kingsuk; Frank, Martin M.; Narayanan, Vijay; Misra, Veena; Cartier, Eduard A.

    2007-12-01

    We report low temperature (40-300 K) electron mobility measurements on aggressively scaled [equivalent oxide thickness (EOT)=1 nm] n-channel metal-oxide-semiconductor field effect transistors (nMOSFETs) with HfO2 gate dielectrics and metal gate electrodes (TiN). A comparison is made with conventional nMOSFETs containing HfO2 with polycrystalline Si (poly-Si) gate electrodes. No substantial change in the temperature acceleration factor is observed when poly-Si is replaced with a metal gate, showing that soft optical phonons are not significantly screened by metal gates. A qualitative argument based on an analogy between remote phonon scattering and high-resolution electron energy-loss spectroscopy (HREELS) is provided to explain the underlying physics of the observed phenomenon. It is also shown that soft optical phonon scattering is strongly damped by thin SiO2 interface layers, such that room temperature electron mobility values at EOT=1 nm become competitive with values measured in nMOSFETs with SiON gate dielectrics used in current high performance processors.

  1. Synthesis of ZnO nanowires for thin film network transistors

    NASA Astrophysics Data System (ADS)

    Dalal, S. H.; Unalan, H. E.; Zhang, Y.; Hiralal, Pritesh; Gangloff, L.; Flewitt, Andrew J.; Amaratunga, Gehan A. J.; Milne, William I.

    2008-08-01

    Zinc oxide nanowire networks are attractive as alternatives to organic and amorphous semiconductors due to their wide bandgap, flexibility and transparency. We demonstrate the fabrication of thin film transistors (TFT)s which utilize ZnO nanowires as the semiconducting channel. These thin film transistors can be transparent and flexible and processed at low temperatures on to a variety of substrates. The nanowire networks are created using a simple contact transfer method that is easily scalable. Apparent nanowire network mobility values can be as high as 3.8 cm2/Vs (effective thin film mobility: 0.03 cm2/Vs) in devices with 20μm channel lengths and ON/OFF ratios of up to 104.

  2. Epitaxy of advanced nanowire quantum devices

    NASA Astrophysics Data System (ADS)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  3. Metal oxide electrocatalysts for alternative energy technologies

    NASA Astrophysics Data System (ADS)

    Pacquette, Adele Lawren

    This dissertation focuses on the development of metal oxide electrocatalysts with varying applications for alternative energy technologies. Interest in utilizing clean, renewable and sustainable sources of energy for powering the planet in the future has received much attention. This will address the growing concern of the need to reduce our dependence on fossil fuels. The facile synthesis of metal oxides from earth abundant metals was explored in this work. The electrocatalysts can be incorporated into photoelectrochemical devices, fuel cells, and other energy storage devices. The first section addresses the utilization of semiconductors that can harness solar energy for water splitting to generate hydrogen. An oxysulfide was studied in order to combine the advantageous properties of the stability of metal oxides and the visible light absorbance of metal chalcogenides. Bi 2O2S was synthesized under facile hydrothermal conditions. The band gap of Bi2O2S was smaller than that of its oxide counterpart, Bi2O3. Light absorption by Bi 2O2S was extended to the visible region (>600 nm) in comparison to Bi2O3. The formation of a composite with In 2O3 was formed in order to create a UV irradiation protective coating of the Bi2O2S. The Bi2O2S/In 2O3 composite coupled with a dye CrTPP(Cl) and cocatalysts Pt and Co3O4 was utilized for water splitting under light irradiation to generate hydrogen and oxygen. The second section focuses on improving the stability and light absorption of semiconductors by changing the shapes and morphologies. One of the limitations of semiconductor materials is that recombination of electron-hole pairs occur within the bulk of the materials instead of migration to the surface. Three-dimensional shapes, such as nanorods, can prevent this recombination in comparison to spherical particles. Hierarchical structures, such as dendrites, cubes, and multipods, were synthesized under hydrothermal conditions, in order to reduce recombination and improve

  4. Template synthesis of indium nanowires using anodic aluminum oxide membranes.

    PubMed

    Chen, Feng; Kitai, Adrian H

    2008-09-01

    Indium nanowires with diameters approximately 300 nm have been synthesized by a hydraulic pressure technique using anodic aluminum oxide (AAO) templates. The indium melt is injected into the AAO template and solidified to form nanostructures. The nanowires are dense, continuous and uniformly run through the entire approximately 60 microm thickness of the AAO template. X-ray diffraction (XRD) reveals that the nanowires are polycrystalline with a preferred orientation. SEM is performed to characterize the morphology of the nanowires.

  5. Maximizing omnidirectional light harvesting in metal oxide hyperbranched array architectures

    NASA Astrophysics Data System (ADS)

    Wu, Wu-Qiang; Feng, Hao-Lin; Rao, Hua-Shang; Xu, Yang-Fan; Kuang, Dai-Bin; Su, Cheng-Yong

    2014-05-01

    The scrupulous design of nanoarchitectures and smart hybridization of specific active materials are closely related to the overall photovoltaic performance of an anode electrode. Here we present a solution-based strategy for the fabrication of well-aligned metal oxide-based nanowire-nanosheet-nanorod hyperbranched arrays on transparent conducting oxide substrates. For these hyperbranched arrays, we observe a twofold increment in dye adsorption and enhanced light trapping and scattering capability compared with the pristine titanium dioxide nanowires, and thus a power conversion efficiency of 9.09% is achieved. Our growth approach presents a strategy to broaden the photoresponse and maximize the light-harvesting efficiency of arrays architectures, and may lead to applications for energy conversion and storage, catalysis, water splitting and gas sensing.

  6. Maximizing omnidirectional light harvesting in metal oxide hyperbranched array architectures.

    PubMed

    Wu, Wu-Qiang; Feng, Hao-Lin; Rao, Hua-Shang; Xu, Yang-Fan; Kuang, Dai-Bin; Su, Cheng-Yong

    2014-05-29

    The scrupulous design of nanoarchitectures and smart hybridization of specific active materials are closely related to the overall photovoltaic performance of an anode electrode. Here we present a solution-based strategy for the fabrication of well-aligned metal oxide-based nanowire-nanosheet-nanorod hyperbranched arrays on transparent conducting oxide substrates. For these hyperbranched arrays, we observe a twofold increment in dye adsorption and enhanced light trapping and scattering capability compared with the pristine titanium dioxide nanowires, and thus a power conversion efficiency of 9.09% is achieved. Our growth approach presents a strategy to broaden the photoresponse and maximize the light-harvesting efficiency of arrays architectures, and may lead to applications for energy conversion and storage, catalysis, water splitting and gas sensing.

  7. Non-equilibrium induction of tin in germanium: towards direct bandgap Ge1-xSnx nanowires

    NASA Astrophysics Data System (ADS)

    Biswas, Subhajit; Doherty, Jessica; Saladukha, Dzianis; Ramasse, Quentin; Majumdar, Dipanwita; Upmanyu, Moneesh; Singha, Achintya; Ochalski, Tomasz; Morris, Michael A.; Holmes, Justin D.

    2016-04-01

    The development of non-equilibrium group IV nanoscale alloys is critical to achieving new functionalities, such as the formation of a direct bandgap in a conventional indirect bandgap elemental semiconductor. Here, we describe the fabrication of uniform diameter, direct bandgap Ge1-xSnx alloy nanowires, with a Sn incorporation up to 9.2 at.%, far in excess of the equilibrium solubility of Sn in bulk Ge, through a conventional catalytic bottom-up growth paradigm using noble metal and metal alloy catalysts. Metal alloy catalysts permitted a greater inclusion of Sn in Ge nanowires compared with conventional Au catalysts, when used during vapour-liquid-solid growth. The addition of an annealing step close to the Ge-Sn eutectic temperature (230 °C) during cool-down, further facilitated the excessive dissolution of Sn in the nanowires. Sn was distributed throughout the Ge nanowire lattice with no metallic Sn segregation or precipitation at the surface or within the bulk of the nanowires. The non-equilibrium incorporation of Sn into the Ge nanowires can be understood in terms of a kinetic trapping model for impurity incorporation at the triple-phase boundary during growth.

  8. Nature of magnetization and lateral spin-orbit interaction in gated semiconductor nanowires.

    PubMed

    Karlsson, H; Yakimenko, I I; Berggren, K-F

    2018-05-31

    Semiconductor nanowires are interesting candidates for realization of spintronics devices. In this paper we study electronic states and effects of lateral spin-orbit coupling (LSOC) in a one-dimensional asymmetrically biased nanowire using the Hartree-Fock method with Dirac interaction. We have shown that spin polarization can be triggered by LSOC at finite source-drain bias,as a result of numerical noise representing a random magnetic field due to wiring or a random background magnetic field by Earth magnetic field, for instance. The electrons spontaneously arrange into spin rows in the wire due to electron interactions leading to a finite spin polarization. The direction of polarization is, however, random at zero source-drain bias. We have found that LSOC has an effect on orientation of spin rows only in the case when source-drain bias is applied.

  9. Nature of magnetization and lateral spin–orbit interaction in gated semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Karlsson, H.; Yakimenko, I. I.; Berggren, K.-F.

    2018-05-01

    Semiconductor nanowires are interesting candidates for realization of spintronics devices. In this paper we study electronic states and effects of lateral spin–orbit coupling (LSOC) in a one-dimensional asymmetrically biased nanowire using the Hartree–Fock method with Dirac interaction. We have shown that spin polarization can be triggered by LSOC at finite source-drain bias,as a result of numerical noise representing a random magnetic field due to wiring or a random background magnetic field by Earth magnetic field, for instance. The electrons spontaneously arrange into spin rows in the wire due to electron interactions leading to a finite spin polarization. The direction of polarization is, however, random at zero source-drain bias. We have found that LSOC has an effect on orientation of spin rows only in the case when source-drain bias is applied.

  10. Effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks

    NASA Astrophysics Data System (ADS)

    Hicks, Jeremy; Li, Junying; Ying, Chen; Ural, Ant

    2018-05-01

    We study the effect of nanowire curviness on the percolation resistivity of transparent, conductive metal nanowire networks by Monte Carlo simulations. We generate curvy nanowires as one-dimensional sticks using 3rd-order Bézier curves. The degree of curviness in the network is quantified by the concept of curviness angle and curl ratio. We systematically study the interaction between the effect of curviness and five other nanowire/device parameters on the network resistivity, namely nanowire density, nanowire length, device length, device width, and nanowire alignment. We find that the resistivity exhibits a power law dependence on the curl ratio, which is a signature of percolation transport. In each case, we extract the power-law scaling critical exponents and explain the results using geometrical and physical arguments. The value of the curl ratio critical exponent is not universal, but increases as the other nanowire/device parameters drive the network toward the percolation threshold. We find that, for randomly oriented networks, curviness is undesirable since it increases the resistivity. For well-aligned networks, on the other hand, some curviness is highly desirable, since the resistivity minimum occurs for partially curvy nanowires. We explain these results by considering the two competing effects of curviness on the percolation resistivity. The results presented in this work can be extended to any network, film, or nanocomposite consisting of one-dimensional nanoelements. Our results show that Monte Carlo simulations are an essential predictive tool for both studying the percolation transport and optimizing the electronic properties of transparent, conductive nanowire networks for a wide range of applications.

  11. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  12. On the measurement of relaxation times of acoustic vibrations in metal nanowires.

    PubMed

    Devkota, Tuphan; Chakraborty, Debadi; Yu, Kuai; Beane, Gary; Sader, John E; Hartland, Gregory V

    2018-06-25

    The mechanical resonances of metal nanostructures are strongly affected by their environment. In this paper the way the breathing modes of single metal nanowires are damped by liquids with different viscosities was studied by ultrafast pump-probe microscopy experiments. Both nanowires supported on a glass substrate and nanowires suspended over trenches were investigated. The measured quality factors for liquid damping for the suspended nanowires are in good agreement with continuum mechanics calculations for an inviscid fluid that assume continuity in stress and displacement at the nanowire-liquid interface. This shows that liquid damping is controlled by radiation of sound waves into the medium. For the nanowires on the glass surface the quality factors for liquid damping are approximately 60% higher than those for the suspended nanowires. This is attributed to a shadowing effect. The nanowires in our measurements have pentagonal cross-sections. This produces two different breathing modes and also means that one of the faces for the supported nanowires is blocked by the substrate, which reduces the amount of damping from the liquid. Comparing the supported and suspended nanowires also allows us to estimate the effect of the substrate on the acoustic mode damping. We find that the substrate has a weak effect, which is attributed to poor mechanical contact between the nanowires and the substrate.

  13. Performance of ethanol electro-oxidation on Ni-Cu alloy nanowires through composition modulation.

    PubMed

    Tian, Xi-Ke; Zhao, Xiao-Yu; Zhang, Li-de; Yang, Chao; Pi, Zhen-Bang; Zhang, Su-Xin

    2008-05-28

    To reduce the cost of the catalyst for direct ethanol fuel cells and improve its catalytic activity, highly ordered Ni-Cu alloy nanowire arrays have been fabricated successfully by differential pulse current electro-deposition into the pores of a porous anodic alumina membrane (AAMs). The energy dispersion spectrum, scanning and transmission electron microscopy were utilized to characterize the composition and morphology of the Ni-Cu alloy nanowire arrays. The results reveal that the nanowires in the array are uniform, well isolated and parallel to each other. The catalytic activity of the nanowire electrode arrays for ethanol oxidation was tested and the binary alloy nanowire array possesses good catalytic activity for the electro-oxidation of ethanol. The performance of ethanol electro-oxidation was controlled by varying the Cu content in the Ni-Cu alloy and the Ni-Cu alloy nanowire electrode shows much better stability than the pure Ni one.

  14. Atomic Scale Dynamics of Contact Formation in the Cross-Section of InGaAs Nanowire Channels

    DOE PAGES

    Chen, Renjie; Jungjohann, Katherine L.; Mook, William M.; ...

    2017-03-23

    In the alloyed and compound contacts between metal and semiconductor transistor channels we see that they enable self-aligned gate processes which play a significant role in transistor scaling. At nanoscale dimensions and for nanowire channels, prior experiments focused on reactions along the channel length, but the early stage of reaction in their cross sections remains unknown. We report on the dynamics of the solid-state reaction between metal (Ni) and semiconductor (In 0.53Ga 0.47As), along the cross-section of nanowires that are 15 nm in width. Unlike planar structures where crystalline nickelide readily forms at conventional, low alloying temperatures, nanowires exhibit amore » solid-state amorphization step that can undergo a crystal regrowth step at elevated temperatures. Here, we capture the layer-by-layer reaction mechanism and growth rate anisotropy using in situ transmission electron microscopy (TEM). Our kinetic model depicts this new, in-plane contact formation which could pave the way for engineered nanoscale transistors.« less

  15. Numerical study of electrical transport in co-percolative metal nanowire-graphene thin-films

    NASA Astrophysics Data System (ADS)

    Gupta, Man Prakash; Kumar, Satish

    2016-11-01

    Nanowires-dispersed polycrystalline graphene has been recently explored as a transparent conducting material for applications such as solar cells, displays, and touch-screens. Metal nanowires and polycrystalline graphene play synergetic roles during the charge transport in the material by compensating for each other's limitations. In the present work, we develop and employ an extensive computational framework to study the essential characteristics of the charge transport not only on an aggregate basis but also on individual constituents' levels in these types of composite thin-films. The method allows the detailed visualization of the percolative current pathways in the material and provides the direct evidence of current crowding in the 1-D nanowires and 2-D polygraphene sheet. The framework is used to study the effects of several important governing parameters such as length, density and orientation of the nanowires, grain density in polygraphene, grain boundary resistance, and the contact resistance between nanowires and graphene. We also present and validate an effective medium theory based generalized analytical model for the composite. The analytical model is in agreement with the simulations, and it successfully predicts the overall conductance as a function of several parameters including the nanowire network density and orientation and graphene grain boundaries. Our findings suggest that the longer nanowires (compared to grain size) with low angle orientation (<40°) with respect to the main carrier transport direction provide significant advantages in enhancing the conductance of the polygraphene sheet. We also find that above a certain value of grain boundary resistance (>60 × intra-grain resistance), the overall conductance becomes nearly independent of grain boundary resistance due to nanowires. The developed model can be applied to study other emerging transparent conducting materials such as nanowires, nanotubes, polygraphene, graphene oxide, and

  16. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  17. Photoinduced electron transfer from semiconductor quantum dots to metal oxide nanoparticles.

    PubMed

    Tvrdy, Kevin; Frantsuzov, Pavel A; Kamat, Prashant V

    2011-01-04

    Quantum dot-metal oxide junctions are an integral part of next-generation solar cells, light emitting diodes, and nanostructured electronic arrays. Here we present a comprehensive examination of electron transfer at these junctions, using a series of CdSe quantum dot donors (sizes 2.8, 3.3, 4.0, and 4.2 nm in diameter) and metal oxide nanoparticle acceptors (SnO(2), TiO(2), and ZnO). Apparent electron transfer rate constants showed strong dependence on change in system free energy, exhibiting a sharp rise at small driving forces followed by a modest rise further away from the characteristic reorganization energy. The observed trend mimics the predicted behavior of electron transfer from a single quantum state to a continuum of electron accepting states, such as those present in the conduction band of a metal oxide nanoparticle. In contrast with dye-sensitized metal oxide electron transfer studies, our systems did not exhibit unthermalized hot-electron injection due to relatively large ratios of electron cooling rate to electron transfer rate. To investigate the implications of these findings in photovoltaic cells, quantum dot-metal oxide working electrodes were constructed in an identical fashion to the films used for the electron transfer portion of the study. Interestingly, the films which exhibited the fastest electron transfer rates (SnO(2)) were not the same as those which showed the highest photocurrent (TiO(2)). These findings suggest that, in addition to electron transfer at the quantum dot-metal oxide interface, other electron transfer reactions play key roles in the determination of overall device efficiency.

  18. Positive and negative gain exceeding unity magnitude in silicon quantum well metal-oxide-semiconductor transistors

    NASA Astrophysics Data System (ADS)

    Hu, Gangyi; Wijesinghe, Udumbara; Naquin, Clint; Maggio, Ken; Edwards, H. L.; Lee, Mark

    2017-10-01

    Intrinsic gain (AV) measurements on Si quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors show that these devices can have |AV| > 1 in quantum transport negative transconductance (NTC) operation at room temperature. QW NMOS devices were fabricated using an industrial 45 nm technology node process incorporating ion implanted potential barriers to define a lateral QW in the conduction channel under the gate. While NTC at room temperature arising from transport through gate-controlled QW bound states has been previously established, it was unknown whether the quantum NTC mechanism could support gain magnitude exceeding unity. Bias conditions were found giving both positive and negative AV with |AV| > 1 at room temperature. This result means that QW NMOS devices could be useful in amplifier and oscillator applications.

  19. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  20. Quantum Conductance in Metal Nanowires

    NASA Astrophysics Data System (ADS)

    Ugarte, Daniel

    2004-03-01

    Quantum Conductance in Metal Nanowires D. Ugarte Brazilian National Synchrotron Light Laboratory C.P. 6192, 13084-971 Campinas SP, Brazil. Electrical transport properties of metallic nanowires (NWs) have received great attention due to their quantum conductance behavior. Atomic scale wires can be generated by stretching metal contacts; during the elongation and just before rupture, the NW conductance shows flat plateaus and abrupt jumps of approximately a conductance quantum. In this experiments, both the NW atomic arrangement and conductance change simultaneously, making difficult to discriminate electronic and structural effects. In this work, the atomic structure of NWs was studied by time-resolved in situ experiments in a high resolution transmission electron microscope, while their electrical properties using an UHV mechanically controllable break junction (MCBJ). From the analysis of numerous HRTEM images and videos, we have deduced that metal (Au, Ag, Pt, etc.) junctions generated by tensile deformation are crystalline and free of defects. The neck structure is strongly dependent on the surface properties of the analyzed metal, this was verified by comparing different metal NWs (Au, Ag, Cu), which have similar atomic structure (FCC), but show very different faceting patterns. The correlation between the observed structural and transport properties of NW points out that the quantum conductance behavior is defined by preferred atomic arrangement at the narrowest constriction. In the case of magnetic (ex. Fe,Co,Ni) or quasi-magnetic (ex. Pd) wires, we have observed that one-atom-thick structures show a conductance of half the quantum as expected for a fully spin polarized current. This phenomenon seems to occur spontaneously for magnetic suspended atom-chains in zero magnetic field and at room temperature. These results open new opportunities for spin control in nanostructures. Funded by FAPESP, LNLS and CNPq.

  1. Schottky nanocontact of one-dimensional semiconductor nanostructures probed by using conductive atomic force microscopy

    NASA Astrophysics Data System (ADS)

    Lee, Jung Ah; Rok Lim, Young; Jung, Chan Su; Choi, Jun Hee; Im, Hyung Soon; Park, Kidong; Park, Jeunghee; Kim, Gyu Tae

    2016-10-01

    To develop the advanced electronic devices, the surface/interface of each component must be carefully considered. Here, we investigate the electrical properties of metal-semiconductor nanoscale junction using conductive atomic force microscopy (C-AFM). Single-crystalline CdS, CdSe, and ZnO one-dimensional nanostructures are synthesized via chemical vapor transport, and individual nanobelts (or nanowires) are used to fabricate nanojunction electrodes. The current-voltage (I -V) curves are obtained by placing a C-AFM metal (PtIr) tip as a movable contact on the nanobelt (or nanowire), and often exhibit a resistive switching behavior that is rationalized by the Schottky (high resistance state) and ohmic (low resistance state) contacts between the metal and semiconductor. We obtain the Schottky barrier height and the ideality factor through fitting analysis of the I-V curves. The present nanojunction devices exhibit a lower Schottky barrier height and a higher ideality factor than those of the bulk materials, which is consistent with the findings of previous works on nanostructures. It is shown that C-AFM is a powerful tool for characterization of the Schottky contact of conducting channels between semiconductor nanostructures and metal electrodes.

  2. Controlled synthesis of different metal oxide nanostructures by direct current arc discharge.

    PubMed

    Su, Yanjie; Zhang, Jing; Zhang, Liling; Zhang, Yafei

    2013-02-01

    Direct current (DC) arc discharge method gives high temperature in a short time, which has been widely used to prepare carbon nanotubes. We use this simple approach to synthesize metal oxide nanostructures (MgO, SnO2) without any catalyst. Different morphologies (nanowires, nanobelts, nanocubes, and nanodisks) of metal oxide nanostructures can be controllably synthesized by changing the content of air in buffer gas. The growth mechanisms for these nanostructures are discussed in detail. Oxygen partial pressure is supposed to be one of the most important key factors. The methodology might be used to synthesize similar nanostructures of other functional oxide materials and non-oxide materials.

  3. Ternary mixed metal Fe-doped NiCo2O4 nanowires as efficient electrocatalysts for oxygen evolution reaction

    NASA Astrophysics Data System (ADS)

    Yan, Kai-Li; Shang, Xiao; Li, Zhen; Dong, Bin; Li, Xiao; Gao, Wen-Kun; Chi, Jing-Qi; Chai, Yong-Ming; Liu, Chen-Guang

    2017-09-01

    Designing mixed metal oxides with unique nanostructures as efficient electrocatalysts for water electrolysis has been an attractive approach for the storage of renewable energies. The ternary mixed metal spinel oxides FexNi1-xCo2O4 (x = 0, 0.1, 0.25, 0.5, 0.75, 0.9, 1) have been synthesized by a facile hydrothermal approach and calcination treatment using nickel foam as substrate. Fe/Ni ratios have been proved to affect the nanostructures of FexNi1-xCo2O, which imply different intrinsic activity for oxygen evolution reaction (OER). SEM images show that Fe0.5Ni0.5Co2O4 has the uniform nanowires morphology with about 30 nm of the diameter and 200-300 nm of the length. The OER measurements show that Fe0.5Ni0.5Co2O4 exhibits the better electrocatalytic performances with lower overpotential of 350 mV at J = 10 mA cm-2. In addition, the smaller Tafel slope of 27 mV dec-1 than other samples with different Fe/Ni ratios for Fe0.5Ni0.5Co2O4 is obtained. The improved OER activity of Fe0.5Ni0.5Co2O4 may be attributed to the synergistic effects from ternary mixed metals especially Fe-doping and the uniform nanowires supported on NF. Therefore, synthesizing Fe-doped multi-metal oxides with novel nanostructures may be a promising strategy for excellent OER electrocatalysts and it also provides a facile way for the fabrication of high-activity ternary mixed metal oxides electrocatalysts.

  4. Formation of Ordered and Disordered Dielectric/metal Nanowire Arrays and their Plasmonic Behavior

    DTIC Science & Technology

    2007-01-01

    sheath geometry. 2. EXPERIMENTAL PROCEDURES Several different nanowire systems have been grown, including random Ga2O3 nanowires, InAs...nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation...CLOSELY-SPACED PARALLEL ZnO NANOWIRES AND CROSSED Ga2O3 NANOWIRES. As discussed above, due to the far separation of the gold colloid catalyst in the

  5. Veritable electronic characteristics in ZnO nanowire circuits uncovered by the four-terminal method at a low temperature

    NASA Astrophysics Data System (ADS)

    Li, Xin; Zhang, Qi

    2017-04-01

    Understanding the natural electrical properties in semiconductor channels and the carrier transport across the metal-semiconductor contact is essential to improve the performance of nanowire devices. This work presents the true electronic characteristics of ZnO nanowire devices measured by a four-electrode method at a low-temperature environment. The temperature rise leads to the decrease in near-band-gap emission, which is attributed to two non-radiative recombination processes. For ZnO circuits, thermionic emission carrier transport mechanism plays a dominant role at Ti-Au/ZnO interface and the transport mechanism in ZnO nanowires is governed by two competitive thermal activation conduction processes: optical or acoustic phonons assisting hopping.

  6. Non-equilibrium induction of tin in germanium: towards direct bandgap Ge1−xSnx nanowires

    PubMed Central

    Biswas, Subhajit; Doherty, Jessica; Saladukha, Dzianis; Ramasse, Quentin; Majumdar, Dipanwita; Upmanyu, Moneesh; Singha, Achintya; Ochalski, Tomasz; Morris, Michael A.; Holmes, Justin D.

    2016-01-01

    The development of non-equilibrium group IV nanoscale alloys is critical to achieving new functionalities, such as the formation of a direct bandgap in a conventional indirect bandgap elemental semiconductor. Here, we describe the fabrication of uniform diameter, direct bandgap Ge1−xSnx alloy nanowires, with a Sn incorporation up to 9.2 at.%, far in excess of the equilibrium solubility of Sn in bulk Ge, through a conventional catalytic bottom-up growth paradigm using noble metal and metal alloy catalysts. Metal alloy catalysts permitted a greater inclusion of Sn in Ge nanowires compared with conventional Au catalysts, when used during vapour–liquid–solid growth. The addition of an annealing step close to the Ge-Sn eutectic temperature (230 °C) during cool-down, further facilitated the excessive dissolution of Sn in the nanowires. Sn was distributed throughout the Ge nanowire lattice with no metallic Sn segregation or precipitation at the surface or within the bulk of the nanowires. The non-equilibrium incorporation of Sn into the Ge nanowires can be understood in terms of a kinetic trapping model for impurity incorporation at the triple-phase boundary during growth. PMID:27095012

  7. Photoinduced electron transfer from semiconductor quantum dots to metal oxide nanoparticles

    PubMed Central

    Tvrdy, Kevin; Frantsuzov, Pavel A.; Kamat, Prashant V.

    2011-01-01

    Quantum dot-metal oxide junctions are an integral part of next-generation solar cells, light emitting diodes, and nanostructured electronic arrays. Here we present a comprehensive examination of electron transfer at these junctions, using a series of CdSe quantum dot donors (sizes 2.8, 3.3, 4.0, and 4.2 nm in diameter) and metal oxide nanoparticle acceptors (SnO2, TiO2, and ZnO). Apparent electron transfer rate constants showed strong dependence on change in system free energy, exhibiting a sharp rise at small driving forces followed by a modest rise further away from the characteristic reorganization energy. The observed trend mimics the predicted behavior of electron transfer from a single quantum state to a continuum of electron accepting states, such as those present in the conduction band of a metal oxide nanoparticle. In contrast with dye-sensitized metal oxide electron transfer studies, our systems did not exhibit unthermalized hot-electron injection due to relatively large ratios of electron cooling rate to electron transfer rate. To investigate the implications of these findings in photovoltaic cells, quantum dot-metal oxide working electrodes were constructed in an identical fashion to the films used for the electron transfer portion of the study. Interestingly, the films which exhibited the fastest electron transfer rates (SnO2) were not the same as those which showed the highest photocurrent (TiO2). These findings suggest that, in addition to electron transfer at the quantum dot-metal oxide interface, other electron transfer reactions play key roles in the determination of overall device efficiency. PMID:21149685

  8. Potentials and challenges of integration for complex metal oxides in CMOS devices and beyond

    NASA Astrophysics Data System (ADS)

    Kim, Y.; Pham, C.; Chang, J. P.

    2015-02-01

    This review focuses on recent accomplishments on complex metal oxide based multifunctional materials and the potential they hold in advancing integrated circuits. It begins with metal oxide based high-κ materials to highlight the success of their integration since 45 nm complementary metal-oxide-semiconductor (CMOS) devices. By simultaneously offering a higher dielectric constant for improved capacitance as well as providing a thicker physical layer to prevent the quantum mechanical tunnelling of electrons, high-κ materials have enabled the continued down-scaling of CMOS based devices. The most recent technology driver has been the demand to lower device power consumption, which requires the design and synthesis of novel materials, such as complex metal oxides that exhibit remarkable tunability in their ferromagnetic, ferroelectric and multiferroic properties. These properties make them suitable for a wide variety of applications such as magnetoelectric random access memory, radio frequency band pass filters, antennae and magnetic sensors. Single-phase multiferroics, while rare, offer unique functionalities which have motivated much scientific and technological research to ascertain the origins of their multiferroicity and their applicability to potential devices. However, due to the weak magnetoelectric coupling for single-phase multiferroics, engineered multiferroic composites based on magnetostrictive ferromagnets interfacing piezoelectrics or ferroelectrics have shown enhanced multiferroic behaviour from effective strain coupling at the interface. In addition, nanostructuring of the ferroic phases has demonstrated further improvement in the coupling effect. Therefore, single-phase and engineered composite multiferroics consisting of complex metal oxides are reviewed in terms of magnetoelectric coupling effects and voltage controlled ferromagnetic properties, followed by a review on the integration challenges that need to be overcome to realize the

  9. Green synthesis of water soluble semiconductor nanocrystals and their applications

    NASA Astrophysics Data System (ADS)

    Wang, Ying

    well as high-throughput and simplicity of photolithography. Photoconductive LBL thin films are fabricated from Te nanowires. The thin film has distinctively metallic mirror-like appearance and displays strong photoconductance effect characteristic of narrow band-gap semiconductors. In-situ reduction of gold results in formation of Au nanoparticles adhering to Te nanowires, which leads to the disappearance of photoconductivity of the Te thin film. Those nanomaterials are considered for various applications, such as light emitting devices, data storage materials, biosensors, photodetectors.

  10. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    NASA Astrophysics Data System (ADS)

    Yokoyama, Masafumi; Asakura, Yuji; Yokoyama, Haruki; Takenaka, Mitsuru; Takagi, Shinichi

    2014-06-01

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al2O3/GaSb MOS interface properties. The Al2O3/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (Dit) of ˜4.5 × 1013 cm-2 eV-1. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al2O3/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  11. Catching the electron in action in real space inside a Ge-Si core-shell nanowire transistor.

    PubMed

    Jaishi, Meghnath; Pati, Ranjit

    2017-09-21

    Catching the electron in action in real space inside a semiconductor Ge-Si core-shell nanowire field effect transistor (FET), which has been demonstrated (J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, Nature, 2006, 441, 489) to outperform the state-of-the-art metal oxide semiconductor FET, is central to gaining unfathomable access into the origin of its functionality. Here, using a quantum transport approach that does not make any assumptions on electronic structure, charge, and potential profile of the device, we unravel the most probable tunneling pathway for electrons in a Ge-Si core-shell nanowire FET with orbital level spatial resolution, which demonstrates gate bias induced decoupling of electron transport between the core and the shell region. Our calculation yields excellent transistor characteristics as noticed in the experiment. Upon increasing the gate bias beyond a threshold value, we observe a rapid drop in drain current resulting in a gate bias driven negative differential resistance behavior and switching in the sign of trans-conductance. We attribute this anomalous behavior in drain current to the gate bias induced modification of the carrier transport pathway from the Ge core to the Si shell region of the nanowire channel. A new experiment involving a four probe junction is proposed to confirm our prediction on gate bias induced decoupling.

  12. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2018-06-04

    Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high- k oxides on hydrogenated-diamond (H-diamond) for metal-oxide-semiconductor (MOS) capacitors and MOS field-effect transistors (MOSFETs) is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High- k oxide insulators are deposited using atomic layer deposition (ALD) and sputtering deposition (SD) techniques. Electrical properties of the H-diamond MOS capacitors with high- k oxides of ALD-Al₂O₃, ALD-HfO₂, ALD-HfO₂/ALD-Al₂O₃ multilayer, SD-HfO₂/ALD-HfO₂ bilayer, SD-TiO₂/ALD-Al₂O₃ bilayer, and ALD-TiO₂/ALD-Al₂O₃ bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al₂O₃/H-diamond and SD-HfO₂/ALD-HfO₂/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO₂/ALD-Al₂O₃ bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p -type channel characteristics for the ALD-Al₂O₃/H-diamond, SD-HfO₂/ALD-HfO₂/H-diamond, and ALD-TiO₂/ALD-Al₂O₃/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high- k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  13. Construction of 3D Metallic Nanowire Arrays on Arbitrarily-Shaped Substrate.

    NASA Astrophysics Data System (ADS)

    Chen, Fei; Li, Jingning; Yu, Fangfang; Peng, Ru-Wen; Wang, Mu; Mu Wang Team

    Formation of three-dimensional (3D) nanostructures is an important step of advanced manufacture for new concept devices with novel functionality. Despite of great achievements in fabricating nanostructures with state of the art lithography approaches, these nanostructures are normally limited on flat substrates. Up to now it remains challenging to build metallic nanostructures directly on a rough and bumpy surface. Here we demonstrate a unique approach to fabricate metallic nanowire arrays on an arbitrarily-shaped surface by electrodeposition, which is unknown before 2016. Counterintuitively here the growth direction of the nanowires is perpendicular to their longitudinal axis, and the specific geometry of nanowires can be achieved by introducing specially designed shaped substrate. The spatial separation and the width of the nanowires can be tuned by voltage, electrolyte concentration and temperature in electrodeposition. By taking cobalt nanowire array as an example, we demonstrate that head-to-head and tail-to-tail magnetic domain walls can be easily introduced and modulated in the nanowire arrays, which is enlightening to construct new devices such as domain wall racetrack memory. We acknowledge the foundation from MOST and NSF(China).

  14. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  15. Vertical power MOS transistor as a thermoelectric quasi-nanowire device

    NASA Astrophysics Data System (ADS)

    Roizin, Gregory; Beeri, Ofer; Peretz, Mor Mordechai; Gelbstein, Yaniv

    2016-12-01

    Nano-materials exhibit superior performance over bulk materials in a variety of applications such as direct heat to electricity thermoelectric generators (TEGs) and many more. However, a gap still exists for the integration of these nano-materials into practical applications. This study explores the feasibility of utilizing the advantages of nano-materials' thermo-electric properties, using regular bulk technology. Present-day TEGs are often applied by dedicated thermoelectric materials such as semiconductor alloys (e.g., PbTe, BiTe) whereas the standard semiconductor materials such as the doped silicon have not been widely addressed, with limited exceptions of nanowires. This study attempts to close the gap between the nano-materials' properties and the well-established bulk devices, approached for the first time by exploiting the nano-metric dimensions of the conductive channel in metal-oxide-semiconductor (MOS) structures. A significantly higher electrical current than expected from a bulk silicon device has been experimentally measured as a result of the application of a positive gate voltage and a temperature gradient between the "source" and the "drain" terminals of a commercial NMOS transistor. This finding implies on a "quasi-nanowire" behaviour of the transistor channel, which can be easily controlled by the transistor's gate voltage that is applied. This phenomenon enables a considerable improvement of silicon based TEGs, fabricated by traditional silicon technology. Four times higher ZT values (TEG quality factor) compared to conventional bulk silicon have been observed for an off-the-shelf silicon device. By optimizing the device, it is believed that even higher ZT values can be achieved.

  16. Double heterojunction nanowire photocatalysts for hydrogen generation.

    PubMed

    Tongying, P; Vietmeyer, F; Aleksiuk, D; Ferraudi, G J; Krylova, G; Kuno, M

    2014-04-21

    Charge separation and charge transfer across interfaces are key aspects in the design of efficient photocatalysts for solar energy conversion. In this study, we investigate the hydrogen generating capabilities and underlying photophysics of nanostructured photocatalysts based on CdSe nanowires (NWs). Systems studied include CdSe, CdSe/CdS core/shell nanowires and their Pt nanoparticle-decorated counterparts. Femtosecond transient differential absorption measurements reveal how semiconductor/semiconductor and metal/semiconductor heterojunctions affect the charge separation and hydrogen generation efficiencies of these hybrid photocatalysts. In turn, we unravel the role of surface passivation, charge separation at semiconductor interfaces and charge transfer to metal co-catalysts in determining photocatalytic H2 generation efficiencies. This allows us to rationalize why Pt nanoparticle decorated CdSe/CdS NWs, a double heterojunction system, performs best with H2 generation rates of ∼434.29 ± 27.40 μmol h(-1) g(-1) under UV/Visible irradiation. In particular, we conclude that the CdS shell of this double heterojunction system serves two purposes. The first is to passivate CdSe NW surface defects, leading to long-lived charges at the CdSe/CdS interface capable of carrying out reduction chemistries. Upon photoexcitation, we also find that CdS selectively injects charges into Pt NPs, enabling simultaneous reduction chemistries at the Pt NP/solvent interface. Pt nanoparticle decorated CdSe/CdS NWs thus enable reduction chemistries at not one, but rather two interfaces, taking advantage of each junction's optimal catalytic activities.

  17. Flexible ultraviolet photodetectors based on ZnO-SnO2 heterojunction nanowire arrays

    NASA Astrophysics Data System (ADS)

    Lou, Zheng; Yang, Xiaoli; Chen, Haoran; Liang, Zhongzhu

    2018-02-01

    A ZnO-SnO2 nanowires (NWs) array, as a metal oxide semiconductor, was successfully synthesized by a near-field electrospinning method for the applications as high performance ultraviolet photodetectors. Ultraviolet photodetectors based on a single nanowire exhibited excellent photoresponse properties to 300 nm ultraviolet light illumination including ultrahigh I on/I off ratios (up to 103), good stability and reproducibility because of the separation between photo-generated electron-hole pairs. Moreover, the NWs array shows an enhanced photosensing performance. Flexible photodetectors on the PI substrates with similar tendency properties were also fabricated. In addition, under various bending curvatures and cycles, the as-fabricated flexible photodetectors revealed mechanical flexibility and good stable electrical properties, showing that they have the potential for applications in future flexible photoelectron devices. Project supported by the National Science Foundation of China (No. 61504136) and the State Key Laboratory of Applied Optics, Changchun Institute of Optics, Fine and Physics, Chinese Academy of Sciences.

  18. Absorption properties of metal-semiconductor hybrid nanoparticles.

    PubMed

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  19. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  20. Experimental study of uniaxial stress effects on Coulomb-limited mobility in p-type metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kobayashi, Shigeki; Saitoh, Masumi; Nakabayashi, Yukio; Uchida, Ken

    2007-11-01

    Uniaxial stress effects on Coulomb-limited mobility (μCoulomb) in Si metal-oxide-semiconductor field-effect transistors (MOSFETs) are investigated experimentally. By using the four-point bending method, uniaxial stress corresponding to 0.1% strain is applied to MOSFETs along the channel direction. It is found that μCoulomb in p-type MOSFETs is enhanced greatly by uniaxial stress; μCoulomb is as sensitive as phonon-limited mobility. The high sensitivity of μCoulomb in p-type MOSFETs to stress arises from the stress-induced change of hole effective mass.

  1. A new era of semiconductor genetics using ion-sensitive field-effect transistors: the gene-sensitive integrated cell.

    PubMed

    Toumazou, Christofer; Thay, Tan Sri Lim Kok; Georgiou, Pantelis

    2014-03-28

    Semiconductor genetics is now disrupting the field of healthcare owing to the rapid parallelization and scaling of DNA sensing using ion-sensitive field-effect transistors (ISFETs) fabricated using commercial complementary metal -oxide semiconductor technology. The enabling concept of DNA reaction monitoring introduced by Toumazou has made this a reality and we are now seeing relentless scaling with Moore's law ultimately achieving the $100 genome. In this paper, we present the next evolution of this technology through the creation of the gene-sensitive integrated cell (GSIC) for label-free real-time analysis based on ISFETs. This device is derived from the traditional metal-oxide semiconductor field-effect transistor (MOSFET) and has electrical performance identical to that of a MOSFET in a standard semiconductor process, yet is capable of incorporating DNA reaction chemistries for applications in single nucleotide polymorphism microarrays and DNA sequencing. Just as application-specific integrated circuits, which are developed in much the same way, have shaped our consumer electronics industry and modern communications and memory technology, so, too, do GSICs based on a single underlying technology principle have the capacity to transform the life science and healthcare industries.

  2. Preparation and electrical properties of ultrafine Ga2O3 nanowires.

    PubMed

    Huang, Yang; Yue, Shuanglin; Wang, Zhongli; Wang, Qiang; Shi, Chengying; Xu, Z; Bai, X D; Tang, Chengcun; Gu, Changzhi

    2006-01-19

    Uniform and well-crystallized beta-Ga2O3 nanowires are prepared by reacting metal Ga with water vapor based on the vapor-liquid-solid (VLS) mechanism. Electron microscopy studies show that the nanowires have diameters ranging from 10 to 40 nm and lengths up to tens of micrometers. The contact properties of individual Ga2O3 nanowires with Pt or Au/Ti electrodes are studied, respectively, finding that Pt can form Schottky-barrier junctions and Au/Ti is advantageous to fabricate ohmic contacts with individual Ga2O3 nanowires. In ambient air, the conductivity of the Ga2O3 nanowires is about 1 (Omega.m)-1, while with adsorption of NH3 (or NO2) molecules, the conductivity can increase (or decrease) dramatically at room temperature. The as-grown Ga2O3 nanowires have the properties of an n-type semiconductor.

  3. Role of order and disorder on the electronic performances of oxide semiconductor thin film transistors

    NASA Astrophysics Data System (ADS)

    Martins, R.; Barquinha, P.; Ferreira, I.; Pereira, L.; Gonçalves, G.; Fortunato, E.

    2007-02-01

    The role of order and disorder on the electronic performances of n-type ionic oxides such as zinc oxide, gallium zinc oxide, and indium zinc oxide used as active (channel) or passive (drain/source) layers in thin film transistors (TFTs) processed at room temperature are discussed, taking as reference the known behavior observed in conventional covalent semiconductors such as silicon. The work performed shows that while in the oxide semiconductors the Fermi level can be pinned up within the conduction band, independent of the state of order, the same does not happen with silicon. Besides, in the oxide semiconductors the carrier mobility is not bandtail limited and so disorder does not affect so strongly the mobility as it happens in covalent semiconductors. The electrical properties of the oxide films (resistivity, carrier concentration, and mobility) are highly dependent on the oxygen vacancies (source of free carriers), which can be controlled by changing the oxygen partial pressure during the deposition process and/or by adding other metal ions to the matrix. In this case, we make the oxide matrix less sensitive to the presence of oxygen, widening the range of oxygen partial pressures that can be used and thus improving the process control of the film resistivity. The results obtained in fully transparent TFT using polycrystalline ZnO or amorphous indium zinc oxide (IZO) as channel layers and highly conductive poly/nanocrystalline ZGO films or amorphous IZO as drain/source layers show that both devices work in the enhancement mode, but the TFT with the highest electronic saturation mobility and on/off ratio 49.9cm2/Vs and 4.3×108, respectively, are the ones in which the active and passive layers are amorphous. The ZnO TFT whose channel is based on polycrystalline ZnO, the mobility and on/off ratio are, respectively, 26cm2/Vs and 3×106. This behavior is attributed to the fact that the electronic transport is governed by the s-like metal cation conduction bands

  4. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals

    NASA Astrophysics Data System (ADS)

    Carey, Benjamin J.; Ou, Jian Zhen; Clark, Rhiannon M.; Berean, Kyle J.; Zavabeti, Ali; Chesman, Anthony S. R.; Russo, Salvy P.; Lau, Desmond W. M.; Xu, Zai-Quan; Bao, Qiaoliang; Kevehei, Omid; Gibson, Brant C.; Dickey, Michael D.; Kaner, Richard B.; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-02-01

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (~1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes.

  5. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals.

    PubMed

    Carey, Benjamin J; Ou, Jian Zhen; Clark, Rhiannon M; Berean, Kyle J; Zavabeti, Ali; Chesman, Anthony S R; Russo, Salvy P; Lau, Desmond W M; Xu, Zai-Quan; Bao, Qiaoliang; Kevehei, Omid; Gibson, Brant C; Dickey, Michael D; Kaner, Richard B; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-02-17

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (∼1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes.

  6. Wafer-scale two-dimensional semiconductors from printed oxide skin of liquid metals

    PubMed Central

    Carey, Benjamin J.; Ou, Jian Zhen; Clark, Rhiannon M.; Berean, Kyle J.; Zavabeti, Ali; Chesman, Anthony S. R.; Russo, Salvy P.; Lau, Desmond W. M.; Xu, Zai-Quan; Bao, Qiaoliang; Kavehei, Omid; Gibson, Brant C.; Dickey, Michael D.; Kaner, Richard B.; Daeneke, Torben; Kalantar-Zadeh, Kourosh

    2017-01-01

    A variety of deposition methods for two-dimensional crystals have been demonstrated; however, their wafer-scale deposition remains a challenge. Here we introduce a technique for depositing and patterning of wafer-scale two-dimensional metal chalcogenide compounds by transforming the native interfacial metal oxide layer of low melting point metal precursors (group III and IV) in liquid form. In an oxygen-containing atmosphere, these metals establish an atomically thin oxide layer in a self-limiting reaction. The layer increases the wettability of the liquid metal placed on oxygen-terminated substrates, leaving the thin oxide layer behind. In the case of liquid gallium, the oxide skin attaches exclusively to a substrate and is then sulfurized via a relatively low temperature process. By controlling the surface chemistry of the substrate, we produce large area two-dimensional semiconducting GaS of unit cell thickness (∼1.5 nm). The presented deposition and patterning method offers great commercial potential for wafer-scale processes. PMID:28211538

  7. Anisotropy-based crystalline oxide-on-semiconductor material

    DOEpatents

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  8. Controllable Synthesis of Copper Oxide/Carbon Core/Shell Nanowire Arrays and Their Application for Electrochemical Energy Storage

    PubMed Central

    Zhan, Jiye; Chen, Minghua; Xia, Xinhui

    2015-01-01

    Rational design/fabrication of integrated porous metal oxide arrays is critical for the construction of advanced electrochemical devices. Herein, we report self-supported CuO/C core/shell nanowire arrays prepared by the combination of electro-deposition and chemical vapor deposition methods. CuO/C nanowires with diameters of ~400 nm grow quasi-vertically to the substrates forming three-dimensional arrays architecture. A thin carbon shell is uniformly coated on the CuO nanowire cores. As an anode of lithium ion batteries, the resultant CuO/C nanowire arrays are demonstrated to have high specific capacity (672 mAh·g−1 at 0.2 C) and good cycle stability (425 mAh·g−1 at 1 C up to 150 cycles). The core/shell arrays structure plays positive roles in the enhancement of Li ion storage due to fast ion/electron transfer path, good strain accommodation and sufficient contact between electrolyte and active materials. PMID:28347084

  9. Prereduction of Metal Oxides via Carbon Plasma Treatment for Efficient and Stable Electrocatalytic Hydrogen Evolution.

    PubMed

    Zhang, Yongqi; Ouyang, Bo; Xu, Kun; Xia, Xinhui; Zhang, Zheng; Rawat, Rajdeep Singh; Fan, Hong Jin

    2018-04-01

    Prereduction of transition metal oxides is a feasible and efficient strategy to enhance their catalytic activity for hydrogen evolution. Unfortunately, the prereduction via the common H 2 annealing method is unstable for nanomaterials during the hydrogen evolution process. Here, using NiMoO 4 nanowire arrays as the example, it is demonstrated that carbon plasma (C-plasma) treatment can greatly enhance both the catalytic activity and the long-term stability of transition metal oxides for hydrogen evolution. The C-plasma treatment has two functions at the same time: it induces partial surface reduction of the NiMoO 4 nanowire to form Ni 4 Mo nanoclusters, and simultaneously deposits a thin graphitic carbon shell. As a result, the C-plasma treated NiMoO 4 can maintain its array morphology, chemical composition, and catalytic activity during long-term intermittent hydrogen evolution process. This work may pave a new way for simultaneous activation and stabilization of transition metal oxide-based electrocatalysts. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Effect of Water Vapor and Surface Morphology on the Low Temperature Response of Metal Oxide Semiconductor Gas Sensors

    PubMed Central

    Maier, Konrad; Helwig, Andreas; Müller, Gerhard; Hille, Pascal; Eickhoff, Martin

    2015-01-01

    In this work the low temperature response of metal oxide semiconductor gas sensors is analyzed. Important characteristics of this low-temperature response are a pronounced selectivity to acid- and base-forming gases and a large disparity of response and recovery time constants which often leads to an integrator-type of gas response. We show that this kind of sensor performance is related to the trend of semiconductor gas sensors to adsorb water vapor in multi-layer form and that this ability is sensitively influenced by the surface morphology. In particular we show that surface roughness in the nanometer range enhances desorption of water from multi-layer adsorbates, enabling them to respond more swiftly to changes in the ambient humidity. Further experiments reveal that reactive gases, such as NO2 and NH3, which are easily absorbed in the water adsorbate layers, are more easily exchanged across the liquid/air interface when the humidity in the ambient air is high. PMID:28793583

  11. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  12. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  13. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  14. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    NASA Technical Reports Server (NTRS)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  15. Leakage current conduction in metal gate junctionless nanowire transistors

    NASA Astrophysics Data System (ADS)

    Oproglidis, T. A.; Karatsori, T. A.; Barraud, S.; Ghibaudo, G.; Dimitriadis, C. A.

    2017-05-01

    In this paper, the experimental off-state drain leakage current behavior is systematically explored in n- and p-channel junctionless nanowire transistors with HfSiON/TiN/p+-polysilicon gate stack. The analysis of the drain leakage current is based on experimental data of the gate leakage current. It has been shown that the off-state drain leakage current in n-channel devices is negligible, whereas in p-channel devices it is significant and dramatically increases with drain voltage. The overall results indicate that the off-state drain leakage current in p-channel devices is mainly due to trap-assisted Fowler-Nordheim tunneling of electrons through the gate oxide of electrons from the metal gate to the silicon layer near the drain region.

  16. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    PubMed Central

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  17. Structural and semiconductor-to-metal transitions of double-perovskite cobalt oxide Sr2-xLaxCoTiO6-δ with enhanced thermoelectric capability

    NASA Astrophysics Data System (ADS)

    Sugahara, Tohru; Ohtaki, Michitaka

    2011-08-01

    The thermoelectric properties of double-perovskite oxide Sr2-xLaxCoTiO6-δ were revealed to vary anomalously with the La concentration, plausibly due to a structural transition found in this study. Although the temperature dependence of the resistivity and thermopower of the present oxide showed a semiconductor-to-metal transition similar to those observed for other perovskite-related Co oxides such as Sr1-xYxCoO3-δ, the transition temperature was more than 350 K higher, implying considerable stabilization of the low-spin state of Co ions in the double-perovskite oxide. Consequently, the operating temperature range of the oxide for potential thermoelectric applications was significantly expanded toward higher temperatures.

  18. Miniaturized planar Si-nanowire micro-thermoelectric generator using exuded thermal field for power generation.

    PubMed

    Zhan, Tianzhuo; Yamato, Ryo; Hashimoto, Shuichiro; Tomita, Motohiro; Oba, Shunsuke; Himeda, Yuya; Mesaki, Kohei; Takezawa, Hiroki; Yokogawa, Ryo; Xu, Yibin; Matsukawa, Takashi; Ogura, Atsushi; Kamakura, Yoshinari; Watanabe, Takanobu

    2018-01-01

    For harvesting energy from waste heat, the power generation densities and fabrication costs of thermoelectric generators (TEGs) are considered more important than their conversion efficiency because waste heat energy is essentially obtained free of charge. In this study, we propose a miniaturized planar Si-nanowire micro-thermoelectric generator (SiNW-μTEG) architecture, which could be simply fabricated using the complementary metal-oxide-semiconductor-compatible process. Compared with the conventional nanowire μTEGs, this SiNW-μTEG features the use of an exuded thermal field for power generation. Thus, there is no need to etch away the substrate to form suspended SiNWs, which leads to a low fabrication cost and well-protected SiNWs. We experimentally demonstrate that the power generation density of the SiNW-μTEGs was enhanced by four orders of magnitude when the SiNWs were shortened from 280 to 8 μm. Furthermore, we reduced the parasitic thermal resistance, which becomes significant in the shortened SiNW-μTEGs, by optimizing the fabrication process of AlN films as a thermally conductive layer. As a result, the power generation density of the SiNW-μTEGs was enhanced by an order of magnitude for reactive sputtering as compared to non-reactive sputtering process. A power density of 27.9 nW/cm 2 has been achieved. By measuring the thermal conductivities of the two AlN films, we found that the reduction in the parasitic thermal resistance was caused by an increase in the thermal conductivity of the AlN film and a decrease in the thermal boundary resistance.

  19. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    NASA Astrophysics Data System (ADS)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  20. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation.

    PubMed

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-04

    The metal-oxide semiconductor TiO 2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO 2 , but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO 2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO 2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W -1 ) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO 2 .

  1. Semiconducting transition metal oxides.

    PubMed

    Lany, Stephan

    2015-07-22

    Open shell transition metal oxides are usually described as Mott or charge transfer insulators, which are often viewed as being disparate from semiconductors. Based on the premise that the presence of a correlated gap and semiconductivity are not mutually exclusive, this work reviews electronic structure calculations on the binary 3d oxides, so to distill trends and design principles for semiconducting transition metal oxides. This class of materials possesses the potential for discovery, design, and development of novel functional semiconducting compounds, e.g. for energy applications. In order to place the 3d orbitals and the sp bands into an integrated picture, band structure calculations should treat both contributions on the same footing and, at the same time, account fully for electron correlation in the 3d shell. Fundamentally, this is a rather daunting task for electronic structure calculations, but quasi-particle energy calculations in GW approximation offer a viable approach for band structure predictions in these materials. Compared to conventional semiconductors, the inherent multivalent nature of transition metal cations is more likely to cause undesirable localization of electron or hole carriers. Therefore, a quantitative prediction of the carrier self-trapping energy is essential for the assessing the semiconducting properties and to determine whether the transport mechanism is a band-like large-polaron conduction or a small-polaron hopping conduction. An overview is given for the binary 3d oxides on how the hybridization between the 3d crystal field symmetries with the O-p orbitals of the ligands affects the effective masses and the likelihood of electron and hole self-trapping, identifying those situations where small masses and band-like conduction are more likely to be expected. The review concludes with an illustration of the implications of the increased electronic complexity of transition metal cations on the defect physics and doping, using

  2. Disorder induced semiconductor to metal transition and modifications of grain boundaries in nanocrystalline zinc oxide thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Fouran; Kumar, Vinod; Chaudhary, Babloo

    2012-10-01

    This paper report on the disorder induced semiconductor to metal transition (SMT) and modifications of grain boundaries in nanocrystalline zinc oxide thin film. Disorder is induced using energetic ion irradiation. It eliminates the possibility of impurities induced transition. However, it is revealed that some critical concentration of defects is needed for inducing such kind of SMT at certain critical temperature. Above room temperature, the current-voltage characteristics in reverse bias attributes some interesting phenomenon, such as electric field induced charge transfer, charge trapping, and diffusion of defects. The transition is explained by the defects induced disorder and strain in ZnO crystallitesmore » created by high density of electronic excitations.« less

  3. III-V nanowire synthesis by use of electrodeposited gold particles.

    PubMed

    Jafari Jam, Reza; Heurlin, Magnus; Jain, Vishal; Kvennefors, Anders; Graczyk, Mariusz; Maximov, Ivan; Borgström, Magnus T; Pettersson, Håkan; Samuelson, Lars

    2015-01-14

    Semiconductor nanowires are great candidates for building novel electronic devices. Considering the cost of fabricating such devices, substrate reuse and gold consumption are the main concerns. Here we report on implementation of high throughput gold electrodeposition for selective deposition of metal seed particles in arrays defined by lithography for nanowire synthesis. By use of this method, a reduction in gold consumption by a factor of at least 300 was achieved, as compared to conventional thermal evaporation for the same pattern. Because this method also facilitates substrate reuse, a significantly reduced cost of the final device is expected. We investigate the morphology, crystallography, and optical properties of InP and GaAs nanowires grown from electrodeposited gold seed particles and compare them with the properties of nanowires grown from seed particles defined by thermal evaporation of gold. We find that nanowire synthesis, as well as the material properties of the grown nanowires are comparable and quite independent of the gold deposition technique. On the basis of these results, electrodeposition is proposed as a key technology for large-scale fabrication of nanowire-based devices.

  4. Gas Sensors Based on Semiconducting Nanowire Field-Effect Transistors

    PubMed Central

    Feng, Ping; Shao, Feng; Shi, Yi; Wan, Qing

    2014-01-01

    One-dimensional semiconductor nanostructures are unique sensing materials for the fabrication of gas sensors. In this article, gas sensors based on semiconducting nanowire field-effect transistors (FETs) are comprehensively reviewed. Individual nanowires or nanowire network films are usually used as the active detecting channels. In these sensors, a third electrode, which serves as the gate, is used to tune the carrier concentration of the nanowires to realize better sensing performance, including sensitivity, selectivity and response time, etc. The FET parameters can be modulated by the presence of the target gases and their change relate closely to the type and concentration of the gas molecules. In addition, extra controls such as metal decoration, local heating and light irradiation can be combined with the gate electrode to tune the nanowire channel and realize more effective gas sensing. With the help of micro-fabrication techniques, these sensors can be integrated into smart systems. Finally, some challenges for the future investigation and application of nanowire field-effect gas sensors are discussed. PMID:25232915

  5. A compact quantum correction model for symmetric double gate metal-oxide-semiconductor field-effect transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Edward Namkyu; Shin, Yong Hyeon; Yun, Ilgu, E-mail: iyun@yonsei.ac.kr

    2014-11-07

    A compact quantum correction model for a symmetric double gate (DG) metal-oxide-semiconductor field-effect transistor (MOSFET) is investigated. The compact quantum correction model is proposed from the concepts of the threshold voltage shift (ΔV{sub TH}{sup QM}) and the gate capacitance (C{sub g}) degradation. First of all, ΔV{sub TH}{sup QM} induced by quantum mechanical (QM) effects is modeled. The C{sub g} degradation is then modeled by introducing the inversion layer centroid. With ΔV{sub TH}{sup QM} and the C{sub g} degradation, the QM effects are implemented in previously reported classical model and a comparison between the proposed quantum correction model and numerical simulationmore » results is presented. Based on the results, the proposed quantum correction model can be applicable to the compact model of DG MOSFET.« less

  6. Defect Chemistry and Plasmon Physics of Colloidal Metal Oxide Nanocrystals.

    PubMed

    Lounis, Sebastien D; Runnerstrom, Evan L; Llordés, Anna; Milliron, Delia J

    2014-05-01

    Plasmonic nanocrystals of highly doped metal oxides have seen rapid development in the past decade and represent a class of materials with unique optoelectronic properties. In this Perspective, we discuss doping mechanisms in metal oxides and the accompanying physics of free carrier scattering, both of which have implications in determining the properties of localized surface plasmon resonances (LSPRs) in these nanocrystals. The balance between activation and compensation of dopants limits the free carrier concentration of the most common metal oxides, placing a ceiling on the LSPR frequency. Furthermore, because of ionized impurity scattering of the oscillating plasma by dopant ions, scattering must be treated in a fundamentally different way in semiconductor metal oxide materials when compared with conventional metals. Though these effects are well-understood in bulk metal oxides, further study is needed to understand their manifestation in nanocrystals and corresponding impact on plasmonic properties, and to develop materials that surpass current limitations in free carrier concentration.

  7. Lattice matched semiconductor growth on crystalline metallic substrates

    DOEpatents

    Norman, Andrew G; Ptak, Aaron J; McMahon, William E

    2013-11-05

    Methods of fabricating a semiconductor layer or device and said devices are disclosed. The methods include but are not limited to providing a metal or metal alloy substrate having a crystalline surface with a known lattice parameter (a). The methods further include growing a crystalline semiconductor alloy layer on the crystalline substrate surface by coincident site lattice matched epitaxy. The semiconductor layer may be grown without any buffer layer between the alloy and the crystalline surface of the substrate. The semiconductor alloy may be prepared to have a lattice parameter (a') that is related to the lattice parameter (a). The semiconductor alloy may further be prepared to have a selected band gap.

  8. Zn(1-x)MnxTe diluted magnetic semiconductor nanowires grown by molecular beam epitaxy.

    PubMed

    Zaleszczyk, Wojciech; Janik, Elzbieta; Presz, Adam; Dłuzewski, Piotr; Kret, Sławomir; Szuszkiewicz, Wojciech; Morhange, Jean-François; Dynowska, Elzbieta; Kirmse, Holm; Neumann, Wolfgang; Petroutchik, Aleksy; Baczewski, Lech T; Karczewski, Grzegorz; Wojtowicz, Tomasz

    2008-11-01

    It is shown that the growth of II-VI diluted magnetic semiconductor nanowires is possible by the catalytically enhanced molecular beam epitaxy (MBE). Zn(1-x)MnxTe NWs with manganese content up to x=0.60 were produced by this method. X-ray diffraction, Raman spectroscopy, and temperature dependent photoluminescence measurements confirm the incorporation of Mn(2+) ions in the cation substitutional sites of the ZnTe matrix of the NWs.

  9. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. Themore » device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.« less

  10. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situmore » ALD process to avoid the high-temperature-induced degradations.« less

  11. Nanowires of metal (Cd, Cu) halide complexes with 8-hydroxyquinoline for photoelectrochemical and electrochemiluminescence sensing

    NASA Astrophysics Data System (ADS)

    Huang, Shan; Pang, Guangming; Li, Xiangkui; Li, Jianping; Pan, Hongcheng

    2017-12-01

    Metal-hydroxyquinoline-halogen (MqX, M = Cd, Cu; q = 8-hydroxyquinoline; X = Cl, Br, I) nanowires are synthesized via a sonochemical-assisted method. The elemental analysis (EA), inductively coupled plasma-optical emission spectroscopy (ICP-AES), and X-ray photoelectron spectroscopy (XPS) support an M/q/X ratio of 1:1:1. The electron microscope images reveal a typical CdqX and CuqX nanowire diameter of 30-50 nm and a nanowire length of 400-600 nm. In addition, the synthesis of the MqX nanowires is only observed when there is an excess of halide ions (X/q molar ratio of 3 or greater). This halide deficiency results in the formation of micrometer-sized Mq2 sheets. We demonstrated the conversion of the MqX nanowires to Mq2 micro-sheets in an ultrasonic bath of 1 M 8-Hq ethanol solutions (50%, w/ w) at 50 °C for 2 h, but not vice versa. The MqX nanowires exhibited excellent properties for photoluminescence, electrochemiluminescence (ECL), and photoelectrochemistry (PEC). The CdqBr and CdqI nanowires were coated onto a glass carbon and a fluorine-doped tin oxide glass electrode to develop the above ECL and PEC methods for the detection of H2O2 and Cu2+, respectively. In the range of 2 to 14 μM, the ECL intensity of the CdqBr nanowires was inversely proportional to the concentration of H2O2 with a detection limit of 0.26 μM. For Cu2+ sensing, the photocurrent of the CdqI nanowires exhibited a linear response to Cu2+ over the range of 2 to 16 μM of which a detection limit of 0.2 μM was observed.

  12. Label-Free Direct Detection of miRNAs with Poly-Silicon Nanowire Biosensors

    PubMed Central

    Gong, Changguo; Qi, Jiming; Xiao, Han; Jiang, Bin; Zhao, Yulan

    2015-01-01

    Background The diagnostic and prognostic value of microRNAs (miRNAs) in a variety of diseases is promising. The novel silicon nanowire (SiNW) biosensors have advantages in molecular detection because of their high sensitivity and fast response. In this study, poly-crystalline silicon nanowire field-effect transistor (poly-SiNW FET) device was developed to achieve specific and ultrasensitive detection of miRNAs without labeling and amplification. Methods The poly-SiNW FET was fabricated by a top–down Complementary Metal Oxide Semiconductor (CMOS) wafer fabrication based technique. Single strand DNA (ssDNA) probe was bind to the surface of the poly-SiNW device which was silanated and aldehyde-modified. By comparing the difference of resistance value before and after ssDNA and miRNA hybridization, poly-SiNW device can be used to detect standard and real miRNA samples. Results Poly-SiNW device with different structures (different line width and different pitch) was applied to detect standard Let-7b sample with a detection limitation of 1 fM. One-base mismatched sequence could be distinguished meanwhile. Furthermore, these poly-SiNW arrays can detect snRNA U6 in total RNA samples extracted from HepG2 cells with a detection limitation of 0.2 μg/mL. In general, structures with pitch showed better results than those without pitch in detection of both Let-7b and snRNA U6. Moreover, structures with smaller pitch showed better detection efficacy. Conclusion Our findings suggest that poly-SiNW arrays could detect standard and real miRNA sample without labeling or amplification. Poly-SiNW biosensor device is promising for miRNA detection. PMID:26709827

  13. High-Efficiency Nanowire Solar Cells with Omnidirectionally Enhanced Absorption Due to Self-Aligned Indium-Tin-Oxide Mie Scatterers.

    PubMed

    van Dam, Dick; van Hoof, Niels J J; Cui, Yingchao; van Veldhoven, Peter J; Bakkers, Erik P A M; Gómez Rivas, Jaime; Haverkort, Jos E M

    2016-12-27

    Photovoltaic cells based on arrays of semiconductor nanowires promise efficiencies comparable or even better than their planar counterparts with much less material. One reason for the high efficiencies is their large absorption cross section, but until recently the photocurrent has been limited to less than 70% of the theoretical maximum. Here we enhance the absorption in indium phosphide (InP) nanowire solar cells by employing broadband forward scattering of self-aligned nanoparticles on top of the transparent top contact layer. This results in a nanowire solar cell with a photovoltaic conversion efficiency of 17.8% and a short-circuit current of 29.3 mA/cm 2 under 1 sun illumination, which is the highest reported so far for nanowire solar cells and among the highest reported for III-V solar cells. We also measure the angle-dependent photocurrent, using time-reversed Fourier microscopy, and demonstrate a broadband and omnidirectional absorption enhancement for unpolarized light up to 60° with a wavelength average of 12% due to Mie scattering. These results unambiguously demonstrate the potential of semiconductor nanowires as nanostructures for the next generation of photovoltaic devices.

  14. Self-assembled bifunctional surface mimics an enzymatic and templating protein for the synthesis of a metal oxide semiconductor

    PubMed Central

    Kisailus, David; Truong, Quyen; Amemiya, Yosuke; Weaver, James C.; Morse, Daniel E.

    2006-01-01

    The recent discovery and characterization of silicatein, a mineral-synthesizing enzyme that assembles to form the filamentous organic core of the glassy skeletal elements (spicules) of a marine sponge, has led to the development of new low-temperature synthetic routes to metastable semiconducting metal oxides. These protein filaments were shown in vitro to catalyze the hydrolysis and structurally direct the polycondensation of metal oxides at neutral pH and low temperature. Based on the confirmation of the catalytic mechanism and the essential participation of specific serine and histidine residues (presenting a nucleophilic hydroxyl and a nucleophilicity-enhancing hydrogen-bonding imidazole nitrogen) in silicatein’s catalytic active site, we therefore sought to develop a synthetic mimic that provides both catalysis and the surface determinants necessary to template and structurally direct heterogeneous nucleation through condensation. Using lithographically patterned poly(dimethylsiloxane) stamps, bifunctional self-assembled monolayer surfaces containing the essential catalytic and templating elements were fabricated by using alkane thiols microcontact-printed on gold substrates. The interface between chemically distinct self-assembled monolayer domains provided the necessary juxtaposition of nucleophilic (hydroxyl) and hydrogen-bonding (imidazole) agents to catalyze the hydrolysis of a gallium oxide precursor and template the condensed product to form gallium oxohydroxide (GaOOH) and the defect spinel, gamma-gallium oxide (γ-Ga2O3). Using this approach, the production of patterned substrates for catalytic synthesis and templating of semiconductors for device applications can be envisioned. PMID:16585518

  15. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    NASA Astrophysics Data System (ADS)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  16. High quality HfO{sub 2}/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8 nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barth, Michael; Datta, Suman, E-mail: sdatta@engr.psu.edu; Bruce Rayner, G.

    2014-12-01

    We investigate in-situ cleaning of GaSb surfaces and its effect on the electrical performance of p-type GaSb metal-oxide-semiconductor capacitor (MOSCAP) using a remote hydrogen plasma. Ultrathin HfO{sub 2} films grown by atomic layer deposition were used as a high permittivity gate dielectric. Compared to conventional ex-situ chemical cleaning methods, the in-situ GaSb surface treatment resulted in a drastic improvement in the impedance characteristics of the MOSCAPs, directly evidencing a much lower interface trap density and enhanced Fermi level movement efficiency. We demonstrate that by using a combination of ex-situ and in-situ surface cleaning steps, aggressively scaled HfO{sub 2}/p-GaSb MOSCAP structuresmore » with a low equivalent oxide thickness of 0.8 nm and efficient gate modulation of the surface potential are achieved, allowing to push the Fermi level far away from the valence band edge high up into the band gap of GaSb.« less

  17. Gas Sensors Based on Semiconducting Metal Oxide One-Dimensional Nanostructures

    PubMed Central

    Huang, Jin; Wan, Qing

    2009-01-01

    This article provides a comprehensive review of recent (2008 and 2009) progress in gas sensors based on semiconducting metal oxide one-dimensional (1D) nanostructures. During last few years, gas sensors based on semiconducting oxide 1D nanostructures have been widely investigated. Additionally, modified or doped oxide nanowires/nanobelts have also been synthesized and used for gas sensor applications. Moreover, novel device structures such as electronic noses and low power consumption self-heated gas sensors have been invented and their gas sensing performance has also been evaluated. Finally, we also point out some challenges for future investigation and practical application. PMID:22303154

  18. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    PubMed Central

    Irokawa, Yoshihiro

    2011-01-01

    In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C–V) characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C–V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C–V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I–V) characterization, suggesting that low-frequency C–V method would be effective in detecting very low hydrogen concentrations. PMID:22346597

  19. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1997-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  20. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1995-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  1. Thermal stability of atomic layer deposited WCxNy electrodes for metal oxide semiconductor devices

    NASA Astrophysics Data System (ADS)

    Zonensain, Oren; Fadida, Sivan; Fisher, Ilanit; Gao, Juwen; Danek, Michal; Eizenberg, Moshe

    2018-01-01

    This study is a thorough investigation of the chemical, structural, and electrical stability of W based organo-metallic films, grown by atomic layer deposition, for future use as gate electrodes in advanced metal oxide semiconductor structures. In an earlier work, we have shown that high effective work-function (4.7 eV) was produced by nitrogen enriched films (WCxNy) dominated by W-N chemical bonding, and low effective work-function (4.2 eV) was produced by hydrogen plasma resulting in WCx films dominated by W-C chemical bonding. In the current work, we observe, using x-ray diffraction analysis, phase transformation of the tungsten carbide and tungsten nitride phases after 900 °C annealing to the cubic tungsten phase. Nitrogen diffusion is also observed and is analyzed with time-of-flight secondary ion mass spectroscopy. After this 900 °C anneal, WCxNy effective work function tunability is lost and effective work-function values of 4.7-4.8 eV are measured, similar to stable effective work function values measured for PVD TiN up to 900 °C anneal. All the observed changes after annealing are discussed and correlated to the observed change in the effective work function.

  2. EDITORIAL: Oxide semiconductors

    NASA Astrophysics Data System (ADS)

    Kawasaki, M.; Makino, T.

    2005-04-01

    growth of p-type layers, ferromagnetic behaviour in transition-metal doped oxide is also fuelling renewed interest from the spintronic point of view. Since some of the related reports remain controversial, a critical discussion of the magnetic properties of these doped oxides is made by Fukumura et al. Before the observation of electro-luminescence from the ZnO p-n homojunction reported by Tsukazaki et al (2005 Nature Mater. 4 42), the afore-mentioned advantages have been explored and exploited by alternative methods, such as heteroepitaxy in which p-n heterostructures can be obtained by depositing n-type ZnO films on other p-type oxides while still utilizing ZnO as their active layer. Researchers in Hosono's group observed the high-intensity band-edge emission from such heterostructures for the first time (Ohta H et al 2000 Appl. Phys. Lett. 77 475). They have also successfully extended their research fields to the development of a transparent oxide transistor based on homologous compounds, which is reviewed by Kamiya and Hosono in this special issue. As can be seen from these demonstrations, the advantage of oxides is, of course, based on the fact that many elements in the periodic table can form compounds with oxygen. Since the discovery of high-temperature superconductors, these multi-component oxides have exploited the new field known as the science of strongly correlated-electron materials, whose recent progress is reviewed by Inoue. Although the collection of papers included in this special issue covers a good cross-section of the development of oxide semiconductors and correlated-electron oxides to date, this is not meant to be exhaustive. There are a number of unavoidable omissions, such as theoretical studies except for some theoretical predictions on the room-temperature Bose-Einstein condensation of exciton-polaritons found in the article by Chichibu et al. We hope this issue promotes further development of this exciting field. The guest editors would like to

  3. Surface oxidation and thermoelectric properties of indium-doped tin telluride nanowires.

    PubMed

    Li, Zhen; Xu, Enzhi; Losovyj, Yaroslav; Li, Nan; Chen, Aiping; Swartzentruber, Brian; Sinitsyn, Nikolai; Yoo, Jinkyoung; Jia, Quanxi; Zhang, Shixiong

    2017-09-14

    The recent discovery of excellent thermoelectric properties and topological surface states in SnTe-based compounds has attracted extensive attention in various research areas. Indium doped SnTe is of particular interest because, depending on the doping level, it can either generate resonant states in the bulk valence band leading to enhanced thermoelectric properties, or induce superconductivity that coexists with topological states. Here we report on the vapor deposition of In-doped SnTe nanowires and the study of their surface oxidation and thermoelectric properties. The nanowire growth is assisted by Au catalysts, and their morphologies vary as a function of substrate position and temperature. Transmission electron microscopy characterization reveals the formation of an amorphous surface in single crystalline nanowires. X-ray photoelectron spectroscopy studies suggest that the nanowire surface is composed of In 2 O 3 , SnO 2 , Te and TeO 2 which can be readily removed by argon ion sputtering. Exposure of the cleaned nanowires to atmosphere leads to rapid oxidation of the surface within only one minute. Characterization of electrical conductivity σ, thermopower S, and thermal conductivity κ was performed on the same In-doped nanowire which shows suppressed σ and κ but enhanced S yielding an improved thermoelectric figure of merit ZT compared to the undoped SnTe.

  4. Exploring the Electronic Landscape at Interfaces and Junctions in Semiconductor Nanowire Devices with Subsurface Local Probing of Carrier Dynamics

    NASA Astrophysics Data System (ADS)

    McGuckin, Terrence

    The solid state devices that are pervasive in our society, are based on building blocks composed of interfaces between materials and junctions that manipulate how charge carriers behave in a device. As the dimensions of these devices are reduced to the nanoscale, surfaces and interfaces play a larger role in the behavior of carriers in devices and must be thoroughly investigated to understand not only the material properties but how these materials interact. Separating the effects of these different building blocks is a challenge, as most testing methods measure the performance of the whole device. Semiconductor nanowires represent an excellent test system to explore the limits of size and novel device structures. The behavior of charge carriers in semiconductor nanowire devices under operational conditions is investigated using local probing technique electron beam induced current (EBIC). The behavior of locally excited carriers are driven by the forces of drift, from electric fields within a device at junctions, surfaces, contacts and, applied voltage bias, and diffusion. This thesis presents the results of directly measuring these effects spatially with nanometer resolution, using EBIC in Ge, Si, and complex heterostructure GaAs/AlGaAs nanowire devices. Advancements to the EBIC technique, have pushed the resolution from tens of nanometers down to 1 to 2 nanometers. Depth profiling and tuning of the interaction volume allows for the separating the signal originating from the surface and the interior of the nanowire. Radial junctions and variations in bands can now be analyzed including core/shell hetero-structures. This local carrier probing reveals a number of surprising behaviors; Most notably, directly imaging the evolution of surface traps filling with electrons causing bandbending at the surface of Ge nanowires that leads to an enhancement in the charge separation of electrons and holes, and extracting different characteristic lengths from GaAs and AlGaAs in

  5. Synthesis of a Nano-Silver Metal Ink for Use in Thick Conductive Film Fabrication Applied on a Semiconductor Package

    PubMed Central

    Yung, Lai Chin; Fei, Cheong Choke; Mandeep, JS; Binti Abdullah, Huda; Wee, Lai Khin

    2014-01-01

    The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID) and light emitting diode (LED) industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail. PMID:24830317

  6. Synthesis of a nano-silver metal ink for use in thick conductive film fabrication applied on a semiconductor package.

    PubMed

    Yung, Lai Chin; Fei, Cheong Choke; Mandeep, Js; Binti Abdullah, Huda; Wee, Lai Khin

    2014-01-01

    The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID) and light emitting diode (LED) industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail.

  7. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    NASA Astrophysics Data System (ADS)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  8. Observations of the Kondo effect and its coexistence with ferromagnetism in a magnetically undoped metal oxide nanostructure

    NASA Astrophysics Data System (ADS)

    Sapkota, Keshab R.; Maloney, F. Scott; Wang, Wenyong

    2018-04-01

    In this work, we report unusual observations of Kondo effect and coexistence of Kondo effect and ferromagnetism in indium tin oxide (ITO) nanowires that were synthesized without incorporating any magnetic impurities. The temperature-dependent resistivity (ρ -T ) data exhibited an upturn below 80 K and then tended to saturate below 10 K. The ρ -T and magnetoresistance data were analyzed using the n -channel Kondo model, and from the obtained values of S =1 and n ˜1 , the nanowires were expected to be an underscreened Kondo system. A model was also proposed to explain the formation of localized S =1 spin centers in the ITO nanowires. This work could provide insights into the understanding of spin-related novel phenomena in metal oxide nanostructures.

  9. Band-Gap Engineering at a Semiconductor-Crystalline Oxide Interface

    DOE PAGES

    Jahangir-Moghadam, Mohammadreza; Ahmadi-Majlan, Kamyar; Shen, Xuan; ...

    2015-02-09

    The epitaxial growth of crystalline oxides on semiconductors provides a pathway to introduce new functionalities to semiconductor devices. Key to integrating the functionalities of oxides onto semiconductors is controlling the band alignment at interfaces between the two materials. Here we apply principles of band gap engineering traditionally used at heterojunctions between conventional semiconductors to control the band offset between a single crystalline oxide and a semiconductor. Reactive molecular beam epitaxy is used to realize atomically abrupt and structurally coherent interfaces between SrZr xTi 1-xO₃ and Ge, in which the band gap of the former is enhanced with Zr content x.more » We present structural and electrical characterization of SrZr xTi 1-xO₃-Ge heterojunctions and demonstrate a type-I band offset can be achieved. These results demonstrate that band gap engineering can be exploited to realize functional semiconductor crystalline oxide heterojunctions.« less

  10. Analysis of magic lengths in growth of supported metallic nanowires

    NASA Astrophysics Data System (ADS)

    Han, Yong

    2014-12-01

    Metallic nanowires can exhibit fascinating physical properties. These unique properties often originate primarily from the quantum confinement of free electrons in a potential well, while electron-electron interactions do not play a decisive role. A recent experimental study shows that self-assembled Ir nanowires grown on Ge(001) surface have a strong length preference: the nanowire lengths are an integer multiple of 4.8 nm. In this paper, a free-electron-gas model for geometries corresponding to the nanowires is used to analyze the selection of these preferred or magic lengths. The model shows that the inclusion of even numbers of free electrons in an Ir nanowire produces these magic lengths once an electron spillage effect is taken into account. The model also shows that the stability of the nanowire diminishes with its increasing length, and consequently suggests why no long nanowires are observed in experiments. It is also shown that applying generic results for quantum size effects in a nanofilm geometry is not adequate to accurately describe the length selection in the rather different nanowire geometry, where the transverse dimensions are smaller than the electron Fermi wavelength. Finally, monatomic Au chain growth on Ge(001) surface is also analyzed. In contrast to Ir nanowires, the model shows that the stability of an Au chain depends strongly on the extent of electron spillage.

  11. Mechanical Failure Mode of Metal Nanowires: Global Deformation versus Local Deformation

    PubMed Central

    Ho, Duc Tam; Im, Youngtae; Kwon, Soon-Yong; Earmme, Youn Young; Kim, Sung Youb

    2015-01-01

    It is believed that the failure mode of metal nanowires under tensile loading is the result of the nucleation and propagation of dislocations. Such failure modes can be slip, partial slip or twinning and therefore they are regarded as local deformation. Here we provide numerical and theoretical evidences to show that global deformation is another predominant failure mode of nanowires under tensile loading. At the global deformation mode, nanowires fail with a large contraction along a lateral direction and a large expansion along the other lateral direction. In addition, there is a competition between global and local deformations. Nanowires loaded at low temperature exhibit global failure mode first and then local deformation follows later. We show that the global deformation originates from the intrinsic instability of the nanowires and that temperature is a main parameter that decides the global or local deformation as the failure mode of nanowires. PMID:26087445

  12. In situ monitoring of laser-assisted hydrothermal growth of ZnO nanowires: thermally deactivating growth kinetics.

    PubMed

    In, Jung Bin; Kwon, Hyuk-Jun; Lee, Daeho; Ko, Seung Hwan; Grigoropoulos, Costas P

    2014-02-26

    The laser-assisted hydrothermal growth kinetics of a cluster of ZnO nanowires are studied based on optical in situ growth monitoring. The growth yields are orders of magnitude higher than those of conventional hydrothermal methods that use bulk heating. This remarkable improvement is attributed to suppression of precursor depletion occurring by homogeneous growth reactions, as well as to enhanced mass transport. The obtained in situ data show gradually decaying growth kinetics even with negligible precursor consumption. It is revealed that the growth deceleration is caused by thermal deactivation resulting from heat dissipation through the growing nanowires. Finally, it is demonstrated that the tailored temporal modulation of the input power enables sustained growth to extended dimensions. These results provide a key to highly efficient use of growth precursors that has been pursued for industrial use of this functional metal oxide semiconductor. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Thin Semiconductor/Metal Films For Infrared Devices

    NASA Technical Reports Server (NTRS)

    Lamb, James L.; Nagendra, Channamallappa L.

    1995-01-01

    Spectral responses of absorbers and reflectors tailored. Thin cermet films composites of metals and semiconductors undergoing development for use as broadband infrared reflectors and absorbers. Development extends concepts of semiconductor and dielectric films used as interference filters for infrared light and visible light. Composite films offer advantages over semiconductor films. Addition of metal particles contributes additional thermal conductivity, reducing thermal gradients and associated thermal stresses, with resultant enhancements of thermal stability. Because values of n in composite films made large, same optical effects achieved with lesser thicknesses. By decreasing thicknesses of films, one not only decreases weights but also contributes further to reductions of thermal stresses.

  14. Production of zinc oxide nanowires power with precisely defined morphology

    NASA Astrophysics Data System (ADS)

    Mičová, Júlia; Remeš, Zdeněk; Chan, Yu-Ying

    2017-12-01

    The interest about zinc oxide is increasing thanks to its unique chemical and physical properties. Our attention has focused on preparation powder of 1D nanostructures of ZnO nanowires with precisely defined morphology include characterization size (length and diameter) and shape controlled in the scanning electron microscopy (SEM). We have compared results of SEM with dynamic light scattering (DLS) technique. We have found out that SEM method gives more accurate results. We have proposed transformation process from ZnO nanowires on substrates to ZnO nanowires powder by ultrasound peeling to colloid followed by lyophilization. This method of the mass production of the ZnO nanowires powder has some advantages: simplicity, cost effective, large-scale and environment friendly.

  15. Implicit versus explicit momentum relaxation time solution for semiconductor nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marin, E. G., E-mail: egmarin@ugr.es; Ruiz, F. G., E-mail: franruiz@ugr.es; Godoy, A., E-mail: agodoy@ugr.es

    2015-07-14

    We discuss the necessity of the exact implicit Momentum Relaxation Time (MRT) solution of the Boltzmann transport equation in order to achieve reliable carrier mobility results in semiconductor nanowires. Firstly, the implicit solution for a 1D electron gas with a isotropic bandstructure is presented resulting in the formulation of a simple matrix system. Using this solution as a reference, the explicit approach is demonstrated to be inaccurate for the calculation of inelastic anisotropic mechanisms such as polar optical phonons, characteristic of III-V materials. Its validity for elastic and isotropic mechanisms is also evaluated. Finally, the implications of the MRT explicitmore » approach inaccuracies on the total mobility of Si and III-V NWs are studied.« less

  16. BRIEF COMMUNICATIONS: Q switching of a resonator by the metal-semiconductor phase transition

    NASA Astrophysics Data System (ADS)

    Bugaev, A. A.; Zakharchenya, Boris P.; Chudnovskiĭ, F. A.

    1981-12-01

    An experimental study was made of Q switching in a resonator by a mirror with a nonlinear reflection coefficient. This mirror was an interference reflecting structure containing a vanadium oxide film capable of undergoing a metal-semiconductor transition. The nonlinearity of the reflection coefficient was due to initiation of this phase transition by laser radiation. A determination was made of the parameters of a giant radiation pulse obtained using such a passive switch with a vanadium oxide film.

  17. Defect-related electroluminescence from metal-oxide-semiconductor devices with ZrO2 films on silicon

    NASA Astrophysics Data System (ADS)

    Lv, Chunyan; Zhu, Chen; Wang, Canxing; Li, Dongsheng; Ma, Xiangyang; Yang, Deren

    2016-11-01

    Defect-related electroluminescence (EL) from ZrO2 films annealed under different atmosphere has been realized by means of electrical pumping scheme of metal-oxide-semiconductor (MOS) devices. At the same injection current, the acquired EL from the MOS device with the vacuum-annealed ZrO2 film is much stronger than that from the counterpart with the oxygen-annealed ZrO2 film. This is because the vacuum-annealed ZrO2 film contains more oxygen vacancies and Zr3+ ions. Analysis on the current-voltage characteristic of the ZrO2-based MOS devices indicates the P-F conduction mechanism dominates the electron transportation at the EL-enabling voltages under forward bias. It is tentatively proposed that the recombination of the electrons trapped in multiple oxygen-vacancy-related states with the holes in the defect level pertaining to Zr3+ ions brings about the EL emissions.

  18. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  19. Enhanced optical properties due to indium incorporation in zinc oxide nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farid, S.; Mukherjee, S.; Sarkar, K.

    Indium-doped zinc oxide nanowires grown by vapor-liquid-solid technique with 1.6 at. % indium content show intense room temperature photoluminescence (PL) that is red shifted to 20 meV from band edge. We report on a combination of nanowires and nanobelts-like structures with enhanced optical properties after indium doping. The near band edge emission shift gives an estimate for the carrier density as high as 5.5 × 10{sup 19 }cm{sup −3} for doped nanowires according to Mott's critical density theory. Quenching of the visible green peak is seen for doped nanostructures indicating lesser oxygen vacancies and improved quality. PL and transmission electron microscopy measurementsmore » confirm indium doping into the ZnO lattice, whereas temperature dependent PL data give an estimation of the donor and acceptor binding energies that agrees well with indium doped nanowires. This provides a non-destructive technique to estimate doping for 1D structures as compared to the traditional FET approach. Furthermore, these indium doped nanowires can be a potential candidate for transparent conducting oxides applications and spintronic devices with controlled growth mechanism.« less

  20. Metallic nanospheres embedded in nanowires initiated on nanostructures and methods for synthesis thereof

    DOEpatents

    Zaidi, Saleem [Albuquerque, NM; Tringe, Joseph W [Walnut Creek, CA; Vanamu, Ganesh [Sunnyvale, CA; Prinja, Rajiv [Albuquerque, NM

    2012-01-10

    A nanostructure includes a nanowire having metallic spheres formed therein, the spheres being characterized as having at least one of about a uniform diameter and about a uniform spacing there between. A nanostructure in another embodiment includes a substrate having an area with a nanofeature; and a nanowire extending from the nanofeature, the nanowire having metallic spheres formed therein, the spheres being characterized as having at least one of about a uniform diameter and about a uniform spacing there between. A method for forming a nanostructure is also presented. A method for reading and writing data is also presented. A method for preparing nanoparticles is also presented.