Sample records for nanowire heterostructures grown

  1. Heterostructured ZnS/InP nanowires for rigid/flexible ultraviolet photodetectors with enhanced performance.

    PubMed

    Zhang, Kai; Ding, Jia; Lou, Zheng; Chai, Ruiqing; Zhong, Mianzeng; Shen, Guozhen

    2017-10-19

    Heterostructured ZnS/InP nanowires, composed of single-crystalline ZnS nanowires coated with a layer of InP shell, were synthesized via a one-step chemical vapor deposition process. As-grown heterostructured ZnS/InP nanowires exhibited an ultrahigh I on /I off ratio of 4.91 × 10 3 , a high photoconductive gain of 1.10 × 10 3 , a high detectivity of 1.65 × 10 13 Jones and high response speed even in the case of very weak ultraviolet light illumination (1.87 μW cm -2 ). The values are much higher than those of previously reported bare ZnS nanowires owing to the formation of core/shell heterostructures. Flexible ultraviolet photodetectors were also fabricated with the heterostructured ZnS/InP nanowires, which showed excellent mechanical flexibility, electrical stability and folding endurance besides excellent photoresponse properties. The results elucidated that the heterostructured ZnS/InP nanowires could find good applications in next generation flexible optoelectronic devices.

  2. Electrical breakdown and nanogap formation of indium oxide core/shell heterostructure nanowires.

    PubMed

    Jung, Minkyung; Song, Woon; Sung Lee, Joon; Kim, Nam; Kim, Jinhee; Park, Jeunghee; Lee, Hyoyoung; Hirakawa, Kazuhiko

    2008-12-10

    We report the electrical breakdown behavior and subsequent nanogap formation of In(2)O(3)/InO(x) core/shell heterostructure nanowires with substrate-supported and suspended structures. The radial heterostructure nanowires, composed of crystalline In(2)O(3) cores and amorphous In-rich shells, are grown by chemical vapor deposition. As the nanowires broke down, they exhibited two distinct current drops in the current-voltage characteristics. The tips of the broken nanowires were found to have a cone or a volcano shape depending on the width of the nanowire. The shape, the size, and the position of the nanogap depend strongly on the device structure and the nanowire dimensions. The substrate-supported and the suspended devices exhibit distinct breakdown behavior which can be explained by the diffusive thermal transport model. The breakdown temperature of the nanowire is estimated to be about 450 K, close to the melting temperature of indium. We demonstrated the usefulness of this technique by successful fabrication of working pentacene field-effect transistors.

  3. Structural and optical properties of InGaN--GaN nanowire heterostructures grown by molecular beam epitaxy

    DOE PAGES

    Limbach, F.; Gotschke, T.; Stoica, T.; ...

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaNmore » to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.« less

  4. Structural and optical properties of InGaN-GaN nanowire heterostructures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Limbach, F.; Gotschke, T.; Stoica, T.; Calarco, R.; Sutter, E.; Ciston, J.; Cusco, R.; Artus, L.; Kremling, S.; Höfling, S.; Worschech, L.; Grützmacher, D.

    2011-01-01

    InGaN/GaN nanowire (NW) heterostructures grown by plasma assisted molecular beam epitaxy were studied in comparison to their GaN and InGaN counterparts. The InGaN/GaN heterostructure NWs are composed of a GaN NW, a thin InGaN shell, and a multifaceted InGaN cap wrapping the top part of the GaN NW. High-resolution transmission electron microscopy (HRTEM) images taken from different parts of a InGaN/GaN NW show a wurtzite structure of the GaN core and the epitaxial InGaN shell around it, while additional crystallographic domains are observed whithin the InGaN cap region. Large changes in the lattice parameter along the wire, from pure GaN to higher In concentration demonstrate the successful growth of a complex InGaN/GaN NW heterostructure. Photoluminescence (PL) spectra of these heterostructure NW ensembles show rather broad and intense emission peak at 2.1 eV. However, μ-PL spectra measured on single NWs reveal a reduced broadening of the visible luminescence. The analysis of the longitudinal optical phonon Raman peak position and its shape reveal a variation in the In content between 20% and 30%, in agreement with the values estimated by PL and HRTEM investigations. The reported studies are important for understanding of the growth and properties of NW heterostructures suitable for applications in optoelectronics and photovoltaics.

  5. Shape Evolution of Highly Lattice-Mismatched InN/InGaN Nanowire Heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Lifan; Hazari, Arnab; Bhattacharya, Pallab; Millunchick, Joanna M.

    2018-02-01

    We have investigated the structure and shape of GaN-based nanowires grown on (001) Si substrates for optoelectronic device applications. The nanowire heterostructures contained InN disks and In0.4Ga0.6N barrier layers in the active region. The resulting nanowire array comprised two differently shaped nanowires: shorter pencil-like nanowires and longer bead-like nanowires. The two different nanowire shapes evolve due to a variation in the In incorporation rate, which was faster for the bead-like nanowires. Both types of nanowires exhibited evidence of significant migration of both Ga and In during growth. Ga tended to diffuse away and down along the sidewalls, resulting in a Ga-rich shell for all nanowires. Despite the complex structure and great variability in the In composition, the optical properties of the nanowire arrays were very good, with strong luminescence peaking at ˜ 1.63 μm.

  6. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    PubMed Central

    2010-01-01

    We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively. PMID:21076699

  7. Fabrication of Coaxial Si1- x Ge x Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    NASA Astrophysics Data System (ADS)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-10-01

    We report on bifurcate reactions on the surface of well-aligned Si1- x Ge x nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1- x Ge x nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1- x Ge x or SiO2/Si1- x Ge x coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  8. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    PubMed

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  9. Nanowires Bending over Backward from Strain Partitioning in Asymmetric Core-Shell Heterostructures.

    PubMed

    Lewis, Ryan B; Corfdir, Pierre; Küpers, Hanno; Flissikowski, Timur; Brandt, Oliver; Geelhaar, Lutz

    2018-04-11

    The flexibility and quasi-one-dimensional nature of nanowires offer wide-ranging possibilities for novel heterostructure design and strain engineering. In this work, we realize arrays of extremely and controllably bent nanowires comprising lattice-mismatched and highly asymmetric core-shell heterostructures. Strain sharing across the nanowire heterostructures is sufficient to bend vertical nanowires over backward to contact either neighboring nanowires or the substrate itself, presenting new possibilities for designing nanowire networks and interconnects. Photoluminescence spectroscopy on bent-nanowire heterostructures reveals that spatially varying strain fields induce charge carrier drift toward the tensile-strained outside of the nanowires, and that the polarization response of absorbed and emitted light is controlled by the bending direction. This unconventional strain field is employed for light emission by placing an active region of quantum dots at the outer side of a bent nanowire to exploit the carrier drift and tensile strain. These results demonstrate how bending in nanoheterostructures opens up new degrees of freedom for strain and device engineering.

  10. Controlled fabrication of photoactive copper oxide-cobalt oxide nanowire heterostructures for efficient phenol photodegradation.

    PubMed

    Shi, Wenwu; Chopra, Nitin

    2012-10-24

    Fabrication of oxide nanowire heterostructures with controlled morphology, interface, and phase purity is critical for high-efficiency and low-cost photocatalysis. Here, we have studied the formation of copper oxide-cobalt nanowire heterostructures by sputtering and subsequent air annealing to result in cobalt oxide (Co(3)O(4))-coated CuO nanowires. This approach allowed fabrication of standing nanowire heterostructures with tunable compositions and morphologies. The vertically standing CuO nanowires were synthesized in a thermal growth method. The shell growth kinetics of Co and Co(3)O(4) on CuO nanowires, morphological evolution of the shell, and nanowire self-shadowing effects were found to be strongly dependent on sputtering duration, air-annealing conditions, and alignment of CuO nanowires. Finite element method (FEM) analysis indicated that alignment and stiffness of CuO-Co nanowire heterostructures greatly influenced the nanomechanical aspects such as von Mises equivalent stress distribution and bending of nanowire heterostructures during the Co deposition process. This fundamental knowledge was critical for the morphological control of Co and Co(3)O(4) on CuO nanowires with desired interfaces and a uniform coating. Band gap energies and phenol photodegradation capability of CuO-Co(3)O(4) nanowire heterostructures were studied as a function of Co(3)O(4) morphology. Multiple absorption edges and band gap tailings were observed for these heterostructures, indicating photoactivity from visible to UV range. A polycrystalline Co(3)O(4) shell on CuO nanowires showed the best photodegradation performance (efficiency ~50-90%) in a low-powered UV or visible light illumination with a sacrificial agent (H(2)O(2)). An anomalously high efficiency (~67.5%) observed under visible light without sacrificial agent for CuO nanowires coated with thin (∼5.6 nm) Co(3)O(4) shell and nanoparticles was especially interesting. Such photoactive heterostructures demonstrate unique

  11. Synthesis, fabrication and characterization of Ge/Si axial nanowire heterostructure tunnel FETs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Picraux, Samuel T; Dayeh, Shadi A

    2010-01-01

    Axial Ge/Si heterostructure nanowires allow energy band-edge engineering along the axis of the nanowire, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two advances in the area of heterostructure nanowires and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure nanowires with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these nanowires for high-on currents and suppressed ambipolar behavior. Initial prototype devices resulted in a current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5}more » I{sub on}/I{sub off} ratios. These results demonstrate the potential of such asymmetric heterostructures (both in the semiconductor channel and metal-semiconductor barrier heights) for low-power and high performance electronics.« less

  12. Morphological control of heterostructured nanowires synthesized by sol-flame method

    PubMed Central

    2013-01-01

    Heterostructured nanowires, such as core/shell nanowires and nanoparticle-decorated nanowires, are versatile building blocks for a wide range of applications because they integrate dissimilar materials at the nanometer scale to achieve unique functionalities. The sol-flame method is a new, rapid, low-cost, versatile, and scalable method for the synthesis of heterostructured nanowires, in which arrays of nanowires are decorated with other materials in the form of shells or chains of nanoparticles. In a typical sol-flame synthesis, nanowires are dip-coated with a solution containing precursors of the materials to be decorated, then dried in air, and subsequently heated in the post-flame region of a flame at high temperature (over 900°C) for only a few seconds. Here, we report the effects of the precursor solution on the final morphology of the heterostructured nanowire using Co3O4 decorated CuO nanowires as a model system. When a volatile cobalt salt precursor is used with sufficient residual solvent, both solvent and cobalt precursor evaporate during the flame annealing step, leading to the formation of Co3O4 nanoparticle chains by a gas-solid transition. The length of the nanoparticle chains is mainly controlled by the temperature of combustion of the solvent. On the other hand, when a non-volatile cobalt salt precursor is used, only the solvent evaporates and the cobalt salt is converted to nanoparticles by a liquid–solid transition, forming a conformal Co3O4 shell. This study facilitates the use of the sol-flame method for synthesizing heterostructured nanowires with controlled morphologies to satisfy the needs of diverse applications. PMID:23924299

  13. Three-dimensional mesoscale heterostructures of ZnO nanowire arrays epitaxially grown on CuGaO2 nanoplates as individual diodes.

    PubMed

    Forticaux, Audrey; Hacialioglu, Salih; DeGrave, John P; Dziedzic, Rafal; Jin, Song

    2013-09-24

    We report a three-dimensional (3D) mesoscale heterostructure composed of one-dimensional (1D) nanowire (NW) arrays epitaxially grown on two-dimensional (2D) nanoplates. Specifically, three facile syntheses are developed to assemble vertical ZnO NWs on CuGaO2 (CGO) nanoplates in mild aqueous solution conditions. The key to the successful 3D mesoscale integration is the preferential nucleation and heteroepitaxial growth of ZnO NWs on the CGO nanoplates. Using transmission electron microscopy, heteroepitaxy was found between the basal planes of CGO nanoplates and ZnO NWs, which are their respective (001) crystallographic planes, by the observation of a hexagonal Moiré fringes pattern resulting from the slight mismatch between the c planes of ZnO and CGO. Careful analysis shows that this pattern can be described by a hexagonal supercell with a lattice parameter of almost exactly 11 and 12 times the a lattice constants for ZnO and CGO, respectively. The electrical properties of the individual CGO-ZnO mesoscale heterostructures were measured using a current-sensing atomic force microscopy setup to confirm the rectifying p-n diode behavior expected from the band alignment of p-type CGO and n-type ZnO wide band gap semiconductors. These 3D mesoscale heterostructures represent a new motif in nanoassembly for the integration of nanomaterials into functional devices with potential applications in electronics, photonics, and energy.

  14. Nanoparticle Stability in Axial InAs-InP Nanowire Heterostructures with Atomically Sharp Interfaces.

    PubMed

    Zannier, Valentina; Rossi, Francesca; Dubrovskii, Vladimir G; Ercolani, Daniele; Battiato, Sergio; Sorba, Lucia

    2018-01-10

    The possibility to expand the range of material combinations in defect-free heterostructures is one of the main motivations for the great interest in semiconductor nanowires. However, most axial nanowire heterostructures suffer from interface compositional gradients and kink formation, as a consequence of nanoparticle-nanowire interactions during the metal-assisted growth. Understanding such interactions and how they affect the growth mode is fundamental to achieve a full control over the morphology and the properties of nanowire heterostructures for device applications. Here we demonstrate that the sole parameter affecting the growth mode (straight or kinked) of InP segments on InAs nanowire stems by the Au-assisted method is the nanoparticle composition. Indeed, straight InAs-InP nanowire heterostructures are obtained only when the In/Au ratio in the nanoparticles is low, typically smaller than 1.5. For higher In content, the InP segments tend to kink. Tailoring the In/Au ratio by the precursor fluxes at a fixed growth temperature enables us to obtain straight and radius-uniform InAs-InP nanowire heterostructures (single and double) with atomically sharp interfaces. We present a model that is capable of describing all the experimentally observed phenomena: straight growth versus kinking, the stationary nanoparticle compositions in pure InAs and InAs-InP nanowires, the crystal phase trends, and the interfacial abruptness. By taking into account different nanowire/nanoparticle interfacial configurations (forming wetting or nonwetting monolayers in vertical or tapered geometry), our generalized model provides the conditions of nanoparticle stability and abrupt heterointerfaces for a rich variety of growth scenarios. Therefore, our results provide a powerful tool for obtaining high quality InAs-InP nanowire heterostructures with well-controlled properties and can be extended to other material combinations based on the group V interchange.

  15. Nickel/Platinum Dual Silicide Axial Nanowire Heterostructures with Excellent Photosensor Applications.

    PubMed

    Wu, Yen-Ting; Huang, Chun-Wei; Chiu, Chung-Hua; Chang, Chia-Fu; Chen, Jui-Yuan; Lin, Ting-Yi; Huang, Yu-Ting; Lu, Kuo-Chang; Yeh, Ping-Hung; Wu, Wen-Wei

    2016-02-10

    Transition metal silicide nanowires (NWs) have attracted increasing attention as they possess advantages of both silicon NWs and transition metals. Over the past years, there have been reported with efforts on one silicide in a single silicon NW. However, the research on multicomponent silicides in a single silicon NW is still rare, leading to limited functionalities. In this work, we successfully fabricated β-Pt2Si/Si/θ-Ni2Si, β-Pt2Si/θ-Ni2Si, and Pt, Ni, and Si ternary phase axial NW heterostructures through solid state reactions at 650 °C. Using in situ transmission electron microscope (in situ TEM), the growth mechanism of silicide NW heterostructures and the diffusion behaviors of transition metals were systematically studied. Spherical aberration corrected scanning transmission electron microscope (Cs-corrected STEM) equipped with energy dispersive spectroscopy (EDS) was used to analyze the phase structure and composition of silicide NW heterostructures. Moreover, electrical and photon sensing properties for the silicide nanowire heterostructures demonstrated promising applications in nano-optoeletronic devices. We found that Ni, Pt, and Si ternary phase nanowire heterostructures have an excellent infrared light sensing property which is absent in bulk Ni2Si or Pt2Si. The above results would benefit the further understanding of heterostructured nano materials.

  16. Strain-induced structural defects and their effects on the electrochemical performances of silicon core/germanium shell nanowire heterostructures

    DOE PAGES

    Lin, Yung-Chen; Kim, Dongheun; Li, Zhen; ...

    2016-12-14

    Here we report on strain-induced structural defect formation in core Si nanowire of Si/Ge core/shell nanowire heterostructure and influences of the structural defects on the electrochemical performances in lithium-ion battery anodes based on Si/Ge core/shell nanowire heterostructures. The induced structural defects consisting of stacking faults and dislocations in the core Si nanowire were observed for the first time. The generation of stacking faults in Si/Ge core/shell nanowire heterostructure is observed to prefer settling in either only Ge shell region or in both Ge shell and Si core regions and is associated with the increase of the shell volume fraction. Themore » relax of misfit strain in [112] oriented core/shell nanowire heterostructure leads to subsequent gliding of Shockley partial dislocations, preferentially forming the twins. The observation of cross-over defect formation is of great importance for the understanding of heteroepitaxy in radial heterostructures at nanoscale and building the three dimensional heterostructures for the various applications. In addition, the effect of the defect formation on nanomaterial’s functionality is investigated by electrochemical performance test. The Si/Ge core/shell nanowire heterostructures enhance the gravimetric capacity of lithium ion battery anodes under fast charging/discharging rates compared to Si nanowires. However, the induced structural defects hamper lithiation of the Si/Ge core/shell nanowire heterostructure.« less

  17. Strain-induced structural defects and their effects on the electrochemical performances of silicon core/germanium shell nanowire heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Yung-Chen; Kim, Dongheun; Li, Zhen

    Here we report on strain-induced structural defect formation in core Si nanowire of Si/Ge core/shell nanowire heterostructure and influences of the structural defects on the electrochemical performances in lithium-ion battery anodes based on Si/Ge core/shell nanowire heterostructures. The induced structural defects consisting of stacking faults and dislocations in the core Si nanowire were observed for the first time. The generation of stacking faults in Si/Ge core/shell nanowire heterostructure is observed to prefer settling in either only Ge shell region or in both Ge shell and Si core regions and is associated with the increase of the shell volume fraction. Themore » relax of misfit strain in [112] oriented core/shell nanowire heterostructure leads to subsequent gliding of Shockley partial dislocations, preferentially forming the twins. The observation of cross-over defect formation is of great importance for the understanding of heteroepitaxy in radial heterostructures at nanoscale and building the three dimensional heterostructures for the various applications. In addition, the effect of the defect formation on nanomaterial’s functionality is investigated by electrochemical performance test. The Si/Ge core/shell nanowire heterostructures enhance the gravimetric capacity of lithium ion battery anodes under fast charging/discharging rates compared to Si nanowires. However, the induced structural defects hamper lithiation of the Si/Ge core/shell nanowire heterostructure.« less

  18. Faceting, composition and crystal phase evolution in III-V antimonide nanowire heterostructures revealed by combining microscopy techniques.

    PubMed

    Xu, Tao; Dick, Kimberly A; Plissard, Sébastien; Nguyen, Thanh Hai; Makoudi, Younes; Berthe, Maxime; Nys, Jean-Philippe; Wallart, Xavier; Grandidier, Bruno; Caroff, Philippe

    2012-03-09

    III-V antimonide nanowires are among the most interesting semiconductors for transport physics, nanoelectronics and long-wavelength optoelectronic devices due to their optimal material properties. In order to investigate their complex crystal structure evolution, faceting and composition, we report a combined scanning electron microscopy (SEM), transmission electron microscopy (TEM), and scanning tunneling microscopy (STM) study of gold-nucleated ternary InAs/InAs(1-x)Sb(x) nanowire heterostructures grown by molecular beam epitaxy. SEM showed the general morphology and faceting, TEM revealed the internal crystal structure and ternary compositions, while STM was successfully applied to characterize the oxide-free nanowire sidewalls, in terms of nanofaceting morphology, atomic structure and surface composition. The complementary use of these techniques allows for correlation of the morphological and structural properties of the nanowires with the amount of Sb incorporated during growth. The addition of even a minute amount of Sb to InAs changes the crystal structure from perfect wurtzite to perfect zinc blende, via intermediate stacking fault and pseudo-periodic twinning regimes. Moreover, the addition of Sb during the axial growth of InAs/InAs(1-x)Sb(x) heterostructure nanowires causes a significant conformal lateral overgrowth on both segments, leading to the spontaneous formation of a core-shell structure, with an Sb-rich shell.

  19. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  20. Nanowire Heterostructures Comprising Germanium Stems and Silicon Branches as High-Capacity Li-Ion Anodes with Tunable Rate Capability.

    PubMed

    Kennedy, Tadhg; Bezuidenhout, Michael; Palaniappan, Kumaranand; Stokes, Killian; Brandon, Michael; Ryan, Kevin M

    2015-07-28

    Here we report the rational design of a high-capacity Li-ion anode material comprising Ge nanowires with Si branches. The unique structure provides an electrode material with tunable properties, allowing the performance to be tailored for either high capacity or high rate capability by controlling the mass ratio of Si to Ge. The binder free Si-Ge branched nanowire heterostructures are grown directly from the current collector and exhibit high capacities of up to ∼1800 mAh/g. Rate capability testing revealed that increasing the Ge content within the material boosted the performance of the anode at fast cycling rates, whereas a higher Si content was optimal at slower rates of charge and discharge. Using ex-situ electron microscopy, Raman spectroscopy and energy dispersive X-ray spectroscopy mapping, the composition of the material is shown to be transient in nature, transforming from a heterostructure to a Si-Ge alloy as a consequence of repeated lithiation and delithiation.

  1. Fast-Response Single-Nanowire Photodetector Based on ZnO/WS2 Core/Shell Heterostructures.

    PubMed

    Butanovs, Edgars; Vlassov, Sergei; Kuzmin, Alexei; Piskunov, Sergei; Butikova, Jelena; Polyakov, Boris

    2018-04-25

    The surface plays an exceptionally important role in nanoscale materials, exerting a strong influence on their properties. Consequently, even a very thin coating can greatly improve the optoelectronic properties of nanostructures by modifying the light absorption and spatial distribution of charge carriers. To use these advantages, 1D/1D heterostructures of ZnO/WS 2 core/shell nanowires with a-few-layers-thick WS 2 shell were fabricated. These heterostructures were thoroughly characterized by scanning and transmission electron microscopy, X-ray diffraction, and Raman spectroscopy. Then, a single-nanowire photoresistive device was assembled by mechanically positioning ZnO/WS 2 core/shell nanowires onto gold electrodes inside a scanning electron microscope. The results show that a few layers of WS 2 significantly enhance the photosensitivity in the short wavelength range and drastically (almost 2 orders of magnitude) improve the photoresponse time of pure ZnO nanowires. The fast response time of ZnO/WS 2 core/shell nanowire was explained by electrons and holes sinking from ZnO nanowire into WS 2 shell, which serves as a charge carrier channel in the ZnO/WS 2 heterostructure. First-principles calculations suggest that the interface layer i-WS 2 , bridging ZnO nanowire surface and WS 2 shell, might play a role of energy barrier, preventing the backward diffusion of charge carriers into ZnO nanowire.

  2. CdTe quantum-dot-modified ZnO nanowire heterostructure

    NASA Astrophysics Data System (ADS)

    Shahi, Kanchana; Singh, R. S.; Singh, Ajaya Kumar; Aleksandrova, Mariya; Khenata, Rabah

    2018-03-01

    The effect of CdTe quantum-dot (QD) decoration on the photoluminescence (PL) behaviour of ZnO nanowire (NW) array is presented in the present work. Highly crystalline and vertically 40-50 nm diameter range and 1 µm in length aligned ZnO NWs are synthesized using low-cost method. The crystallinity and morphology of the NWs are studied by scanning electron microscopy and X-ray powder diffraction methods.Optical properties of the nanowires are studied using photo-response and PL spectroscopy. CdTe QDs are successfully synthesized on ZnO nanowire surface by dip-coating method. ZnO NWs are sensitized with CdTe QDs characterized by transmission electron microscopy, energy-dispersive X-ray spectroscopy, and PL spectroscopy. The highly quenched PL intensity indicates the charge transfer at interface between CdTe QDs and ZnO NWs and is due to the formation of type-II heterostructure between QDs and NWs. Photo-response behaviour of heterostructure of the film is also been incorporated in the present work.

  3. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors.

    PubMed

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I; Monroy, Eva

    2018-06-22

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current-voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  4. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors

    NASA Astrophysics Data System (ADS)

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I.; Monroy, Eva

    2018-06-01

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current–voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  5. Diameter-tailored telecom-band luminescence in InP/InAs heterostructure nanowires grown on InP (111)B substrate with continuously-modulated diameter from microscale to nanoscale

    NASA Astrophysics Data System (ADS)

    Zhang, Guoqiang; Tateno, Kouta; Sogawa, Tetsuomi; Gotoh, Hideki

    2018-04-01

    We report diameter-tailored luminescence in telecom band of InP/InAs multi-heterostructure nanowires with continuously-modulated diameter from microscale to nanoscale. By using the self-catalyzed vapor-solid-liquid approach, we tune the indium particle size, and consequently the InP/InAs nanowire diameter, during growth by modulating the flow rate of the indium source material. This technique allows a high degree of continuous tuning in a wide scale from microscale to nanoscale. Hence it offers an original way to bridge the gap between microscale-featured photolithographic and nanoscale-featured nanolithographic processes and to incorporate InAs quantum disks with tunable diameters into a single InP/InAs quantum heterostructure nanowire. We realized site-defined nanowires with nanoscale diameters initiated from site-defined microscale-diameter particles made with a conventional photolithographic process. The luminescence wavelength from InAs quantum disks is directly connected to the nanowire diameter, by which the strain in the InAs quantum disks is tailored. This work provides new opportunities in the fabrication and design of nanowire devices that extends beyond what is achievable with the current technologies and enables the nanowire shape to be engineered thus offering the potential to broaden the application range of nanowire devices.

  6. Diameter-tailored telecom-band luminescence in InP/InAs heterostructure nanowires grown on InP (111)B substrate with continuously-modulated diameter from microscale to nanoscale.

    PubMed

    Zhang, Guoqiang; Tateno, Kouta; Sogawa, Tetsuomi; Gotoh, Hideki

    2018-04-02

    We report diameter-tailored luminescence in telecom band of InP/InAs multi-heterostructure nanowires with continuously-modulated diameter from microscale to nanoscale. By using the self-catalyzed vapor-solid-liquid approach, we tune the indium particle size, and consequently the InP/InAs nanowire diameter, during growth by modulating the flow rate of the indium source material. This technique allows a high degree of continuous tuning in a wide scale from microscale to nanoscale. Hence it offers an original way to bridge the gap between microscale-featured photolithographic and nanoscale-featured nanolithographic processes and to incorporate InAs quantum disks with tunable diameters into a single InP/InAs quantum heterostructure nanowire. We realized site-defined nanowires with nanoscale diameters initiated from site-defined microscale-diameter particles made with a conventional photolithographic process. The luminescence wavelength from InAs quantum disks is directly connected to the nanowire diameter, by which the strain in the InAs quantum disks is tailored. This work provides new opportunities in the fabrication and design of nanowire devices that extends beyond what is achievable with the current technologies and enables the nanowire shape to be engineered thus offering the potential to broaden the application range of nanowire devices.

  7. Designing Diameter-Modulated Heterostructure Nanowires of PbTe/Te by Controlled Dewetting.

    PubMed

    Kumar, Abinash; Kundu, Subhajit; Samantaray, Debadarshini; Kundu, Paromita; Zanaga, Daniele; Bals, Sara; Ravishankar, N

    2017-12-13

    Heterostructures consisting of semiconductors with controlled morphology and interfaces find applications in many fields. A range of axial, radial, and diameter-modulated nanostructures have been synthesized primarily using vapor phase methods. Here, we present a simple wet chemical routine to synthesize heterostructures of PbTe/Te using Te nanowires as templates. A morphology evolution study for the formation of these heterostructures has been performed. On the basis of these control experiments, a pathway for the formation of these nanostructures is proposed. Reduction of a Pb precursor to Pb on Te nanowire templates followed by interdiffusion of Pb/Te leads to the formation of a thin shell of PbTe on the Te wires. Controlled dewetting of the thin shell leads to the formation of cube-shaped PbTe that is periodically arranged on the Te wires. Using control experiments, we show that different reactions parameters like rate of addition of the reducing agent, concentration of Pb precursor and thickness of initial Te nanowire play a critical role in controlling the spacing between the PbTe cubes on the Te wires. Using simple surface energy arguments, we propose a mechanism for the formation of the hybrid. The principles presented are general and can be exploited for the synthesis of other nanoscale heterostructures.

  8. Core/multishell nanowire heterostructures as multicolor, high-efficiency light-emitting diodes.

    PubMed

    Qian, Fang; Gradecak, Silvija; Li, Yat; Wen, Cheng-Yen; Lieber, Charles M

    2005-11-01

    We report the growth and characterization of core/multishell nanowire radial heterostructures, and their implementation as efficient and synthetically tunable multicolor nanophotonic sources. Core/multishell nanowires were prepared by metal-organic chemical vapor deposition with an n-GaN core and InxGa1-xN/GaN/p-AlGaN/p-GaN shells, where variation of indium mole fraction is used to tune emission wavelength. Cross-sectional transmission electron microscopy studies reveal that the core/multishell nanowires are dislocation-free single crystals with a triangular morphology. Energy-dispersive X-ray spectroscopy clearly shows shells with distinct chemical compositions, and quantitatively confirms that the thickness and composition of individual shells can be well controlled during synthesis. Electrical measurements show that the p-AlGaN/p-GaN shell structure yields reproducible hole conduction, and electroluminescence measurements demonstrate that in forward bias the core/multishell nanowires function as light-emitting diodes, with tunable emission from 365 to 600 nm and high quantum efficiencies. The ability to synthesize rationally III-nitride core/multishell nanowire heterostructures opens up significant potential for integrated nanoscale photonic systems, including multicolor lasers.

  9. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    PubMed

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  10. Structural modulation of nanowire interfaces grown over selectively disrupted single crystal surfaces

    NASA Astrophysics Data System (ADS)

    Garratt, E.; Nikoobakht, B.

    2015-08-01

    Recent breakthroughs in deterministic approaches to the fabrication of nanowire arrays have demonstrated the possibility of fabricating such networks using low-cost scalable methods. In this regard, we have developed a scalable growth platform for lateral fabrication of nanocrystals with high precision utilizing lattice match and symmetry. Using this planar architecture, a number of homo- and heterostructures have been demonstrated including ZnO nanowires grown over GaN. The latter combination produces horizontal, epitaxially formed crystals aligned in the plane of the substrate containing a very low number of intrinsic defects. We use such ordered structures as model systems in the interests of gauging the interfacial structural dynamics in relation to external stimuli. Nanosecond pulses of focused ion beams are used to slightly modify the substrate surface and selectively form lattice disorders in the path of nanowire growth to examine the nanocrystal, namely: its directionality and lattice defects. High resolution electron microscopies are used to reveal some interesting structural effects; for instance, a minimum threshold of surface defects that can divert nanowires. We also discuss data indicating formation of surface strains and show their mitigation during the growth process.

  11. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  12. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g-1.

    PubMed

    Kim, Dongheun; Li, Nan; Sheehan, Chris J; Yoo, Jinkyoung

    2018-04-26

    Si/Ge core/shell nanowire heterostructures have been expected to provide high energy and power densities for lithium ion battery anodes due to the large capacity of Si and the high electrical and ionic conductivities of Ge. Although the battery anode performances of Si/Ge core/shell nanowire heterostructures have been characterized, the degradation of Si/Ge core/shell nanowire heterostructures has not been thoroughly investigated. Here we report the compositional and structural changes of the Si/Ge core/shell nanowire heterostructure over cycling of lithiation and delithiation at different charging rates. The Si/Ge core/shell nanowire heterostructure holds the core and shell structure at a charging rate of 0.8 A g-1 up to 50 cycles. On the other hand, compositional intermixing and loss of Si occur at a charging rate of 20 A g-1 within 50 cycles. The operation condition-dependent degradation provides a new aspect of materials research for the development of high performance lithium ion battery anodes with a long cycle life.

  13. Orientation-Dependent Exciton-Plasmon Coupling in Embedded Organic/Metal Nanowire Heterostructures.

    PubMed

    Li, Yong Jun; Hong, Yan; Peng, Qian; Yao, Jiannian; Zhao, Yong Sheng

    2017-10-24

    The excitation of surface plasmons by optical emitters based on exciton-plasmon coupling is important for plasmonic devices with active optical properties. It has been theoretically demonstrated that the orientation of exciton dipole can significantly influence the coupling strength, yet systematic study of the coupling process in nanostructures is still hindered by the lack of proper material systems. In this work, we have experimentally investigated the orientation-dependent exciton-plasmon coupling in a rationally designed organic/metal nanowire heterostructure system. The heterostructures were prepared by inserting silver nanowires into crystalline organic waveguides during the self-assembly of dye molecules. Structures with different exciton orientations exhibited varying coupling efficiencies. The near-field exciton-plasmon coupling facilitates the design of nanophotonic devices based on the directional surface plasmon polariton propagations.

  14. Facile synthesis of Ag@ZIF-8 core-shell heterostructure nanowires for improved antibacterial activities

    NASA Astrophysics Data System (ADS)

    Guo, Yu-Feng; Fang, Wei-Jun; Fu, Jie-Ru; Wu, Yun; Zheng, Jun; Gao, Gui-Qi; Chen, Cheng; Yan, Rui-Wen; Huang, Shou-Guo; Wang, Chun-Chang

    2018-03-01

    Compared with pure MOFs, core-shell heterostructures of noble-metal@MOFs have attracted tremendous interest due to their unique structure and extensive applications. In the present study, we have successfully synthesized well-defined core-shell Ag@ZIF-8 nanowires. The products growth process has been investigated by examining the products obtained at different intervals and the thickness of ZIF-8 shell ranging from 30 to 100 nm can be technically obtained by tuning the quantity of Ag nanowires. Ag@ZIF-8 has been proven to possess large specific surfaces and high thermal stability. Additionally, the antibacterial activity of Ag@ZIF-8 is further tested against Bacillus subtilis and Escherichia coli BL21. The results reveal that Ag@ZIF-8 core-shell heterostructure nanowires have effective activities against the two types of bacterial strains.

  15. A comparative study of heterostructured CuO/CuWO4 nanowires and thin films

    NASA Astrophysics Data System (ADS)

    Polyakov, Boris; Kuzmin, Alexei; Vlassov, Sergei; Butanovs, Edgars; Zideluns, Janis; Butikova, Jelena; Kalendarev, Robert; Zubkins, Martins

    2017-12-01

    A comparative study of heterostructured CuO/CuWO4 core/shell nanowires and double-layer thin films was performed through X-ray diffraction, confocal micro-Raman spectroscopy and electron (SEM and TEM) microscopies. The heterostructures were produced using a two-step process, starting from a deposition of amorphous WO3 layer on top of CuO nanowires and thin films by reactive DC magnetron sputtering and followed by annealing at 650 °C in air. The second step induced a solid-state reaction between CuO and WO3 oxides through a thermal diffusion process, revealed by SEM-EDX analysis. Morphology evolution of core/shell nanowires and double-layer thin films upon heating was studied by electron (SEM and TEM) microscopies. A formation of CuWO4 phase was confirmed by X-ray diffraction and confocal micro-Raman spectroscopy.

  16. Growth And Gas Sensing Properties Of Dielectrophoretically Isolated CuO-W{sub 18}O{sub 49} Heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jain, Chhavi; Mukund, Vignesh; Kaur, Manmeet

    2010-12-01

    Hierarchical heterostructures consisting of W{sub 18}O{sub 49} nanowires grown on CuO nanowires have been prepared and studied for their gas sensing properties. SEM images show that W{sub 18}O{sub 49} initially grow as an shell over core CuO nanowire with protusion like branches whose thickness depends on oxygen partial pressure. These CuO:W{sub 18}O{sub 49} structures were dielectrophoretically isolated and studied for their gas sensing properties. The results show potential of use of tailored hierarchical heterostructures for the fabrication of gas sensors.

  17. One-dimensional Si/Ge nanowires and their heterostructures for multifunctional applications—a review

    NASA Astrophysics Data System (ADS)

    Ray, Samit K.; Katiyar, Ajit K.; Raychaudhuri, Arup K.

    2017-03-01

    Remarkable progress has been made in the field of one-dimensional semiconductor nanostructures for electronic and photonic devices. Group-IV semiconductors and their heterostructures have dominated the years of success in microelectronic industry. However their use in photonic devices is limited since they exhibit poor optical activity due to indirect band gap nature of Si and Ge. Reducing their dimensions below a characteristic length scale of various fundamental parameters like exciton Bohr radius, phonon mean free path, critical size of magnetic domains, exciton diffusion length etc result in the significant modification of bulk properties. In particular, light emission from Si/Ge nanowires due to quantum confinement, strain induced band structure modification and impurity doping may lead to the integration of photonic components with mature silicon CMOS technology in near future. Several promising applications based on Si and Ge nanowires have already been well established and studied, while others are now at the early demonstration stage. The control over various forms of energy and carrier transport through the unconstrained dimension makes Si and Ge nanowires a promising platform to manufacture advanced solid-state devices. This review presents the progress of the research with emphasis on their potential application of Si/Ge nanowires and their heterostructures for electronic, photonic, sensing and energy devices.

  18. Enhanced thermoelectric properties in bulk nanowire heterostructure-based nanocomposites through minority carrier blocking.

    PubMed

    Yang, Haoran; Bahk, Je-Hyeong; Day, Tristan; Mohammed, Amr M S; Snyder, G Jeffrey; Shakouri, Ali; Wu, Yue

    2015-02-11

    To design superior thermoelectric materials the minority carrier blocking effect in which the unwanted bipolar transport is prevented by the interfacial energy barriers in the heterogeneous nanostructures has been theoretically proposed recently. The theory predicts an enhanced power factor and a reduced bipolar thermal conductivity for materials with a relatively low doping level, which could lead to an improvement in the thermoelectric figure of merit (ZT). Here we show the first experimental demonstration of the minority carrier blocking in lead telluride-silver telluride (PbTe-Ag2Te) nanowire heterostructure-based nanocomposites. The nanocomposites are made by sintering PbTe-Ag2Te nanowire heterostructures produced in a highly scalable solution-phase synthesis. Compared with Ag2Te nanowire-based nanocomposite produced in similar method, the PbTe-Ag2Te nanocomposite containing ∼5 atomic % PbTe exhibits enhanced Seebeck coefficient, reduced thermal conductivity, and ∼40% improved ZT, which can be well explained by the theoretical modeling based on the Boltzmann transport equations when energy barriers for both electrons and holes at the heterostructure interfaces are considered in the calculations. For this p-type PbTe-Ag2Te nanocomposite, the barriers for electrons, that is, minority carriers, are primarily responsible for the ZT enhancement. By extending this approach to other nanostructured systems, it represents a key step toward low-cost solution-processable nanomaterials without heavy doping level for high-performance thermoelectric energy harvesting.

  19. Nanowires, nanostructures and devices fabricated therefrom

    DOEpatents

    Majumdar, Arun; Shakouri, Ali; Sands, Timothy D.; Yang, Peidong; Mao, Samuel S.; Russo, Richard E.; Feick, Henning; Weber, Eicke R.; Kind, Hannes; Huang, Michael; Yan, Haoquan; Wu, Yiying; Fan, Rong

    2005-04-19

    One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as "nanowires", include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).

  20. Three-dimensional morphology of GaP-GaAs nanowires revealed by transmission electron microscopy tomography.

    PubMed

    Verheijen, Marcel A; Algra, Rienk E; Borgström, Magnus T; Immink, George; Sourty, Erwan; Enckevort, Willem J P van; Vlieg, Elias; Bakkers, Erik P A M

    2007-10-01

    We have investigated the morphology of heterostructured GaP-GaAs nanowires grown by metal-organic vapor-phase epitaxy as a function of growth temperature and V/III precursor ratio. The study of heterostructured nanowires with transmission electron microscopy tomography allowed the three-dimensional morphology to be resolved, and discrimination between the effect of axial (core) and radial (shell) growth on the morphology. A temperature- and precursor-dependent structure diagram for the GaP nanowire core morphology and the evolution of the different types of side facets during GaAs and GaP shell growth were constituted.

  1. Gallium Nitride Nanowires and Heterostructures: Toward Color-Tunable and White-Light Sources.

    PubMed

    Kuykendall, Tevye R; Schwartzberg, Adam M; Aloni, Shaul

    2015-10-14

    Gallium-nitride-based light-emitting diodes have enabled the commercialization of efficient solid-state lighting devices. Nonplanar nanomaterial architectures, such as nanowires and nanowire-based heterostructures, have the potential to significantly improve the performance of light-emitting devices through defect reduction, strain relaxation, and increased junction area. In addition, relaxation of internal strain caused by indium incorporation will facilitate pushing the emission wavelength into the red. This could eliminate inefficient phosphor conversion and enable color-tunable emission or white-light emission by combining blue, green, and red sources. Utilizing the waveguiding modes of the individual nanowires will further enhance light emission, and the properties of photonic structures formed by nanowire arrays can be implemented to improve light extraction. Recent advances in synthetic methods leading to better control over GaN and InGaN nanowire synthesis are described along with new concept devices leading to efficient white-light emission. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. III-nitride nanowire LEDs and diode lasers: monolithic light sources on (001) Si emitting in the 600-1300nm range

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2018-02-01

    GaN-based nanowire heterostructure arrays epitaxially grown on (001)Si substrates have unique properties and present the potential to realize useful devices. The active light-emitting region in the nanowire heterostructures are usually InGaN disks, whose composition can be varied to tune the emission wavelength. We have demonstrated light emitting diodes and edgeemitting diode lasers with power outputs 10mW with emission in the 600-1300nm wavelength range. These light sources are therefore useful for a variety of applications, including silicon photonics. Molecular beam epitaxial growth of the nanowire heterostructure arrays on (001)Si substrates and the characteristics of 1.3μm nanowire array edge emitting lasers, guided wave photodiodes and a monolithic photonic integrated circuit designed for 1.3μm operation are described.

  3. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  4. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  5. Rational growth of branched nanowire heterostructures with synthetically encoded properties and function

    PubMed Central

    Jiang, Xiaocheng; Tian, Bozhi; Xiang, Jie; Qian, Fang; Zheng, Gengfeng; Wang, Hongtao; Mai, Liqiang; Lieber, Charles M.

    2011-01-01

    Branched nanostructures represent unique, 3D building blocks for the “bottom-up” paradigm of nanoscale science and technology. Here, we report a rational, multistep approach toward the general synthesis of 3D branched nanowire (NW) heterostructures. Single-crystalline semiconductor, including groups IV, III–V, and II–VI, and metal branches have been selectively grown on core or core/shell NW backbones, with the composition, morphology, and doping of core (core/shell) NWs and branch NWs well controlled during synthesis. Measurements made on the different composition branched NW structures demonstrate encoding of functional p-type/n-type diodes and light-emitting diodes (LEDs) as well as field effect transistors with device function localized at the branch/backbone NW junctions. In addition, multibranch/backbone NW structures were synthesized and used to demonstrate capability to create addressable nanoscale LED arrays, logic circuits, and biological sensors. Our work demonstrates a previously undescribed level of structural and functional complexity in NW materials, and more generally, highlights the potential of bottom-up synthesis to yield increasingly complex functional systems in the future. PMID:21730174

  6. Fabrication and characterization of copper oxide (CuO)–gold (Au)–titania (TiO{sub 2}) and copper oxide (CuO)–gold (Au)–indium tin oxide (ITO) nanowire heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chopra, Nitin, E-mail: nchopra@eng.ua.edu; Department of Biological Sciences, The University of Alabama, Tuscaloosa, AL 35487; Shi, Wenwu

    2014-10-15

    Nanoscale heterostructures composed of standing copper oxide nanowires decorated with Au nanoparticles and shells of titania and indium tin oxide were fabricated. The fabrication process involved surfactant-free and wet-chemical nucleation of gold nanoparticles on copper oxide nanowires followed by a line-of-sight sputtering of titania or indium tin oxide. The heterostructures were characterized using high resolution electron microscopy, diffraction, and energy dispersive spectroscopy. The interfaces, morphologies, crystallinity, phases, and chemical compositions were analyzed. The process of direct nucleation of gold nanoparticles on copper oxide nanoparticles resulted in low energy interface with aligned lattice for both the components. Coatings of polycrystalline titaniamore » or amorphous indium tin oxide were deposited on standing copper oxide nanowire–gold nanoparticle heterostructures. Self-shadowing effect due to standing nanowire heterostructures was observed for line-of-sight sputter deposition of titania or indium tin oxide coatings. Finally, the heterostructures were studied using Raman spectroscopy and ultraviolet–visible spectroscopy, including band gap energy analysis. Tailing in the band gap energy at longer wavelengths (or lower energies) was observed for the nanowire heterostructures. - Highlights: • Heterostructures comprised of CuO nanowires coated with Au nanoparticles. • Au nanoparticles exhibited nearly flat and low energy interface with nanowire. • Heterostructures were further sputter-coated with oxide shell of TiO{sub 2} or ITO. • The process resulted in coating of polycrystalline TiO{sub 2} and amorphous ITO shell.« less

  7. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction.

    PubMed

    Hsu, Hsun-Feng; Huang, Wan-Ru; Chen, Ting-Hsuan; Wu, Hwang-Yuan; Chen, Chun-An

    2013-05-10

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.

  8. Fabrication of Ni-silicide/Si heterostructured nanowire arrays by glancing angle deposition and solid state reaction

    PubMed Central

    2013-01-01

    This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation. PMID:23663726

  9. Synthesis of In2O3 nanowire-decorated Ga2O3 nanobelt heterostructures and their electrical and field-emission properties.

    PubMed

    Lin, Jing; Huang, Yang; Bando, Yoshio; Tang, Chengchun; Li, Chun; Golberg, Dmitri

    2010-04-27

    We report on the synthesis of In2O3 nanowire-decorated Ga2O3 nanobelt heterostructures via a simple catalyst-free method. A typical heterostructure, where an In2O3 nanowire forms a sort of a "dorsal fin" on the Ga2O3 nanobelt, exhibits the T-shaped cross-section. The structure, electrical porperties, and field-emission properties of this material are systematically investigated. The heterostructures possess a typical n-type semiconducting behavior with enhanced conductivity. Field-emission measurements show that they have a low turn-on field (approximately 1.31 V/microm) and a high field-enhancement factor (over 4000). The excellent field-emission characteristics are attributed to their special geometry and good electrical properties. The present In2O3-decorated Ga2O3 heterostructures are envisaged to be decent field-emitters useful in advanced electronic and optoelectronic nanodevices.

  10. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    NASA Astrophysics Data System (ADS)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  11. Mechanical Energy Harvesting Performance of Ferroelectric Polymer Nanowires Grown via Template‐Wetting

    PubMed Central

    Whiter, Richard A.; Boughey, Chess; Smith, Michael

    2018-01-01

    Abstract Nanowires of the ferroelectric co‐polymer poly(vinylidenefluoride‐co‐triufloroethylene) [P(VDF‐TrFE)] are fabricated from solution within nanoporous templates of both “hard” anodic aluminium oxide (AAO) and “soft” polyimide (PI) through a facile and scalable template‐wetting process. The confined geometry afforded by the pores of the templates leads directly to highly crystalline P(VDF‐TrFE) nanowires in a macroscopic “poled” state that precludes the need for external electrical poling procedure typically required for piezoelectric performance. The energy‐harvesting performance of nanogenerators based on these template‐grown nanowires are extensively studied and analyzed in combination with finite element modelling. Both experimental results and computational models probing the role of the templates in determining overall nanogenerator performance, including both materials and device efficiencies, are presented. It is found that although P(VDF‐TrFE) nanowires grown in PI templates exhibit a lower material efficiency due to lower crystallinity as compared to nanowires grown in AAO templates, the overall device efficiency was higher for the PI‐template‐based nanogenerator because of the lower stiffness of the PI template as compared to the AAO template. This work provides a clear framework to assess the energy conversion efficiency of template‐grown piezoelectric nanowires and paves the way towards optimization of template‐based nanogenerator devices.

  12. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  13. Methods of fabricating nanostructures and nanowires and devices fabricated therefrom

    DOEpatents

    Majumdar, Arun [Orinda, CA; Shakouri, Ali [Santa Cruz, CA; Sands, Timothy D [Moraga, CA; Yang, Peidong [Berkeley, CA; Mao, Samuel S [Berkeley, CA; Russo, Richard E [Walnut Creek, CA; Feick, Henning [Kensington, CA; Weber, Eicke R [Oakland, CA; Kind, Hannes [Schaffhausen, CH; Huang, Michael [Los Angeles, CA; Yan, Haoquan [Albany, CA; Wu, Yiying [Albany, CA; Fan, Rong [El Cerrito, CA

    2009-08-04

    One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as "nanowires", include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).

  14. Methods Of Fabricating Nanosturctures And Nanowires And Devices Fabricated Therefrom

    DOEpatents

    Majumdar, Arun; Shakouri, Ali; Sands, Timothy D.; Yang, Peidong; Mao, Samuel S.; Russo, Richard E.; Feick, Henning; Weber, Eicke R.; Kind, Hannes; Huang, Michael; Yan, Haoquan; Wu, Yiying; Fan, Rong

    2006-02-07

    One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as "nanowires", include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).

  15. Methods of fabricating nanostructures and nanowires and devices fabricated therefrom

    DOEpatents

    Majumdar, Arun; Shakouri, Ali; Sands, Timothy D.; Yang, Peidong; Mao, Samuel S.; Russo, Richard E.; Feick, Henning; Weber, Eicke R.; Kind, Hannes; Huang, Michael; Yan, Haoquan; Wu, Yiying; Fan, Rong

    2010-11-16

    One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as "nanowires", include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).

  16. Methods of fabricating nanostructures and nanowires and devices fabricated therefrom

    DOEpatents

    Majumdar, Arun; Shakouri, Ali; Sands, Timothy D.; Yang, Peidong; Mao, Samuel S.; Russo, Richard E.; Feick, Henning; Weber, Eicke R.; Kind, Hannes; Huang, Michael; Yan, Haoquan; Wu, Yiying; Fan, Rong

    2018-01-30

    One-dimensional nanostructures having uniform diameters of less than approximately 200 nm. These inventive nanostructures, which we refer to as "nanowires", include single-crystalline homostructures as well as heterostructures of at least two single-crystalline materials having different chemical compositions. Because single-crystalline materials are used to form the heterostructure, the resultant heterostructure will be single-crystalline as well. The nanowire heterostructures are generally based on a semiconducting wire wherein the doping and composition are controlled in either the longitudinal or radial directions, or in both directions, to yield a wire that comprises different materials. Examples of resulting nanowire heterostructures include a longitudinal heterostructure nanowire (LOHN) and a coaxial heterostructure nanowire (COHN).

  17. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    NASA Astrophysics Data System (ADS)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  18. Flexible Piezoelectric-Induced Pressure Sensors for Static Measurements Based on Nanowires/Graphene Heterostructures.

    PubMed

    Chen, Zefeng; Wang, Zhao; Li, Xinming; Lin, Yuxuan; Luo, Ningqi; Long, Mingzhu; Zhao, Ni; Xu, Jian-Bin

    2017-05-23

    The piezoelectric effect is widely applied in pressure sensors for the detection of dynamic signals. However, these piezoelectric-induced pressure sensors have challenges in measuring static signals that are based on the transient flow of electrons in an external load as driven by the piezopotential arisen from dynamic stress. Here, we present a pressure sensor with nanowires/graphene heterostructures for static measurements based on the synergistic mechanisms between strain-induced polarization charges in piezoelectric nanowires and the caused change of carrier scattering in graphene. Compared to the conventional piezoelectric nanowire or graphene pressure sensors, this sensor is capable of measuring static pressures with a sensitivity of up to 9.4 × 10 -3 kPa -1 and a fast response time down to 5-7 ms. This demonstration of pressure sensors shows great potential in the applications of electronic skin and wearable devices.

  19. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  20. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  1. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    PubMed Central

    Panciera, F.; Chou, Y.-C.; Reuter, M.C.; Zakharov, D.; Stach, E.A.; Hofmann, S.; Ross, F.M.

    2016-01-01

    Nanowire growth by the vapor-liquid-solid process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid state lighting and single photon sources to thermoelectric devices. Here we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyze nanowire growth as a “mixing bowl”, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystals that are then incorporated into the nanowires by further growth. We demonstrate this concept by epitaxially incorporating metal silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures. PMID:26168344

  2. Photoluminescence study of as-grown vertically standing wurtzite InP nanowire ensembles.

    PubMed

    Iqbal, Azhar; Beech, Jason P; Anttu, Nicklas; Pistol, Mats-Erik; Samuelson, Lars; Borgström, Magnus T; Yartsev, Arkady

    2013-03-22

    We demonstrate a method that enables the study of photoluminescence of as-grown nanowires on a native substrate by non-destructively suppressing the contribution of substrate photoluminescence. This is achieved by using polarized photo-excitation and photoluminescence and by making an appropriate choice of incident angle of both excitation beam and photoluminescence collection direction. Using TE-polarized excitation at a wavelength of 488 nm at an incident angle of ∼70° we suppress the InP substrate photoluminescence relative to that of the InP nanowires by about 80 times. Consequently, the photoluminescence originating from the nanowires becomes comparable to and easily distinguishable from the substrate photoluminescence. The measured photoluminescence, which peaks at photon energies of ∼1.35 eV and ∼1.49 eV, corresponds to the InP substrate with zinc-blende crystal structure and to the InP nanowires with wurtzite crystal structure, respectively. The photoluminescence quantum yield of the nanowires was found to be ∼20 times lower than that of the InP substrate. The nanowires, grown vertically in a random ensemble, neither exhibit substantial emission polarization selectivity to the axis of the nanowires nor follow excitation polarization preferences observed previously for a single nanowire.

  3. Three-dimensional GaN/AlN nanowire heterostructures by separating nucleation and growth processes.

    PubMed

    Carnevale, Santino D; Yang, Jing; Phillips, Patrick J; Mills, Michael J; Myers, Roberto C

    2011-02-09

    Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.

  4. Synthesis of nanostructures in nanowires using sequential catalyst reactions

    DOE PAGES

    Panciera, F.; Chou, Y. -C.; Reuter, M. C.; ...

    2015-07-13

    Nanowire growth by the vapour–liquid–solid (VLS) process enables a high level of control over nanowire composition, diameter, growth direction, branching and kinking, periodic twinning, and crystal structure. The tremendous impact of VLS-grown nanowires is due to this structural versatility, generating applications ranging from solid-state lighting and single-photon sources to thermoelectric devices. Here, we show that the morphology of these nanostructures can be further tailored by using the liquid droplets that catalyse nanowire growth as a ‘mixing bowl’, in which growth materials are sequentially supplied to nucleate new phases. Growing within the liquid, these phases adopt the shape of faceted nanocrystalsmore » that are then incorporated into the nanowires by further growth. Furthermore, we demonstrate this concept by epitaxially incorporating metal-silicide nanocrystals into Si nanowires with defect-free interfaces, and discuss how this process can be generalized to create complex nanowire-based heterostructures.« less

  5. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  6. Room-temperature InP/InAsP Quantum Discs-in-Nanowire Infrared Photodetectors.

    PubMed

    Karimi, Mohammad; Jain, Vishal; Heurlin, Magnus; Nowzari, Ali; Hussain, Laiq; Lindgren, David; Stehr, Jan Eric; Buyanova, Irina A; Gustafsson, Anders; Samuelson, Lars; Borgström, Magnus T; Pettersson, Håkan

    2017-06-14

    The possibility to engineer nanowire heterostructures with large bandgap variations is particularly interesting for technologically important broadband photodetector applications. Here we report on a combined study of design, fabrication, and optoelectronic properties of infrared photodetectors comprising four million n + -i-n + InP nanowires periodically ordered in arrays. The nanowires were grown by metal-organic vapor phase epitaxy on InP substrates, with either a single or 20 InAsP quantum discs embedded in the i-segment. By Zn compensation of the residual n-dopants in the i-segment, the room-temperature dark current is strongly suppressed to a level of pA/NW at 1 V bias. The low dark current is manifested in the spectrally resolved photocurrent measurements, which reveal strong photocurrent contributions from the InAsP quantum discs at room temperature with a threshold wavelength of about 2.0 μm and a bias-tunable responsivity reaching 7 A/W@1.38 μm at 2 V bias. Two different processing schemes were implemented to study the effects of radial self-gating in the nanowires induced by the nanowire/SiO x /ITO wrap-gate geometry. Summarized, our results show that properly designed axial InP/InAsP nanowire heterostructures are promising candidates for broadband photodetectors.

  7. Bottle-brush-shaped heterostructures of NiO-ZnO nanowires: growth study and sensing properties

    NASA Astrophysics Data System (ADS)

    Baratto, C.; Kumar, R.; Comini, E.; Ferroni, M.; Campanini, M.

    2017-11-01

    We present here heterostructured ZnO-NiO nanowires (NWs), constituted by a core of single crystalline ZnO NWs, covered by poly-crystalline NiO nanorods (NRs). The bottle-brush shape was investigated by scanning electron microscopy and transmission electron microscope, confirming that a columnar growth of NiO occurred over the ZnO core, with a preferred orientation of NiO over ZnO NWs. The heterostructured devices are proposed for gas sensing application. Bare ZnO NWs and heterostructured sensors with two different thicknesses of NiO poly-crystalline NRs were analysed for acetone, ethanol, NO2 and H2 detection. All sensors maintained n-type sensing mechanism, with improved sensing performance for lower thickness of NiO, due to high catalytic activity of NiO. The sensing dynamic is also strongly modified by the presence of heterojunction of NiO/ZnO, with a reduction of response and recovery times towards ethanol and acetone at 400 °C.

  8. Combined flame and solution synthesis of nanoscale tungsten-oxide and zinc/tin-oxide heterostructures

    NASA Astrophysics Data System (ADS)

    Dong, Zhizhong; Huo, Di; Kear, Bernard H.; Tse, Stephen D.

    2015-12-01

    Heterostructures of tungsten-oxide nanowires decorated with zinc/tin-oxide nanostructures are synthesized via a combined flame and solution synthesis approach. Vertically well-aligned tungsten-oxide nanowires are grown on a tungsten substrate by a flame synthesis method. Here, tetragonal WO2.9 nanowires (diameters of 20-50 nm, lengths >10 μm, and coverage density of 109-1010 cm-2) are produced by the vapor-solid mechanism at 1720 K. Various kinds of Zn/Sn-oxide nanostructures are grown or deposited on the WO2.9 nanowires by adjusting the Sn2+ : Zn2+ molar ratio in an aqueous ethylenediamine solution at 65 °C. With WO2.9 nanowires serving as the base structures, sequential growth or deposition on them of hexagonal ZnO nanoplates, Zn2SnO4 nanocubes, and SnO2 nanoparticles are attained for Sn2+ : Zn2+ ratios of 0 : 1, 1 : 10, and 10 : 1, respectively, along with different saturation conditions. High-resolution transmission electron microscopy of the interfaces at the nanoheterojunctions shows abrupt interfaces for ZnO/WO2.9 and Zn2SnO4/WO2.9, despite lattice mismatches of >20%.

  9. Vertically grown nanowire crystals of dibenzotetrathienocoronene (DBTTC) on large-area graphene

    DOE PAGES

    Kim, B.; Chiu, C. -Y.; Kang, S. J.; ...

    2016-06-01

    Here we demonstrate controlled growth of vertical organic crystal nanowires on single layer graphene. Using Scanning Electron Microscopy (SEM), high-resolution transition electron microscopy (TEM), and Grazing Incidence X-ray Diffraction (GIXD), we probe the microstructure and morphology of dibenzotetrathienocoronene (DBTTC) nanowires epitaxially grown on graphene. The investigation is performed at both the ensemble and single nanowire level, and as function of growth parameters, providing insight of and control over the formation mechanism. Finally, the size, density and height of the nanowires can be tuned via growth conditions, opening new avenues for tailoring three-dimensional (3-D) nanostructured architectures for organic electronics with improvedmore » functional performance.« less

  10. Structural and optical characterization of ZnO nanowires grown on alumina by thermal evaporation method.

    PubMed

    Mute, A; Peres, M; Peiris, T C; Lourenço, A C; Jensen, Lars R; Monteiro, T

    2010-04-01

    Zinc oxide nanowires have been grown on alumina substrate by thermal evaporation of zinc nanopowder in the presence of oxygen flow. The growth was performed under ambient pressure and without the use of foreign catalyst. Scanning electron microscopy (SEM) observation showed that the as-grown sample consists of bulk ZnO crystal on the substrate surface with nanowires growing from this base. Growth mechanism of the observed morphology is suggested to be governed by the change of zinc vapour supersaturation during the growth process. X-ray diffraction (XRD) measurement was used to identify the crystalline phase of the nanowires. Optical properties of the nanowires were investigated using Raman scattering and photoluminescence (PL). The appearance of dominant, Raman active E2 (high) phonon mode in the Raman spectrum has confirmed the wurtzite hexagonal phase of the nanowires. With above bandgap excitation the low temperature PL recombination is dominated by donor bound exciton luminescence at -3.37 eV with a narrow full width at half maximum. Free exciton emission is also seen at low temperature and can be observed up to room temperature. The optical data indicates that the grown nanowires have high optical quality.

  11. InGaN/GaN dot-in-nanowire monolithic LEDs and lasers on (001) silicon

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2017-02-01

    GaN-based nanowire arrays have been grown on (001)Si substrate by plasma-assisted molecular beam epitaxy and their structural and optical properties have been determined. InxGa1-xN disks inserted in the nanowires behave as quantum dots with emission ranging from visible to near-infrared. We have exploited these nanowire heterostructure arrays to realize light-emitting diodes and diode lasers in which the quantum dots form the active light emitting media. The fabrication and characteristics of 630nm light-emitting diodes and 1.3μm edge-emitting diode lasers are described.

  12. Optical properties of single ZnTe nanowires grown at low temperature

    NASA Astrophysics Data System (ADS)

    Artioli, A.; Rueda-Fonseca, P.; Stepanov, P.; Bellet-Amalric, E.; Den Hertog, M.; Bougerol, C.; Genuist, Y.; Donatini, F.; André, R.; Nogues, G.; Kheng, K.; Tatarenko, S.; Ferrand, D.; Cibert, J.

    2013-11-01

    Optically active gold-catalyzed ZnTe nanowires have been grown by molecular beam epitaxy, on a ZnTe(111) buffer layer, at low temperature (350 °C) under Te rich conditions, and at ultra-low density (from 1 to 5 nanowires per μm2). The crystalline structure is zinc blende as identified by transmission electron microscopy. All nanowires are tapered and the majority of them are ⟨111⟩ oriented. Low temperature micro-photoluminescence and cathodoluminescence experiments have been performed on single nanowires. We observe a narrow emission line with a blue-shift of 2 or 3 meV with respect to the exciton energy in bulk ZnTe. This shift is attributed to the strain induced by a 5 nm-thick oxide layer covering the nanowires, and this assumption is supported by a quantitative estimation of the strain in the nanowires.

  13. Intersubband absorption in GaN nanowire heterostructures at mid-infrared wavelengths.

    PubMed

    Ajay, Akhil; Blasco, Rodrigo; Polaczynski, Jakub; Spies, Maria; den Hertog, Martien; Monroy, Eva

    2018-06-27

    In this paper, we study intersubband characteristics of GaN/AlN and GaN/Al0.4Ga0.6N heterostructures in GaN nanowires structurally designed to absorb in the mid-infrared wavelength region. Increasing the GaN well width from 1.5 to 5.7 nm leads to a red shift of the intersubband absorption from 1.4 to 3.4 µm. The red shift in larger quantum wells is amplified by the fact that one of the GaN/AlN heterointerfaces (corresponding to the growth of GaN on AlN) is not sharp but rather a graded alloy extending around 1.5-2 nm. Using AlGaN instead of AlN for the same barrier dimensions, we observe the effects of reduced polarization, which blue shifts the band-to-band transitions and red shifts the intersubband transitions. In heavily doped GaN/AlGaN nanowires, a broad absorption band is observed in the 4.5-6.4 µm spectral region. © 2018 IOP Publishing Ltd.

  14. VLS growth of alternating InAsP/InP heterostructure nanowires for multiple-quantum-dot structures.

    PubMed

    Tateno, Kouta; Zhang, Guoqiang; Gotoh, Hideki; Sogawa, Tetsuomi

    2012-06-13

    We investigated the Au-assisted growth of alternating InAsP/InP heterostructures in wurtzite InP nanowires on InP(111)B substrates for constructing multiple-quantum-dot structures. Vertical InP nanowires without stacking faults were obtained at a high PH(3)/TMIn mole flow ratio of 300-1000. We found that the growth rate changed largely when approximately 40 min passed. Ten InAsP layers were inserted in the InP nanowire, and it was found that both the InP growth rate and the background As level increased after the As supply. We also grew the same structure using TBAs/TBP and could reduce the As level in the InP segments. A simulation using a finite-difference time-domain method suggests that the nanowire growth was dominated by the diffusion of the reaction species with long residence time on the surface. For TBAs/TBP, when the source gases were changed, the formed surface species showed a short diffusion length so as to reduce the As background after the InAsP growth.

  15. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  16. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    NASA Astrophysics Data System (ADS)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  17. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  18. Current–Voltage Characterization of Individual As-Grown Nanowires Using a Scanning Tunneling Microscope

    PubMed Central

    2013-01-01

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current–voltage properties. We report accurate on-top imaging and I–V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I–V properties with a very small spread in measured values compared to standard techniques. PMID:24059470

  19. Current-voltage characterization of individual as-grown nanowires using a scanning tunneling microscope.

    PubMed

    Timm, Rainer; Persson, Olof; Engberg, David L J; Fian, Alexander; Webb, James L; Wallentin, Jesper; Jönsson, Andreas; Borgström, Magnus T; Samuelson, Lars; Mikkelsen, Anders

    2013-11-13

    Utilizing semiconductor nanowires for (opto)electronics requires exact knowledge of their current-voltage properties. We report accurate on-top imaging and I-V characterization of individual as-grown nanowires, using a subnanometer resolution scanning tunneling microscope with no need for additional microscopy tools, thus allowing versatile application. We form Ohmic contacts to InP and InAs nanowires without any sample processing, followed by quantitative measurements of diameter dependent I-V properties with a very small spread in measured values compared to standard techniques.

  20. Enhanced photoemission from glancing angle deposited SiOx-TiO2 axial heterostructure nanowire arrays

    NASA Astrophysics Data System (ADS)

    Dhar, J. C.; Mondal, A.; Singh, N. K.; Chattopadhyay, K. K.

    2013-05-01

    The glancing angle deposition technique has been employed to synthesize SiOx-TiO2 heterostructure nanowire (NW) arrays on indium tin oxide (ITO) coated glass substrate. A field emission gun scanning electron microscopic image shows that the average diameter of the NWs is ˜50 nm. Transmission electron microscopy images show the formation of heterostructure NWs, which consist of ˜180 nm SiOx and ˜210 nm long TiO2. The selected-area electron diffraction shows the amorphous nature of the synthesized NWs, which was also confirmed by X-ray diffraction method. The main band absorption edges at 3.5 eV were found for both the SiOx-TiO2 and TiO2 NW arrays on ITO coated glass plate from optical absorption measurement. Ti3+ defect related sub-band gap transition at 2.5 eV was observed for TiO2 NWs, whereas heterostructure NWs revealed the SiOx optical band gap related transition at ˜2.2 eV. Two fold improved photon absorption as well as five times photoluminescence emission enhancement were observed for the SiOx-TiO2 multilayer NWs compared to TiO2 NWs.

  1. Confocal Raman studies in determining crystalline nature of PECVD grown Si nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Nafis; Bhargav, P. Balaji; Ramasamy, P.

    2015-06-24

    Silicon nanowires of diameter ∼200 nm and length of 2-4 µm are grown in the plasma enhanced chemical vapour deposition technique using nanoclustered Au catalyst assisted vapour-liquid-solid process. The crystallinity in the as-grown and annealed samples is studied using confocal Raman spectroscopic studies. Amorphous phase is formed in the as-grown samples. Structural studies using high resolution transmission electron microscopy confirm the polycrystalline nature in the annealed sample.

  2. Growth and Characterization of Chalcogenide Alloy Nanowires with Controlled Spatial Composition Variation for Optoelectronic Applications

    NASA Astrophysics Data System (ADS)

    Nichols, Patricia

    The energy band gap of a semiconductor material critically influences the operating wavelength of an optoelectronic device. Realization of any desired band gap, or even spatially graded band gaps, is important for applications such as lasers, light-emitting diodes (LEDs), solar cells, and detectors. Compared to thin films, nanowires offer greater flexibility for achieving a variety of alloy compositions. Furthermore, the nanowire geometry permits simultaneous incorporation of a wide range of compositions on a single substrate. Such controllable alloy composition variation can be realized either within an individual nanowire or between distinct nanowires across a substrate. This dissertation explores the control of spatial composition variation in ternary alloy nanowires. Nanowires were grown by the vapor-liquid-solid (VLS) mechanism using chemical vapor deposition (CVD). The gas-phase supersaturation was considered in order to optimize the deposition morphology. Composition and structure were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive x-ray spectroscopy (EDS), and x-ray diffraction (XRD). Optical properties were investigated through photoluminescence (PL) measurements. The chalcogenides selected as alloy endpoints were lead sulfide (PbS), cadmium sulfide (CdS), and cadmium selenide (CdSe). Three growth modes of PbS were identified, which included contributions from spontaneously generated catalyst. The resulting wires were found capable of lasing with wavelengths over 4000 nm, representing the longest known wavelength from a sub-wavelength wire. For CdxPb1-xS nanowires, it was established that the cooling process significantly affects the alloy composition and structure. Quenching was critical to retain metastable alloys with x up to 0.14, representing a new composition in nanowire form. Alternatively, gradual cooling caused phase segregation, which created heterostructures with light emission in

  3. Electrically Injected UV-Visible Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, George T.; Li, Changyi; Li, Qiming

    2015-09-01

    There is strong interest in minimizing the volume of lasers to enable ultracompact, low-power, coherent light sources. Nanowires represent an ideal candidate for such nanolasers as stand-alone optical cavities and gain media, and optically pumped nanowire lasing has been demonstrated in several semiconductor systems. Electrically injected nanowire lasers are needed to realize actual working devices but have been elusive due to limitations of current methods to address the requirement for nanowire device heterostructures with high material quality, controlled doping and geometry, low optical loss, and efficient carrier injection. In this project we proposed to demonstrate electrically injected single nanowire lasersmore » emitting in the important UV to visible wavelengths. Our approach to simultaneously address these challenges is based on high quality III-nitride nanowire device heterostructures with precisely controlled geometries and strong gain and mode confinement to minimize lasing thresholds, enabled by a unique top-down nanowire fabrication technique.« less

  4. Tuning Light Emission of a Pressure-Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-phototronic Effects.

    PubMed

    Chen, Mengxiao; Pan, Caofeng; Zhang, Taiping; Li, Xiaoyi; Liang, Renrong; Wang, Zhong Lin

    2016-06-28

    Based on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure-sensitive Si/ZnO nanowires heterostructure matrix light emitting diode (LED) array is developed. The light emission intensity of a single heterostructure LED is tuned by external strain: when the applied stress keeps increasing, the emission intensity first increases and then decreases with a maximum value at a compressive strain of 0.15-0.2%. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the LED emission intensity by utilizing the strain-induced piezo-polarization charges. It could tune the energy band diagrams at the junction area and regulate the optoelectronic processes such as charge carriers generation, separation, recombination, and transport. This study achieves tuning silicon based devices through piezo-phototronic effect.

  5. An Enhanced UV-Vis-NIR an d Flexible Photodetector Based on Electrospun ZnO Nanowire Array/PbS Quantum Dots Film Heterostructure.

    PubMed

    Zheng, Zhi; Gan, Lin; Zhang, Jianbing; Zhuge, Fuwei; Zhai, Tianyou

    2017-03-01

    ZnO nanostructure-based photodetectors have a wide applications in many aspects, however, the response range of which are mainly restricted in the UV region dictated by its bandgap. Herein, UV-vis-NIR sensitive ZnO photodetectors consisting of ZnO nanowires (NW) array/PbS quantum dots (QDs) heterostructures are fabricated through modified electrospining method and an exchanging process. Besides wider response region compared to pure ZnO NWs based photodetectors, the heterostructures based photodetectors have faster response and recovery speed in UV range. Moreover, such photodetectors demonstrate good flexibility as well, which maintain almost constant performances under extreme (up to 180°) and repeat (up to 200 cycles) bending conditions in UV-vis-NIR range. Finally, this strategy is further verified on other kinds of 1D nanowires and 0D QDs, and similar enhancement on the performance of corresponding photodetecetors can be acquired, evidencing the universality of this strategy.

  6. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    PubMed

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  7. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    PubMed

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  8. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g −1

    DOE PAGES

    Kim, Dongheun; Li, Nan; Sheehan, Chris J.; ...

    2018-01-01

    The charging rate-dependent degradation of lithium ion battery anodes based on Si/Ge core/shell nanowire heterostructure was observed. Si/Ge core/shell structure was preserved at the charging rate of 0.8 A g −1 . On the other hand, compositional intermixing and loss of Si occurs at the charging rate of 20 A g −1 .

  9. Degradation of Si/Ge core/shell nanowire heterostructures during lithiation and delithiation at 0.8 and 20 A g −1

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Dongheun; Li, Nan; Sheehan, Chris J.

    The charging rate-dependent degradation of lithium ion battery anodes based on Si/Ge core/shell nanowire heterostructure was observed. Si/Ge core/shell structure was preserved at the charging rate of 0.8 A g −1 . On the other hand, compositional intermixing and loss of Si occurs at the charging rate of 20 A g −1 .

  10. Effect of doping on the intersubband absorption in Si- and Ge-doped GaN/AlN heterostructures

    NASA Astrophysics Data System (ADS)

    Ajay, A.; Lim, C. B.; Browne, D. A.; Polaczyński, J.; Bellet-Amalric, E.; Bleuse, J.; den Hertog, M. I.; Monroy, E.

    2017-10-01

    In this paper, we study band-to-band and intersubband (ISB) characteristics of Si- and Ge-doped GaN/AlN heterostructures (planar and nanowires) structurally designed to absorb in the short-wavelength infrared region, particularly at 1.55 μm. Regarding the band-to-band properties, we discuss the variation of the screening of the internal electric field by free carriers, as a function of the doping density and well/nanodisk size. We observe that nanowire heterostructures consistently present longer photoluminescence decay times than their planar counterparts, which supports the existence of an in-plane piezoelectric field associated to the shear component of the strain tensor in the nanowire geometry. Regarding the ISB characteristics, we report absorption covering 1.45-1.75 μm using Ge-doped quantum wells, with comparable performance to Si-doped planar heterostructures. We also report similar ISB absorption in Si- and Ge-doped nanowire heterostructures indicating that the choice of dopant is not an intrinsic barrier for observing ISB phenomena. The spectral shift of the ISB absorption as a function of the doping concentration due to many body effects confirms that Si and Ge efficiently dope GaN/AlN nanowire heterostructures.

  11. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    PubMed

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  12. Effect of indium on photovoltaic property of n-ZnO/p-Si heterojunction device prepared using solution-synthesized ZnO nanowire film

    NASA Astrophysics Data System (ADS)

    Kathalingam, Adaikalam; Kim, Hyun-Seok; Park, Hyung-Moo; Valanarasu, Santiyagu; Mahalingam, Thaiyan

    2015-01-01

    Preparation of n-ZnO/p-Si heterostructures using solution-synthesized ZnO nanowire films and their photovoltaic characterization is reported. The solution-grown ZnO nanowire film is characterized using scanning electron microscope, electron dispersive x-ray, and optical absorption studies. Electrical and photovoltaic properties of the fabricated heterostructures are studied using e-beam-evaporated aluminum as metal contacts. In order to use transparent contact and to simultaneously collect the photogenerated carriers, sandwich-type solar cells were fabricated using ZnO nanorod films grown on p-silicon and indium tin oxide (ITO) coated glass as ITO/n-ZnO NR/p-Si. The electrical properties of these structures are analyzed from current-voltage (I-V) characteristics. ZnO nanowire film thickness-dependent photovoltaic properties are also studied. Indium metal was also deposited over the ZnO nanowires and its effects on the photovoltaic response of the devices were studied. The results demonstrated that all the samples exhibit a strong rectifying behavior indicating the diode nature of the devices. The sandwich-type ITO/n-ZnO NR/p-Si solar cells exhibit improved photovoltaic performance over the Al-metal-coated n-ZnO/p-Si structures. The indium deposition is found to show enhancement in photovoltaic behavior with a maximum open-circuit voltage (Voc) of 0.3 V and short-circuit current (Isc) of 70×10-6 A under ultraviolet light excitation.

  13. Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires Grown by Self-Assisted Molecular Beam Epitaxy

    DTIC Science & Technology

    2015-06-18

    public release; distribution is unlimited. Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires grown by Self-Assisted Molecular...U.S. Army Research Office P.O. Box 12211 Research Triangle Park, NC 27709-2211 GaAsSb, Core Shell Nanowires , Micro Photoluminescence...University 1601 East Market Street Greensboro, NC 27411 -0001 ABSTRACT Micro-Photoluminescence (micro-PL) Study of Core-Shell GaAs/GaAsSb Nanowires grown by

  14. Interface dynamics and crystal phase switching in GaAs nanowires

    NASA Astrophysics Data System (ADS)

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C.; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A.; Ross, Frances M.

    2016-03-01

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  15. Interface dynamics and crystal phase switching in GaAs nanowires.

    PubMed

    Jacobsson, Daniel; Panciera, Federico; Tersoff, Jerry; Reuter, Mark C; Lehmann, Sebastian; Hofmann, Stephan; Dick, Kimberly A; Ross, Frances M

    2016-03-17

    Controlled formation of non-equilibrium crystal structures is one of the most important challenges in crystal growth. Catalytically grown nanowires are ideal systems for studying the fundamental physics of phase selection, and could lead to new electronic applications based on the engineering of crystal phases. Here we image gallium arsenide (GaAs) nanowires during growth as they switch between phases as a result of varying growth conditions. We find clear differences between the growth dynamics of the phases, including differences in interface morphology, step flow and catalyst geometry. We explain these differences, and the phase selection, using a model that relates the catalyst volume, the contact angle at the trijunction (the point at which solid, liquid and vapour meet) and the nucleation site of each new layer of GaAs. This model allows us to predict the conditions under which each phase should be observed, and use these predictions to design GaAs heterostructures. These results could apply to phase selection in other nanowire systems.

  16. Copper silicide/silicon nanowire heterostructures: in situ TEM observation of growth behaviors and electron transport properties.

    PubMed

    Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Huang, Yu-Ting; Hu, Jung-Chih; Chen, Lien-Tai; Hsin, Cheng-Lun; Wu, Wen-Wei

    2013-06-07

    Copper silicide has been studied in the applications of electronic devices and catalysts. In this study, Cu3Si/Si nanowire heterostructures were fabricated through solid state reaction in an in situ transmission electron microscope (TEM). The dynamic diffusion of the copper atoms in the growth process and the formation mechanism are characterized. We found that two dimensional stacking faults (SF) may retard the growth of Cu3Si. Due to the evidence of the block of edge-nucleation (heterogeneous) by the surface oxide, center-nucleation (homogeneous) is suggested to dominate the silicidation. Furthermore, the electrical transport properties of various silicon channel length with Cu3Si/Si heterostructure interfaces and metallic Cu3Si NWs have been investigated. The observations not only provided an alternative pathway to explore the formation mechanisms and interface properties of Cu3Si/Si, but also suggested the potential application of Cu3Si at nanoscale for future processing in nanotechnology.

  17. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    NASA Astrophysics Data System (ADS)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  18. Nanoheteroepitaxy of gallium arsenide on strain-compliant silicon-germanium nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chin, Hock-Chun; Gong, Xiao; Yeo, Yee-Chia

    Heterogeneous integration of high-quality GaAs on Si-based substrates using a selective migration-enhanced epitaxy (MEE) of GaAs on strain-compliant SiGe nanowires was demonstrated for the first time. The physics of compliance in nanoscale heterostructures was captured and studied using finite-element simulation. It is shown that nanostructures can provide additional substrate compliance for strain relief and therefore contribute to the formation of defect-free GaAs on SiGe. Extensive characterization using scanning electron microscopy and cross-sectional transmission electron microscopy was performed to illustrate the successful growth of GaAs on SiGe nanowire. Raman and Auger electron spectroscopy measurements further confirmed the quality of the GaAsmore » grown and the high growth selectivity of the MEE process.« less

  19. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  20. Gate-Tunable Electron Transport Phenomena in Al-Ge⟨111⟩-Al Nanowire Heterostructures.

    PubMed

    Brunbauer, Florian M; Bertagnolli, Emmerich; Lugstein, Alois

    2015-11-11

    Electrostatically tunable negative differential resistance (NDR) is demonstrated in monolithic metal-semiconductor-metal (Al-Ge-Al) nanowire (NW) heterostructures integrated in back-gated field-effect transistors (FETs). Unambiguous signatures of NDR even at room temperature are attributed to intervalley electron transfer. At yet higher electric fields, impact ionization leads to an exponential increase of the current in the ⟨111⟩ oriented Ge NW segments. Modulation of the transfer rates, manifested as a large tunability of the peak-to-valley ratio (PVR) and the onset of impact ionization is achieved by the combined influences of electrostatic gating, geometric confinement, and heterojunction shape on hot electron transfer and by electron-electron scattering rates that can be altered by varying the charge carrier concentration in the NW FETs.

  1. Influence of strain relaxation in axial [Formula: see text] nanowire heterostructures on their electronic properties.

    PubMed

    Marquardt, Oliver; Krause, Thilo; Kaganer, Vladimir; Martín-Sánchez, Javier; Hanke, Michael; Brandt, Oliver

    2017-05-26

    We present a systematic theoretical study of the influence of elastic strain relaxation on the built-in electrostatic potentials and the electronic properties of axial [Formula: see text] nanowire (NW) heterostructures. Our simulations reveal that for a sufficiently large ratio between the thickness of the [Formula: see text] disk and the diameter of the NW, the elastic relaxation leads to a significant reduction of the built-in electrostatic potential in comparison to a planar system of similar layer thickness and In content. In this case, the ground state transition energies approach constant values with increasing thickness of the disk and only depend on the In content, a behavior usually associated to that of a quantum well free of built-in electrostatic potentials. We show that the structures under consideration are by no means field-free, and the built-in potentials continue to play an important role even for ultrathin NWs. In particular, strain and the resulting polarization potentials induce complex confinement features of electrons and holes, which depend on the In content, shape, and dimensions of the heterostructure.

  2. Straight single-crystalline germanium nanowires and their patterns grown on sol gel prepared gold/silica substrates

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Lowndes, Douglas H.

    2005-04-01

    Straight single-crystalline Ge nanowires with a uniform diameter distribution of 50-80 nm and lengths up to tens of micrometers were grown in a high yield on sol-gel prepared gold/silica substrates by using Ge powder as the Ge source. Detailed electron microscopy analyses show that the nanowires grow through a vapor-liquid-solid growth mechanism with gold nanoparticles located at the nanowire tips. By using transmission electron microscope grids as the shadow mask, the sol-gel technique can be readily adapted to prepare patterned film-like gold/silica substrates, so that regular micropatterns of Ge nanowires were obtained, which could facilitate the integration of Ge nanowires for characterization and devices.

  3. Electromagnetic Field Enhancement on Axially Heterostructured NWs: The Role of the Heterojunctions

    NASA Astrophysics Data System (ADS)

    Pura, J. L.; Souto, J.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-05-01

    Semiconductor nanowires are the building blocks of future nanoelectronic devices. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. We carried out experimental measurements through the micro-Raman spectroscopy of different group IV nanowires, both homogeneous Si nanowires and axially heterostructured SiGe/Si nanowires. These experimental measurements show an enhancement of the Raman signal in the vicinity of the heterojunction of SiGe/Si nanowires. The results are analysed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances, and the results are understood as a consequence of a finite change in the relative permittivity of the material at the SiGe/Si heterojunction. This effect opens a path to controlling interactions between light and matter at the nanoscale with direct applications in photonic nanodevices.

  4. Fabrication of MgFe2O4/MoS2 Heterostructure Nanowires for Photoelectrochemical Catalysis.

    PubMed

    Fan, Weiqiang; Li, Meng; Bai, Hongye; Xu, Dongbo; Chen, Chao; Li, Chunfa; Ge, Yilin; Shi, Weidong

    2016-02-16

    A novel one-dimensional MgFe2O4/MoS2 heterostructure has been successfully designed and fabricated. The bare MgFe2O4 was obtained as uniform nanowires through electrospinning, and MoS2 thin film appeared on the surface of MgFe2O4 after further chemical vapor deposition. The structure of the MgFe2O4/MoS2 heterostructure was systematic investigated by X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), X-ray photoelectron spectrometry (XPS), and Raman spectra. According to electrochemical impedance spectroscopy (EIS) results, the MgFe2O4/MoS2 heterostructure showed a lower charge-transfer resistance compared with bare MgFe2O4, which indicated that the MoS2 played an important role in the enhancement of electron/hole mobility. MgFe2O4/MoS2 heterostructure can efficiently degrade tetracycline (TC), since the superoxide free-radical can be produced by sample under illumination due to the active species trapping and electron spin resonance (ESR) measurement, and the optimal photoelectrochemical degradation rate of TC can be achieved up to 92% (radiation intensity: 47 mW/cm(2), 2 h). Taking account of its unique semiconductor band gap structure, MgFe2O4/MoS2 can also be used as an photoelectrochemical anode for hydrogen production by water splitting, and the hydrogen production rate of MgFe2O4/MoS2 was 5.8 mmol/h·m(2) (radiation intensity: 47 mW/cm(2)), which is about 1.7 times that of MgFe2O4.

  5. Aligned hierarchical Ag/ZnO nano-heterostructure arrays via electrohydrodynamic nanowire template for enhanced gas-sensing properties.

    PubMed

    Yin, Zhouping; Wang, Xiaomei; Sun, Fazhe; Tong, Xiaohu; Zhu, Chen; Lv, Qiying; Ye, Dong; Wang, Shuai; Luo, Wei; Huang, YongAn

    2017-09-22

    Gas sensing performance can be improved significantly by the increase in both the effective gas exposure area and the surface reactivitiy of ZnO nanorods. Here, we propose aligned hierarchical Ag/ZnO nano-heterostructure arrays (h-Ag/ZnO-NAs) via electrohydrodynamic nanowire template, together with a subsequent hydrothermal synthesis and photoreduction reaction. The h-Ag/ZnO-NAs scatter at top for higher specific surface areas with the air, simultaneously contact at root for the electrical conduction. Besides, the ZnO nanorods are uniformly coated with dispersed Ag nanoparticles, resulting in a tremendous enhancement of the surface reactivity. Compared with pure ZnO, such h-Ag/ZnO-NAs exhibit lower electrical resistance and faster responses. Moreover, they demonstrate enhanced NO 2 gas sensing properties. Self-assembly via electrohydrodynamic nanowire template paves a new way for the preparation of high performance gas sensors.

  6. Atomic-Resolution Spectrum Imaging of Semiconductor Nanowires.

    PubMed

    Zamani, Reza R; Hage, Fredrik S; Lehmann, Sebastian; Ramasse, Quentin M; Dick, Kimberly A

    2018-03-14

    Over the past decade, III-V heterostructure nanowires have attracted a surge of attention for their application in novel semiconductor devices such as tunneling field-effect transistors (TFETs). The functionality of such devices critically depends on the specific atomic arrangement at the semiconductor heterointerfaces. However, most of the currently available characterization techniques lack sufficient spatial resolution to provide local information on the atomic structure and composition of these interfaces. Atomic-resolution spectrum imaging by means of electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope (STEM) is a powerful technique with the potential to resolve structure and chemical composition with sub-angstrom spatial resolution and to provide localized information about the physical properties of the material at the atomic scale. Here, we demonstrate the use of atomic-resolution EELS to understand the interface atomic arrangement in three-dimensional heterostructures in semiconductor nanowires. We observed that the radial interfaces of GaSb-InAs heterostructure nanowires are atomically abrupt, while the axial interface in contrast consists of an interfacial region where intermixing of the two compounds occurs over an extended spatial region. The local atomic configuration affects the band alignment at the interface and, hence, the charge transport properties of devices such as GaSb-InAs nanowire TFETs. STEM-EELS thus represents a very promising technique for understanding nanowire physical properties, such as differing electrical behavior across the radial and axial heterointerfaces of GaSb-InAs nanowires for TFET applications.

  7. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  8. Defect-Induced Nucleation and Epitaxy: A New Strategy toward the Rational Synthesis of WZ-GaN/3C-SiC Core-Shell Heterostructures.

    PubMed

    Liu, Baodan; Yang, Bing; Yuan, Fang; Liu, Qingyun; Shi, Dan; Jiang, Chunhai; Zhang, Jinsong; Staedler, Thorsten; Jiang, Xin

    2015-12-09

    In this work, we demonstrate a new strategy to create WZ-GaN/3C-SiC heterostructure nanowires, which feature controllable morphologies. The latter is realized by exploiting the stacking faults in 3C-SiC as preferential nucleation sites for the growth of WZ-GaN. Initially, cubic SiC nanowires with an average diameter of ∼100 nm, which display periodic stacking fault sections, are synthesized in a chemical vapor deposition (CVD) process to serve as the core of the heterostructure. Subsequently, hexagonal wurtzite-type GaN shells with different shapes are grown on the surface of 3C-SiC wire core. In this context, it is possible to obtain two types of WZ-GaN/3C-SiC heterostructure nanowires by means of carefully controlling the corresponding CVD reactions. Here, the stacking faults, initially formed in 3C-SiC nanowires, play a key role in guiding the epitaxial growth of WZ-GaN as they represent surface areas of the 3C-SiC nanowires that feature a higher surface energy. A dedicated structural analysis of the interfacial region by means of high-resolution transmission electron microscopy (HRTEM) revealed that the disordering of the atom arrangements in the SiC defect area promotes a lattice-matching with respect to the WZ-GaN phase, which results in a preferential nucleation. All WZ-GaN crystal domains exhibit an epitaxial growth on 3C-SiC featuring a crystallographic relationship of [12̅10](WZ-GaN) //[011̅](3C-SiC), (0001)(WZ-GaN)//(111)(3C-SiC), and d(WZ-GaN(0001)) ≈ 2d(3C-SiC(111)). The approach to utilize structural defects of a nanowire core to induce a preferential nucleation of foreign shells generally opens up a number of opportunities for the epitaxial growth of a wide range of semiconductor nanostructures which are otherwise impossible to acquire. Consequently, this concept possesses tremendous potential for the applications of semiconductor heterostructures in various fields such as optics, electrics, electronics, and photocatalysis for energy harvesting

  9. Room-Temperature Quantum Ballistic Transport in Monolithic Ultrascaled Al-Ge-Al Nanowire Heterostructures.

    PubMed

    Sistani, Masiar; Staudinger, Philipp; Greil, Johannes; Holzbauer, Martin; Detz, Hermann; Bertagnolli, Emmerich; Lugstein, Alois

    2017-08-09

    Conductance quantization at room temperature is a key requirement for the utilizing of ballistic transport for, e.g., high-performance, low-power dissipating transistors operating at the upper limit of "on"-state conductance or multivalued logic gates. So far, studying conductance quantization has been restricted to high-mobility materials at ultralow temperatures and requires sophisticated nanostructure formation techniques and precise lithography for contact formation. Utilizing a thermally induced exchange reaction between single-crystalline Ge nanowires and Al pads, we achieved monolithic Al-Ge-Al NW heterostructures with ultrasmall Ge segments contacted by self-aligned quasi one-dimensional crystalline Al leads. By integration in electrostatically modulated back-gated field-effect transistors, we demonstrate the first experimental observation of room temperature quantum ballistic transport in Ge, favorable for integration in complementary metal-oxide-semiconductor platform technology.

  10. Ultrahigh sensitivity and gain white light photodetector based on GaTe/Sn : CdS nanoflake/nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Zhou, Weichang; Zhou, Yong; Peng, Yuehua; Zhang, Yong; Yin, Yanling; Tang, Dongsheng

    2014-11-01

    Optoelectronic diode based on PN heterostructure is one of the most fundamental device building blocks with extensive applications. Here we reported the fabrication and optoelectronic properties of GaTe/Sn : CdS nanoflake/nanowire PN heterojunction photodetectors. With high quality contacts between metal electrodes and Sn : CdS or GaTe, the electrical measurement of GaTe/Sn : CdS hybrid heterojunction under dark condition demonstrates an excellent diode characteristic with well-defined current rectification behavior. The photocurrent increases drastically under LED white light as well as red, green, UV illumination. The on-off ratio of current is about 100 for forward bias and 3000 for reverse bias, which clearly indicates the ultrahigh sensitivity of the heterostructure photodetector to white light. The responsivity and optical gain are determined to be 607 A W-1 and (1.06-2.16) × 105%, which is higher than previous reports of single GaTe or CdS nanostructures. Combination the Ids-Vds curves under different illumination power with energy band diagrams, we assign that both the light modulation effect under forward and reverse bias and the surface molecular oxygen adsorption/desorption mechanism are dominant to the electrical transport behavior of GaTe/Sn : CdS heterojunction. This heterostructure photodetector also shows good stability and fast response speed. Both the high photosensibility and fast response time described in the present study suggest strongly that the GaTe/Sn : CdS hybrid heterostructure is a promising candidate for photodetection, optical sensing and switching devices.

  11. Controlled synthesis of AlN/GaN multiple quantum well nanowire structures and their optical properties.

    PubMed

    Qian, Fang; Brewster, Megan; Lim, Sung K; Ling, Yichuan; Greene, Christopher; Laboutin, Oleg; Johnson, Jerry W; Gradečak, Silvija; Cao, Yu; Li, Yat

    2012-06-13

    We report the controlled synthesis of AlN/GaN multi-quantum well (MQW) radial nanowire heterostructures by metal-organic chemical vapor deposition. The structure consists of a single-crystal GaN nanowire core and an epitaxially grown (AlN/GaN)(m) (m = 3, 13) MQW shell. Optical excitation of individual MQW nanowires yielded strong, blue-shifted photoluminescence in the range 340-360 nm, with respect to the GaN near band-edge emission at 368.8 nm. Cathodoluminescence analysis on the cross-sectional MQW nanowire samples showed that the blue-shifted ultraviolet luminescence originated from the GaN quantum wells, while the defect-associated yellow luminescence was emitted from the GaN core. Computational simulation provided a quantitative analysis of the mini-band energies in the AlN/GaN superlattices and suggested the observed blue-shifted emission corresponds to the interband transitions between the second subbands of GaN, as a result of quantum confinement and strain effect in these AlN/GaN MQW nanowire structures.

  12. Acoustically regulated optical emission dynamics from quantum dot-like emission centers in GaN/InGaN nanowire heterostructures

    NASA Astrophysics Data System (ADS)

    Lazić, S.; Chernysheva, E.; Hernández-Mínguez, A.; Santos, P. V.; van der Meulen, H. P.

    2018-03-01

    We report on experimental studies of the effects induced by surface acoustic waves on the optical emission dynamics of GaN/InGaN nanowire quantum dots. We employ stroboscopic optical excitation with either time-integrated or time-resolved photoluminescence detection. In the absence of the acoustic wave, the emission spectra reveal signatures originated from the recombination of neutral exciton and biexciton confined in the probed nanowire quantum dot. When the nanowire is perturbed by the propagating acoustic wave, the embedded quantum dot is periodically strained and its excitonic transitions are modulated by the acousto-mechanical coupling. Depending on the recombination lifetime of the involved optical transitions, we can resolve acoustically driven radiative processes over time scales defined by the acoustic cycle. At high acoustic amplitudes, we also observe distortions in the transmitted acoustic waveform, which are reflected in the time-dependent spectral response of our sensor quantum dot. In addition, the correlated intensity oscillations observed during temporal decay of the exciton and biexciton emission suggest an effect of the acoustic piezoelectric fields on the quantum dot charge population. The present results are relevant for the dynamic spectral and temporal control of photon emission in III-nitride semiconductor heterostructures.

  13. Fabrication and properties of nanoscale multiferroic heterostructures for application in magneto-electric random access memory (MERAM) devices

    NASA Astrophysics Data System (ADS)

    Kim, Gunwoo

    Magnetoelectric random access memory (MERAM) has emerged as a promising new class of non-volatile solid-state memory device. It offers nondestructive reading along with low power consumption during the write operation. A common implementation of MERAM involves use of multiferroic tunneling junctions (MFTJs), which besides offering non-volatility are both electrically and magnetically tunable. Fundamentally, a MFTJ consists of a heterostructure of an ultrathin multiferroic or ferroelectric material as the active tunneling barrier sandwiched between ferromagnetic electrodes. Thereby, the MFTJ exhibits both tunnel electroresistance (TER) and tunnel magnetoresistance (TMR) effects with application of an electric and magnetic field, respectively. In this thesis work, we have developed two-dimensional (2D) thin-film multiferroic heterostructure METJ prototypes consisting of ultrathin ferroelectric BaTiO3 (BTO) layer and a conducting ferromagnetic La0.67Sr 0.33MnO3 (LSMO) electrode. The heteroepitaxial films are grown using the pulsed laser deposition (PLD) technique. This oxide heterostructure offers the opportunity to study the nano-scale details of the tunnel electroresistance (TER) effect using scanning probe microscopy techniques. We performed the measurements using the MFP-3D (Asylum Research) scanning probe microscope. The ultrathin BTO films (1.2-2.0 nm) grown on LSMO electrodes display both ferro- and piezo-electric properties and exhibit large tunnel resistance effect. We have explored the growth and properties of one-dimensional (1D) heterostructures, referred to as multiferoric nanowire (NW) heterostructures. The ferromagnetic/ferroelectric composite heterostructures are grown as sheath layers using PLD on lattice-matched template NWs, e.g. MgO, that are deposited by chemical vapor deposition utilizing the vapor-liquid-solid (VLS) mechanism. The one-dimensional geometry can substantially overcome the clamping effect of the substrate present in two

  14. Coexistence of optically active radial and axial CdTe insertions in single ZnTe nanowire.

    PubMed

    Wojnar, P; Płachta, J; Zaleszczyk, W; Kret, S; Sanchez, Ana M; Rudniewski, R; Raczkowska, K; Szymura, M; Karczewski, G; Baczewski, L T; Pietruczik, A; Wojtowicz, T; Kossut, J

    2016-03-14

    We report on the growth, cathodoluminescence and micro-photoluminescence of individual radial and axial CdTe insertions in ZnTe nanowires. In particular, the cathodoluminescence technique is used to determine the position of each emitting object inside the nanowire. It is demonstrated that depending on the CdTe deposition temperature, one can obtain an emission either from axial CdTe insertions only, or from both, radial and axial heterostructures, simultaneously. At 350 °C CdTe grows only axially, whereas at 310 °C and 290 °C, there is also significant deposition on the nanowire sidewalls resulting in radial core/shell heterostructures. The presence of Cd atoms on the sidewalls is confirmed by energy dispersive X-ray spectroscopy. Micro-photoluminescence study reveals a strong linear polarization of the emission from both types of heterostructures in the direction along the nanowire axis.

  15. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  16. Ferromagnetic germanide in Ge nanowire transistors for spintronics application.

    PubMed

    Tang, Jianshi; Wang, Chiu-Yen; Hung, Min-Hsiu; Jiang, Xiaowei; Chang, Li-Te; He, Liang; Liu, Pei-Hsuan; Yang, Hong-Jie; Tuan, Hsing-Yu; Chen, Lih-Juann; Wang, Kang L

    2012-06-26

    To explore spintronics applications for Ge nanowire heterostructures formed by thermal annealing, it is critical to develop a ferromagnetic germanide with high Curie temperature and take advantage of the high-quality interface between Ge and the formed ferromagnetic germanide. In this work, we report, for the first time, the formation and characterization of Mn(5)Ge(3)/Ge/Mn(5)Ge(3) nanowire transistors, in which the room-temperature ferromagnetic germanide was found through the solid-state reaction between a single-crystalline Ge nanowire and Mn contact pads upon thermal annealing. The atomically clean interface between Mn(5)Ge(3) and Ge with a relatively small lattice mismatch of 10.6% indicates that Mn(5)Ge(3) is a high-quality ferromagnetic contact to Ge. Temperature-dependent I-V measurements on the Mn(5)Ge(3)/Ge/Mn(5)Ge(3) nanowire heterostructure reveal a Schottky barrier height of 0.25 eV for the Mn(5)Ge(3) contact to p-type Ge. The Ge nanowire field-effect transistors built on the Mn(5)Ge(3)/Ge/Mn(5)Ge(3) heterostructure exhibit a high-performance p-type behavior with a current on/off ratio close to 10(5), and a hole mobility of 150-200 cm(2)/(V s). Temperature-dependent resistance of a fully germanided Mn(5)Ge(3) nanowire shows a clear transition behavior near the Curie temperature of Mn(5)Ge(3) at about 300 K. Our findings of the high-quality room-temperature ferromagnetic Mn(5)Ge(3) contact represent a promising step toward electrical spin injection into Ge nanowires and thus the realization of high-efficiency spintronic devices for room-temperature applications.

  17. Alignment nature of ZnO nanowires grown on polished and nanoscale etched lithium niobate surface through self-seeding thermal evaporation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanan, Ajay Achath; Parthiban, R.; Ramakrishnan, N., E-mail: ramakrishnan@monash.edu

    Highlights: • ZnO nanowires were grown directly on LiNbO{sub 3} surface for the first time by thermal evaporation. • Self-alignment of the nanowires due to step bunching of LiNbO{sub 3} surface is observed. • Increased roughness in surface defects promoted well-aligned growth of nanowires. • Well-aligned growth was then replicated in 50 nm deep trenches on the surface. • Study opens novel pathway for patterned growth of ZnO nanowires on LiNbO{sub 3} surface. - Abstract: High aspect ratio catalyst-free ZnO nanowires were directly synthesized on lithium niobate substrate for the first time through thermal evaporation method without the use ofmore » a buffer layer or the conventional pre-deposited ZnO seed layer. As-grown ZnO nanowires exhibited a crisscross aligned growth pattern due to step bunching of the polished lithium niobate surface during the nanowire growth process. On the contrary, scratches on the surface and edges of the substrate produced well-aligned ZnO nanowires in these defect regions due to high surface roughness. Thus, the crisscross aligned nature of high aspect ratio nanowire growth on the lithium niobate surface can be changed to well-aligned growth through controlled etching of the surface, which is further verified through reactive-ion etching of lithium niobate. The investigations and discussion in the present work will provide novel pathway for self-seeded patterned growth of well-aligned ZnO nanowires on lithium niobate based micro devices.« less

  18. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  19. Twofold origin of strain-induced bending in core-shell nanowires: the GaP/InGaP case.

    PubMed

    Gagliano, Luca; Albani, Marco; Verheijen, Marcel A; Bakkers, Erik P A M; Miglio, Leo

    2018-08-03

    Nanowires have emerged as a promising platform for the development of novel and high-quality heterostructures at large lattice misfit, inaccessible in a thin film configuration. However, despite core-shell nanowires allowing a very efficient elastic release of the misfit strain, the growth of highly uniform arrays of nanowire heterostructures still represents a challenge, for example due to a strain-induced bending morphology. Here we investigate the bending of wurtzite GaP/In x Ga 1-x P core-shell nanowires using transmission electron microscopy and energy dispersive x-ray spectroscopy, both in terms of geometric and compositional asymmetry with respect to the longitudinal axis. We compare the experimental data with finite element method simulations in three dimensions, showing that both asymmetries are responsible for the actual bending. Such findings are valid for all lattice-mismatched core-shell nanowire heterostructures based on ternary alloys. Our work provides a quantitative understanding of the bending effect in general while also suggesting a strategy to minimise it.

  20. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  1. Activation of an intense near band edge emission from ZnTe/ZnMgTe core/shell nanowires grown on silicon.

    PubMed

    Wojnar, P; Szymura, M; Zaleszczyk, W; Kłopotowski, L; Janik, E; Wiater, M; Baczewski, L T; Kret, S; Karczewski, G; Kossut, J; Wojtowicz, T

    2013-09-13

    The absence of luminescence in the near band edge energy region of Te-anion based semiconductor nanowires grown by gold catalyst assisted molecular beam epitaxy has strongly limited their applications in the field of photonics. In this paper, an enhancement of the near band edge emission intensity from ZnTe/ZnMgTe core/shell nanowires grown on Si substrates is reported. A special role of the use of Si substrates instead of GaAs substrates is emphasized, which results in an increase of the near band edge emission intensity by at least one order of magnitude accompanied by a simultaneous reduction of the defect related luminescence. A possible explanation of this effect relies on the presence of Ga-related deep level defects in structures grown on GaAs substrates, which are absent when Si substrates are used. Monochromatic mapping of the cathodoluminescence clearly confirms that the observed emission originates, indeed, from the ZnTe/ZnMgTe core/shell nanowires, whereas individual objects are studied by means of microphotoluminescence.

  2. High yield of self-catalyzed GaAs nanowire arrays grown on silicon via gallium droplet positioning.

    PubMed

    Plissard, S; Larrieu, G; Wallart, X; Caroff, P

    2011-07-08

    We report and detail a method to achieve growth of vertical self-catalyzed GaAs nanowires directly on Si(111) with a near-perfect vertical yield, using electron-beam-defined arrays of holes in a dielectric layer and molecular beam epitaxy. In our conditions, GaAs nanowires are grown along a vapor-liquid-solid mechanism, using in situ self-forming Ga droplets. The focus of this paper is to understand the role of the substrate preparation and of the pre-growth conditioning. Without changing temperature or the V/III ratio, the yield of vertical nanowires is increased incrementally up to 95%. The possibility to achieve very dense arrays, with center-to-center inter-wire distances less than 100 nm, is demonstrated.

  3. InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning.

    PubMed

    Huang, Yinggang; Kim, Tae Wan; Xiong, Shisheng; Mawst, Luke J; Kuech, Thomas F; Nealey, Paul F; Dai, Yushuai; Wang, Zihao; Guo, Wei; Forbes, David; Hubbard, Seth M; Nesnidal, Michael

    2013-01-01

    Dense arrays of indium arsenide (InAs) nanowire materials have been grown by selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) using polystyrene-b-poly(methyl methacrylate) (PS/PMMA) diblock copolymer (DBC) nanopatterning technique, which is a catalyst-free approach. Nanoscale openings were defined in a thin (~10 nm) SiNx layer deposited on a (111)B-oriented GaAs substrate using the DBC process and CF4 reactive ion etching (RIE), which served as a hard mask for the nanowire growth. InAs nanowires with diameters down to ~ 20 nm and micrometer-scale lengths were achieved with a density of ~ 5 × 10(10) cm(2). The nanowire structures were characterized by scanning electron microscopy and transmission electron microscopy, which indicate twin defects in a primary zincblende crystal structure and the absence of threading dislocation within the imaged regions.

  4. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zaunbrecher, Katherine N.; Kuciauskas, Darius; Swartz, Craig H.; Dippo, Pat; Edirisooriya, Madhavie; Ogedengbe, Olanrewaju S.; Sohal, Sandeep; Hancock, Bobby L.; LeBlanc, Elizabeth G.; Jayathilaka, Pathiraja A. R. D.; Barnes, Teresa M.; Myers, Thomas H.

    2016-08-01

    Heterostructures with CdTe and CdTe1-xSex (x ˜ 0.01) absorbers between two wider-band-gap Cd1-xMgxTe barriers (x ˜ 0.25-0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects have a zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ˜6 μm, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 μs with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 μs.

  5. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zaunbrecher, Katherine N.; Kuciauskas, Darius; Swartz, Craig H.

    Heterostructures with CdTe and CdTe 1-xSex (x ~ 0.01) absorbers between two wider-band-gap Cd1-xMgxTe barriers (x ~ 0.25-0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects havemore » a zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ~6 um, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 us with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 us.« less

  6. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/V<1). The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1) and metal rich growth regime (III/V≥1), respectively. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  7. Nanowire growth and sublimation: CdTe quantum dots in ZnTe nanowires

    NASA Astrophysics Data System (ADS)

    Orrù, M.; Robin, E.; Den Hertog, M.; Moratis, K.; Genuist, Y.; André, R.; Ferrand, D.; Cibert, J.; Bellet-Amalric, E.

    2018-04-01

    The role of the sublimation of the compound and of the evaporation of the constituents from the gold nanoparticle during the growth of semiconductor nanowires is exemplified with CdTe-ZnTe heterostructures. Operating close to the upper temperature limit strongly reduces the amount of Cd present in the gold nanoparticle and the density of adatoms on the nanowire sidewalls. As a result, the growth rate is small and strongly temperature dependent, but a good control of the growth conditions allows the incorporation of quantum dots in nanowires with sharp interfaces and adjustable shape, and it minimizes the radial growth and the subsequent formation of additional CdTe clusters on the nanowire sidewalls, as confirmed by photoluminescence. Uncapped CdTe segments dissolve into the gold nanoparticle when interrupting the flux, giving rise to a bulblike (pendant-droplet) shape attributed to the Kirkendall effect.

  8. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  9. The role of Euler buckling instability in the fabrication of nanoelectromechanical systems on the basis of GaAs/AlGaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Shevyrin, A. A.; Pogosov, A. G.; Budantsev, M. V.; Bakarov, A. K.; Toropov, A. I.; Ishutkin, S. V.; Shesterikov, E. V.; Kozhukhov, A. S.; Kosolobov, S. S.; Gavrilova, T. A.

    2012-12-01

    Mechanical stresses are investigated in suspended nanowires made on the basis of GaAs/AlGaAs heterostructures. Though there are no intentionally introduced stressor layers in the heterostructure, the nanowires are subject to Euler buckling instability. In the wide nanowires, the out-of-plane buckling is observed at length significantly smaller (3 times) than the theoretically estimated critical value, while in the narrow nanowires, the experimentally measured critical length of the in-plane buckling coincides with the theoretical estimation. The possible reasons for the obtained discrepancy are considered. The observed peculiarities should be taken into account in the fabrication of nanomechanical and nanoelectromechanical systems.

  10. Synthesis of nanowire bundle-like WO3-W18O49 heterostructures for highly sensitive NH3 sensor application.

    PubMed

    Xiong, Ya; Zhu, Zongye; Guo, Tianchao; Li, Hui; Xue, Qingzhong

    2018-04-14

    Heterojunctions are very promising structures due to their hybrid properties, which are usually obtained via a multistep growth process. However, in this paper, WO 3 -W 18 O 49 heterostructures are synthesized via a novel one-step approach by using isopropanol as reaction media and are applied in NH 3 gas detection for the first time. The obtained WO 3 -W 18 O 49 heterostructures with loose nanowire bundle-like morphology show a response value of 23.3 toward 500 ppm NH 3 at 250 °C, which is 5.63 times higher than that of pristine W 18 O 49 . In addition, the WO 3 -W 18 O 49 sensor also exhibits great dynamic response/recovery characteristics (13 s/49 s @ 500 ppm NH 3 ), superb selectivity and low detection limit of 460 ppb. The substantial improvement in the response of WO 3 -W 18 O 49 heterostructures toward NH 3 can be explained by the formation of n-WO 3 /n-W 18 O 49 heterojunctions that facilitate the generation of a more extended depletion layer as well as the enhancement of specific surface area and pore volume. Our research results open an easy pathway for facile one-step preparation of heterojunctions with high response and low cost, which can be used for the development of other high-performance gas sensors. Copyright © 2018 Elsevier B.V. All rights reserved.

  11. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    PubMed

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  12. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures

    PubMed Central

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-01-01

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices. PMID:26563573

  13. Ultrafine MnO2 Nanowire Arrays Grown on Carbon Fibers for High-Performance Supercapacitors

    NASA Astrophysics Data System (ADS)

    Hu, Jiyu; Qian, Feng; Song, Guosheng; Li, Wenyao; Wang, Linlin

    2016-10-01

    Large-area ultrafine MnO2 nanowire arrays (NWA) directly grew on a carbon fiber (CF, used as a substrate) by a simple electrochemical method, forming three-dimensional (3D) hierarchical heterostructures of a CF@MnO2 NWA composite. As an electrode for supercapacitors, the CF@MnO2 NWA composite exhibits excellent electrochemical performances including high specific capacitance (321.3 F g-1 at 1000 mA g-1) and good rate capability. Further, the overall capacitance retention is 99.7 % capacitance after 3000 cycles. These outstanding electrochemical performances attribute to a large number of transport channels for the penetration of electrolyte and the transportation of ions and electrons of electrodes. The as-prepared CF@MnO2 NWA composite may be a promising electrode material for high-performance supercapacitors.

  14. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zaunbrecher, Katherine N.; National Renewable Energy Laboratory, Golden, Colorado 80401; Kuciauskas, Darius

    Heterostructures with CdTe and CdTe{sub 1-x}Se{sub x} (x ∼ 0.01) absorbers between two wider-band-gap Cd{sub 1-x}Mg{sub x}Te barriers (x ∼ 0.25–0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects have amore » zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ∼6 μm, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 μs with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 μs.« less

  15. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    PubMed

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  16. High-performance single nanowire tunnel diodes.

    PubMed

    Wallentin, Jesper; Persson, Johan M; Wagner, Jakob B; Samuelson, Lars; Deppert, Knut; Borgström, Magnus T

    2010-03-10

    We demonstrate single nanowire tunnel diodes with room temperature peak current densities of up to 329 A/cm(2). Despite the large surface to volume ratio of the type-II InP-GaAs axial heterostructure nanowires, we measure peak to valley current ratios (PVCR) of up to 8.2 at room temperature and 27.6 at liquid helium temperature. These sub-100-nm-diameter structures are promising components for solar cells as well as electronic applications.

  17. ZnxCd1-xSe alloy nanowires covering the entire compositional range grown by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Shan, C. X.; Liu, Z.; Ng, C. M.; Hark, S. K.

    2005-07-01

    We show that preferentially oriented, single-crystalline ZnxCd1-xSe alloy nanowires can be grown on GaAs (100) surface using Au as a catalyst over the entire compositional range in a metalorganic chemical vapor deposition system. The composition of the alloy nanowires can be simply adjusted through the ratio of the flow rates of group-II precursors. Electron microscopy shows that the nanowires are smooth and uniform in shape; their diameters range from 20 to 80 nm and lengths exceed a few micrometers. Nanowires containing more than 13% Zn are zinc blende structured and grow along the ⟨110⟩ direction. Those containing less Zn are wurtzite structured and grow along the ⟨210⟩ direction. Compared with the bulk alloy, the change from zinc blende to wurtzite structure in nanowires occurs at far smaller x. The preferred orientation and the persistence of the zinc blende structure both reflect the influence of the substrate on the growth of the nanowires. Photoluminescence measurements identify a strong near-band-edge emission for all samples and show that its peak energy tracks the band gap of ZnxCd1-xSe epilayer for x>0.13. The growth of alloy nanowires at many compositions opens up the possibility of realizing quasi-one-dimensional heterojunctions.

  18. AlN/GaN heterostructures grown by metal organic vapor phase epitaxy with in situ Si 3N 4 passivation

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Degroote, S.; Leys, M.; Medjdoub, F.; Derluyn, J.; Sijmus, B.; Germain, M.; Borghs, G.

    2011-01-01

    AlN/GaN heterostructures are very attractive because their theoretical two-dimensional electron gas (2DEG) density may exceed 5×10 13/cm 2[1]. However, there are very few reports on AlN/GaN heterostructures grown by MOVPE. In this work, we show that good quality AlN layers can be grown on GaN at a relatively low growth temperature when TMIn is added to the carrier gas flow as a surfactant. Analysis by RBS revealed that at a growth temperature of 900 °C or higher no Indium is actually incorporated. Various thicknesses of AlN are grown, from 2 to 8 nm. Finally, 2-3 nm in situ Si 3N 4 is deposited in order to protect the AlN surface and thus prevent stress relaxation. AFM revealed that the root-mean-square (RMS) roughness in a 1×1 μm 2 area is 0.25 nm. When the AlN thickness reaches 8 nm, the sheet resistance can be as low as 186±3 Ω/□. Van der Pauw-Hall measurements show that the electron density is about 2.5×10 13/cm 2 with electron mobility exceeding 1140 cm 2/V s when extra 50 nm PECVD SiN is deposited.

  19. Electrostatics of Nanowire Radial p-n Heterojunctions

    NASA Astrophysics Data System (ADS)

    Borblik, Vitalii

    2018-04-01

    The electrostatics of a nanowire radial heterostructure p-n junction is considered theoretically. It is shown that when the radius of the core-shell interface decreases, depletion width of the core increases, but depletion width of the shell, on the contrary, decreases. This is the consequence of cylindrical symmetry of the structure. Thereby, the relative contribution from the constituent materials into performance characteristics of the devices, which use a heterostructure p-n junction, changes substantially. Values of the depletion widths in the heterostructure p-n junction prove to be intermediate between those in radial homostructure p-n junctions made of the constituent materials at the same doping levels. An analogous situation takes place for a barrier capacitance of the radial heterostructure p-n junction.

  20. Ultrathin platinum nanowires grown on single-layered nickel hydroxide with high hydrogen evolution activity.

    PubMed

    Yin, Huajie; Zhao, Shenlong; Zhao, Kun; Muqsit, Abdul; Tang, Hongjie; Chang, Lin; Zhao, Huijun; Gao, Yan; Tang, Zhiyong

    2015-03-02

    Design and synthesis of effective electrocatalysts for hydrogen evolution reaction in alkaline environments is critical to reduce energy losses in alkaline water electrolysis. Here we report a hybrid nanomaterial comprising of one-dimensional ultrathin platinum nanowires grown on two-dimensional single-layered nickel hydroxide. Judicious surface chemistry to generate the fully exfoliated nickel hydroxide single layers is explored to be the key for controllable growth of ultrathin platinum nanowires with diameters of about 1.8 nm. Impressively, this hybrid nanomaterial exhibits superior electrocatalytic activity for hydrogen evolution reaction in alkaline solution, which outperforms currently reported catalysts, and the obviously improved catalytic stability. We believe that this work may lead towards the development of single-layered metal hydroxide-based hybrid materials for applications in catalysis and energy conversion.

  1. Superior material qualities and transport properties of InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ya-Chao, Zhang; Xiao-Wei, Zhou; Sheng-Rui, Xu; Da-Zheng, Chen; Zhi-Zhe, Wang; Xing, Wang; Jin-Feng, Zhang; Jin-Cheng, Zhang; Yue, Hao

    2016-01-01

    Pulsed metal organic chemical vapor deposition is introduced into the growth of InGaN channel heterostructure for improving material qualities and transport properties. High-resolution transmission electron microscopy imaging shows the phase separation free InGaN channel with smooth and abrupt interface. A very high two-dimensional electron gas density of approximately 1.85 × 1013 cm-2 is obtained due to the superior carrier confinement. In addition, the Hall mobility reaches 967 cm2/V·s, owing to the suppression of interface roughness scattering. Furthermore, temperature-dependent Hall measurement results show that InGaN channel heterostructure possesses a steady two-dimensional electron gas density over the tested temperature range, and has superior transport properties at elevated temperatures compared with the traditional GaN channel heterostructure. The gratifying results imply that InGaN channel heterostructure grown by pulsed metal organic chemical vapor deposition is a promising candidate for microwave power devices. Project supported by the National Natural Science Foundation of China (Grant Nos. 61306017, 61334002, 61474086, and 11435010) and the Young Scientists Fund of the National Natural Science Foundation of China (Grant No. 61306017).

  2. n-VO2/p-GaN based nitride-oxide heterostructure with various thickness of VO2 layer grown by MBE

    NASA Astrophysics Data System (ADS)

    Wang, Minhuan; Bian, Jiming; Sun, Hongjun; Liu, Weifeng; Zhang, Yuzhi; Luo, Yingmin

    2016-12-01

    High quality VO2 films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO2 layer thickness on the SMT properties of the as-grown n-VO2/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO2/p-GaN interface were demonstrated before and after SMT of the VO2 over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO2 films was principally composed of V4+ with trace amount of V5+. The design and modulation of the n-VO2/p-GaN based heterostructure devices will benefit significantly from these achievements.

  3. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  4. Ultrafine MnO2 Nanowire Arrays Grown on Carbon Fibers for High-Performance Supercapacitors.

    PubMed

    Hu, Jiyu; Qian, Feng; Song, Guosheng; Li, Wenyao; Wang, Linlin

    2016-12-01

    Large-area ultrafine MnO 2 nanowire arrays (NWA) directly grew on a carbon fiber (CF, used as a substrate) by a simple electrochemical method, forming three-dimensional (3D) hierarchical heterostructures of a CF@MnO 2 NWA composite. As an electrode for supercapacitors, the CF@MnO 2 NWA composite exhibits excellent electrochemical performances including high specific capacitance (321.3 F g -1 at 1000 mA g -1 ) and good rate capability. Further, the overall capacitance retention is ~99.7 % capacitance after 3000 cycles. These outstanding electrochemical performances attribute to a large number of transport channels for the penetration of electrolyte and the transportation of ions and electrons of electrodes. The as-prepared CF@MnO 2 NWA composite may be a promising electrode material for high-performance supercapacitors.

  5. Morphology induced photo-degradation study of low temperature, chemically derived ZnO/SnO{sub 2} heterostructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pal, Shreyasi, E-mail: Shreyasi.tua@gamil.com; Maiti, Soumen; Chattopadhyay, Kalyan Kumar, E-mail: kalyan-chattopadhyay@yahoo.com

    2016-05-06

    Rational construction of heterostructure is a key pathway to pursue highly active photocatalysts that also offers prospects to explore the relationship between structural aspect and photocatalytic efficiency. Here, we adopted a two-step wet chemical protocol for decoration of ZnO nanowires with SnO{sub 2} nanoclusters. ZnO nanowires were prepared by one pot ambient conditioned synthesis from commercial zinc powder. In sequence, synthesized ZnO nanowires were engineered with varying quantity SnO{sub 2} nanoclusters via low temperature hydrothermal method. Environmental remediation through catalytic activity of the samples was inspected taking two dyes having different ionic character (Methyl Orange and Rhodamine B) under UVmore » irradiation where the optimized hybrid displayed better performance than mono component oxides. Enhancement in catalytic performance could be enlightened by the heterostructure formation at the ZnO/SnO{sub 2} interface which in turns prolonged photogenerated carrier separation and extend the photo response range. Furthermore, the photocatalysis performance by heterostructure could be recycled for several times without noticeable decrease in their catalytic activity.« less

  6. Structural, optical and electrical properties of well-ordered ZnO nanowires grown on (1 1 1) oriented Si, GaAs and InP substrates by electrochemical deposition method

    NASA Astrophysics Data System (ADS)

    Pham, Huyen T.; Nguyen, Tam D.; Tran, Dat Q.; Akabori, Masashi

    2017-05-01

    ZnO semiconductors, especially in form of nanomaterials, possess many excellent properties and have been employed in many applications. In this article, we reported the selective area growth of ZnO nanowires on different (1 1 1) oriented Si, GaAs, and first time on InP substrates by electrochemical deposition method without any seed layers, using zinc nitrate hexahydrate precursor in the presence of hexamethylenetetramine. The position, density and orientation of such ZnO nanowires were controlled by the substrate patterning technique using electron-beam lithography. As-synthesized ZnO nanowires grown on patterned substrates show smaller diameter, higher density and better orientation, compared to the one grown on unpatterned substrates. In particular, the ZnO nanowires grown on GaAs patterned substrate indicate the best morphological property, with the average diameter, length and density of about 100 nm, 2.4 µm and 35 µm-2, respectively. The x-ray diffraction and Raman scattering also demonstrate high crystalline quality of our ZnO nanowires. Moreover, as-reported ZnO nanowires are also conductive, which would allow their use in field-effect transistor and other potential nanoscale device applications.

  7. Characterization of dilute species within CVD-grown silicon nanowires doped using trimethylboron: protected lift-out specimen preparation for atom probe tomography.

    PubMed

    Prosa, T J; Alvis, R; Tsakalakos, L; Smentkowski, V S

    2010-08-01

    Three-dimensional quantitative compositional analysis of nanowires is a challenge for standard techniques such as secondary ion mass spectrometry because of specimen size and geometry considerations; however, it is precisely the size and geometry of nanowires that makes them attractive candidates for analysis via atom probe tomography. The resulting boron composition of various trimethylboron vapour-liquid-solid grown silicon nanowires were measured both with time-of-flight secondary ion mass spectrometry and pulsed-laser atom probe tomography. Both characterization techniques yielded similar results for relative composition. Specialized specimen preparation for pulsed-laser atom probe tomography was utilized and is described in detail whereby individual silicon nanowires are first protected, then lifted out, trimmed, and finally wet etched to remove the protective layer for subsequent three-dimensional analysis.

  8. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  9. Adsorbed Molecules and Surface Treatment Effect on Optical Properties of ZnO Nanowires Grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Jabri, S.; Souissi, H.; Sallet, V.; Lusson, A.; Meftah, A.; Galtier, P.; Oueslati, M.

    2017-07-01

    We have investigated the optical properties of ZnO nanowires grown by metalorganic chemical vapor deposition (MOCVD) with nitrous oxide (N2O) as oxygen precursor. Photoluminescence (PL) and Raman measurements showed the influence of adsorbed molecules on the optical properties. Low-temperature (4 K) PL studies on the surface exciton (SX) at 3.3660 eV elucidated the nature and origin of this emission. In particular, surface treatment by annealing at high temperature under inert gas reduced the emission intensity of SX. Raman vibrational spectra proved that presence of a considerable amount of adsorbed molecules on the surface of ZnO nanowires plays a key role in the occurrence of surface excitons.

  10. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  11. Viral assembly of oriented quantum dot nanowires

    NASA Astrophysics Data System (ADS)

    Mao, Chuanbin; Flynn, Christine E.; Hayhurst, Andrew; Sweeney, Rozamond; Qi, Jifa; Georgiou, George; Iverson, Brent; Belcher, Angela M.

    2003-06-01

    The highly organized structure of M13 bacteriophage was used as an evolved biological template for the nucleation and orientation of semiconductor nanowires. To create this organized template, peptides were selected by using a pIII phage display library for their ability to nucleate ZnS or CdS nanocrystals. The successful peptides were expressed as pVIII fusion proteins into the crystalline capsid of the virus. The engineered viruses were exposed to semiconductor precursor solutions, and the resultant nanocrystals that were templated along the viruses to form nanowires were extensively characterized by using high-resolution analytical electron microscopy and photoluminescence. ZnS nanocrystals were well crystallized on the viral capsid in a hexagonal wurtzite or a cubic zinc blende structure, depending on the peptide expressed on the viral capsid. Electron diffraction patterns showed single-crystal type behavior from a polynanocrystalline area of the nanowire formed, suggesting that the nanocrystals on the virus were preferentially oriented with their [001] perpendicular to the viral surface. Peptides that specifically directed CdS nanocrystal growth were also engineered into the viral capsid to create wurtzite CdS virus-based nanowires. Lastly, heterostructured nucleation was achieved with a dual-peptide virus engineered to express two distinct peptides within the same viral capsid. This work represents a genetically controlled biological synthesis route to a semiconductor nanoscale heterostructure.

  12. Viral assembly of oriented quantum dot nanowires.

    PubMed

    Mao, Chuanbin; Flynn, Christine E; Hayhurst, Andrew; Sweeney, Rozamond; Qi, Jifa; Georgiou, George; Iverson, Brent; Belcher, Angela M

    2003-06-10

    The highly organized structure of M13 bacteriophage was used as an evolved biological template for the nucleation and orientation of semiconductor nanowires. To create this organized template, peptides were selected by using a pIII phage display library for their ability to nucleate ZnS or CdS nanocrystals. The successful peptides were expressed as pVIII fusion proteins into the crystalline capsid of the virus. The engineered viruses were exposed to semiconductor precursor solutions, and the resultant nanocrystals that were templated along the viruses to form nanowires were extensively characterized by using high-resolution analytical electron microscopy and photoluminescence. ZnS nanocrystals were well crystallized on the viral capsid in a hexagonal wurtzite or a cubic zinc blende structure, depending on the peptide expressed on the viral capsid. Electron diffraction patterns showed single-crystal type behavior from a polynanocrystalline area of the nanowire formed, suggesting that the nanocrystals on the virus were preferentially oriented with their [001] perpendicular to the viral surface. Peptides that specifically directed CdS nanocrystal growth were also engineered into the viral capsid to create wurtzite CdS virus-based nanowires. Lastly, heterostructured nucleation was achieved with a dual-peptide virus engineered to express two distinct peptides within the same viral capsid. This work represents a genetically controlled biological synthesis route to a semiconductor nanoscale heterostructure.

  13. Vertical heterostructures of MoS2 and graphene nanoribbons grown by two-step chemical vapor deposition for high-gain photodetectors.

    PubMed

    Yunus, Rozan Mohamad; Endo, Hiroko; Tsuji, Masaharu; Ago, Hiroki

    2015-10-14

    Heterostructures of two-dimensional (2D) layered materials have attracted growing interest due to their unique properties and possible applications in electronics, photonics, and energy. Reduction of the dimensionality from 2D to one-dimensional (1D), such as graphene nanoribbons (GNRs), is also interesting due to the electron confinement effect and unique edge effects. Here, we demonstrate a bottom-up approach to grow vertical heterostructures of MoS2 and GNRs by a two-step chemical vapor deposition (CVD) method. Single-layer GNRs were first grown by ambient pressure CVD on an epitaxial Cu(100) film, followed by the second CVD process to grow MoS2 over the GNRs. The MoS2 layer was found to grow preferentially on the GNR surface, while the coverage could be further tuned by adjusting the growth conditions. The MoS2/GNR nanostructures show clear photosensitivity to visible light with an optical response much higher than that of a 2D MoS2/graphene heterostructure. The ability to grow a novel 1D heterostructure of layered materials by a bottom-up CVD approach will open up a new avenue to expand the dimensionality of the material synthesis and applications.

  14. Suppression of gate leakage current in in-situ grown AlN/InAlN/AlN/GaN heterostructures based on the control of internal polarization fields

    NASA Astrophysics Data System (ADS)

    Kotani, Junji; Yamada, Atsushi; Ishiguro, Tetsuro; Yamaguchi, Hideshi; Nakamura, Norikazu

    2017-03-01

    This paper investigates the gate leakage characteristics of in-situ AlN capped InAlN/AlN/GaN heterostructures grown by metal-organic vapor phase epitaxy. It was revealed that the leakage characteristics of AlN capped InAlN/AlN/GaN heterostructures are strongly dependent on the growth temperature of the AlN cap. For an AlN capped structure with an AlN growth temperature of 740 °C, the leakage current even increased although there exists a large bandgap material on InAlN/AlN/GaN heterostructures. On the other hand, a large reduction of the gate leakage current by 4-5 orders of magnitudes was achieved with a very low AlN growth temperature of 430 °C. X-ray diffraction analysis of the AlN cap grown at 740 °C indicated that the AlN layer is tensile-strained. In contrast to this result, the amorphous structure was confirmed for the AlN cap grown at 430 °C by transmission electron microscopy. Furthermore, theoretical analysis based on one-dimensional band simulation was carried out, and the large increase in two-dimensional electron gas (2DEG) observed in Hall measurements was well reproduced by taking into account the spontaneous and piezo-electric polarization in the AlN layer grown at 740 °C. For the AlN capped structure grown at 430 °C, it is believed that the reduced polarization field in the AlN cap suppressed the penetration of 2DEG into the InAlN barrier layer, resulting in a small impact on 2DEG mobility and density. We believe that an in-situ grown AlN cap with a very low growth temperature of 430 °C is a promising candidate for high-frequency/high-power GaN-based devices with low gate leakage current.

  15. Structure and Thermoelectric Properties of Bi2−xSbxTe3 Nanowires Grown in Flexible Nanoporous Polycarbonate Templates

    PubMed Central

    Datta, Anuja; Sangle, Abhijeet; Hardingham, Nick; Cooper, Charles; Kraan, Max; Ritchie, David; Narayan, Vijay; Kar-Narayan, Sohini

    2017-01-01

    We report the room-temperature growth of vertically aligned ternary Bi2−xSbxTe3 nanowires of diameter ~200 nm and length ~12 µm, within flexible track-etched nanoporous polycarbonate (PC) templates via a one-step electrodeposition process. Bi2−xSbxTe3 nanowires with compositions spanning the entire range from pure Bi2Te3 (x = 0) to pure Sb2Te3 (x = 2) were systematically grown within the nanoporous channels of PC templates from a tartaric–nitric acid based electrolyte, at the end of which highly crystalline nanowires of uniform composition were obtained. Compositional analysis showed that the Sb concentration could be tuned by simply varying the electrolyte composition without any need for further annealing of the samples. Thermoelectric properties of the Bi2−xSbxTe3 nanowires were measured using a standardized bespoke setup while they were still embedded within the flexible PC templates. PMID:28772915

  16. Near-Infrared Intersubband Photodetection in GaN/AlN Nanowires.

    PubMed

    Lähnemann, Jonas; Ajay, Akhil; Den Hertog, Martien I; Monroy, Eva

    2017-11-08

    Intersubband optoelectronic devices rely on transitions between quantum-confined electron levels in semiconductor heterostructures, which enables infrared (IR) photodetection in the 1-30 μm wavelength window with picosecond response times. Incorporating nanowires as active media could enable an independent control over the electrical cross-section of the device and the optical absorption cross-section. Furthermore, the three-dimensional carrier confinement in nanowire heterostructures opens new possibilities to tune the carrier relaxation time. However, the generation of structural defects and the surface sensitivity of GaAs nanowires have so far hindered the fabrication of nanowire intersubband devices. Here, we report the first demonstration of intersubband photodetection in a nanowire, using GaN nanowires containing a GaN/AlN superlattice absorbing at 1.55 μm. The combination of spectral photocurrent measurements with 8-band k·p calculations of the electronic structure supports the interpretation of the result as intersubband photodetection in these extremely short-period superlattices. We observe a linear dependence of the photocurrent with the incident illumination power, which confirms the insensitivity of the intersubband process to surface states and highlights how architectures featuring large surface-to-volume ratios are suitable as intersubband photodetectors. Our analysis of the photocurrent characteristics points out routes for an improvement of the device performance. This first nanowire based intersubband photodetector represents a technological breakthrough that paves the way to a powerful device platform with potential for ultrafast, ultrasensitive photodetectors and highly efficient quantum cascade emitters with improved thermal stability.

  17. Shear-driven phase transformation in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Vincent, L.; Djomani, D.; Fakfakh, M.; Renard, C.; Belier, B.; Bouchier, D.; Patriarche, G.

    2018-03-01

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  18. Shear-driven phase transformation in silicon nanowires.

    PubMed

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  19. A review on III-V core-multishell nanowires: growth, properties, and applications

    NASA Astrophysics Data System (ADS)

    Royo, Miquel; De Luca, Marta; Rurali, Riccardo; Zardo, Ilaria

    2017-04-01

    This review focuses on the emerging field of core-multishell (CMS) semiconductor nanowires (NWs). In these kinds of wires, a NW grown vertically on a substrate acts as a template for the coaxial growth of two or more layers wrapped around it. Thanks to the peculiar geometry, the strain is partially released along the radial direction, thus allowing the creation of fascinating heterostructures, even based on lattice mismatched materials that would hardly grow in a planar geometry. Enabling the unique bridging of the 1D nature of NWs with the exciting properties of 2D heterostructures, these novel systems are becoming attractive for material science, as well as fundamental and applied physics. We will focus on NWs made of III-V and III-V-based alloys as they represent a model system in which present growth techniques have reached a high degree of control on the material structural properties, and many physical properties have been assessed, from both the theoretical and experimental points of view. In particular, we provide an overview on the growth methods and structural properties of CMS NWs, on the modulation doping mechanisms enabled by these heterostructures, on the effects of a magnetic field, and on the phononic and optical properties typical of CMS NWs. Moreover, we review the main technological applications based on these systems, such as optoelectronic and photovoltaic devices.

  20. Optimization of the Nonradiative Lifetime of Molecular-Beam-Epitaxy (MBE)-Grown Undoped GaAs/AlGaAs Double Heterostructures (DH)

    DTIC Science & Technology

    2013-09-01

    Optimization of the Nonradiative Lifetime of Molecular- Beam-Epitaxy (MBE)-Grown Undoped GaAs/AlGaAs Double Heterostructures (DH) by P...it to the originator. Army Research Laboratory Adelphi, MD 20783-1197 ARL-TR-6660 September 2013 Optimization of the Nonradiative ...REPORT TYPE Final 3. DATES COVERED (From - To) FY2013 4. TITLE AND SUBTITLE Optimization of the Nonradiative Lifetime of Molecular-Beam-Epitaxy

  1. Influence of strain relaxation in axial {{In}}_{x}{{Ga}}_{1-x}{\\rm{N}}/{GaN} nanowire heterostructures on their electronic properties

    NASA Astrophysics Data System (ADS)

    Marquardt, Oliver; Krause, Thilo; Kaganer, Vladimir; Martín-Sánchez, Javier; Hanke, Michael; Brandt, Oliver

    2017-05-01

    We present a systematic theoretical study of the influence of elastic strain relaxation on the built-in electrostatic potentials and the electronic properties of axial {{In}}x{{Ga}}1-x{{N}}/{GaN} nanowire (NW) heterostructures. Our simulations reveal that for a sufficiently large ratio between the thickness of the {{In}}x{{Ga}}1-x{{N}} disk and the diameter of the NW, the elastic relaxation leads to a significant reduction of the built-in electrostatic potential in comparison to a planar system of similar layer thickness and In content. In this case, the ground state transition energies approach constant values with increasing thickness of the disk and only depend on the In content, a behavior usually associated to that of a quantum well free of built-in electrostatic potentials. We show that the structures under consideration are by no means field-free, and the built-in potentials continue to play an important role even for ultrathin NWs. In particular, strain and the resulting polarization potentials induce complex confinement features of electrons and holes, which depend on the In content, shape, and dimensions of the heterostructure.

  2. Bridging the Gap between the Nanometer-Scale Bottom-Up and Micrometer-Scale Top-Down Approaches for Site-Defined InP/InAs Nanowires.

    PubMed

    Zhang, Guoqiang; Rainville, Christophe; Salmon, Adrian; Takiguchi, Masato; Tateno, Kouta; Gotoh, Hideki

    2015-11-24

    This work presents a method that bridges the gap between the nanometer-scale bottom-up and micrometer-scale top-down approaches for site-defined nanostructures, which has long been a significant challenge for applications that require low-cost and high-throughput manufacturing processes. We realized the bridging by controlling the seed indium nanoparticle position through a self-assembly process. Site-defined InP nanowires were then grown from the indium-nanoparticle array in the vapor-liquid-solid mode through a "seed and grow" process. The nanometer-scale indium particles do not always occupy the same locations within the micrometer-scale open window of an InP exposed substrate due to the scale difference. We developed a technique for aligning the nanometer-scale indium particles on the same side of the micrometer-scale window by structuring the surface of a misoriented InP (111)B substrate. Finally, we demonstrated that the developed method can be used to grow a uniform InP/InAs axial-heterostructure nanowire array. The ability to form a heterostructure nanowire array with this method makes it possible to tune the emission wavelength over a wide range by employing the quantum confinement effect and thus expand the application of this technology to optoelectronic devices. Successfully pairing a controllable bottom-up growth technique with a top-down substrate preparation technique greatly improves the potential for the mass-production and widespread adoption of this technology.

  3. Giant dielectric permittivity in interrupted silver nanowires grown within mesoporous silica

    NASA Astrophysics Data System (ADS)

    Maity, Anupam; Samanta, Subha; Chatterjee, Soumi; Maiti, Ramaprasad; Biswas, Debasish; Saha, Shyamal K.; Chakravorty, Dipankar

    2018-06-01

    Nanoglasses in the system Ag2O–SiO2 were formed within the pores of mesoporous silica SBA-15 (Santa Barbara Amorphous). Silver nanowires of diameter 5 nm were grown within SBA-15 by the process of electrodeposition. The nanowires were disrupted by applying a suitable voltage pulse. Detailed transmission and scanning electron microscopy studies were carried out. The disrupted silver strands were found to have an average length of 90 nm. The density of interrupted strands was estimated from the electron micrographs and found to have values in the range (10–20)  ×  1010 cm‑2. Dielectric constant and dielectric loss factors of the nanocomposites of disrupted silver strand—containing Ag2O–SiO2 glass and SBA-15 were found to have values in the range 200–300 and 0.014–0.008 respectively at frequencies in the range 10 kHz–2 MHz. These values were found to be in satisfactory agreement with the theoretical model of Rice and Bernasconi emanating from the theory of Gorkhov and Eliashberg. These nanocomposites are expected to be useful in the fabrication of supercapacitors, after developing suitable electrode system for the material.

  4. Optoelectronics: Continuously Spatial-Wavelength-Tunable Nanowire Lasers on a Single Chip

    DTIC Science & Technology

    2014-01-28

    journals (N/A for none) 1. P. L. Nichols, Z. Liu, L. Yin, and C. Z. Ning, CdxPb1- xS Alloy Nanowires and Heterostructures with Simultaneous Emission in Mid...multiple-bandgap solar cells using spatially composition-graded CdxPb1- xS nanowires on a single substrate: a design study, Optics Express (07 2011...Quaternary ZnCdSSe Alloy Nanowires with Tunable Light Emission Between 350 nm and 710 nm on a Single Substrate, (11 2009) C.Z. Ning, A.L. Pan, and

  5. Synthesis of Compositionally Defined Single-Crystalline Eu 3+ -Activated Molybdate–Tungstate Solid-Solution Composite Nanowires and Observation of Charge Transfer in a Novel Class of 1D CaMoO 4 –CaWO 4 :Eu 3+ –0D CdS/CdSe QD Nanoscale Heterostructures

    DOE PAGES

    Han, Jinkyu; McBean, Coray; Wang, Lei; ...

    2015-02-10

    As a first step, we have synthesized and optically characterized a systematic series of one-dimensional (1D) single-crystalline Eu³⁺-activated alkaline-earth metal tungstate/molybdate solid solution composite CaW₁₋ xMo xO₄ (0 ≤ ‘x’ ≤ 1) nanowires of controllable chemical composition using a modified template-directed methodology under ambient room-temperature conditions. Extensive characterization of the resulting nanowires has been performed using X-ray diffraction, electron microscopy, and optical spectroscopy. The crystallite size and single crystallinity of as-prepared 1D CaW₁₋ xMo xO₄: Eu³⁺ (0 ≤ ‘x’ ≤ 1) solid solution composite nanowires increase with increasing Mo component (‘x’). We note a clear dependence of luminescence output uponmore » nanowire chemical composition with our 1D CaW₁₋ xMo xO₄: Eu³⁺ (0 ≤ ‘x’ ≤ 1) evincing the highest photoluminescence (PL) output at ‘x’ = 0.8, amongst samples tested. Subsequently, coupled with either zero-dimensional (0D) CdS or CdSe quantum dots (QDs), we successfully synthesized and observed charge transfer processes in 1D CaW1-xMoxO4: Eu3+ (‘x’ = 0.8) – 0D QD composite nanoscale heterostructures. Our results show that CaW₁₋ xMo xO₄: Eu³⁺ (‘x’ = 0.8) nanowires give rise to PL quenching when CdSe QDs and CdS QDs are anchored onto the surfaces of 1D CaW₁₋ xMo xO₄: Eu³⁺ nanowires. The observed PL quenching is especially pronounced in CaW₁₋ xMo xO₄: Eu³⁺ (‘x’ = 0.8) – 0D CdSe QD heterostructures. Conversely, the PL output and lifetimes of CdSe and CdS QDs within these heterostructures are not noticeably altered as compared with unbound CdSe and CdS QDs. The difference in optical behavior between 1D Eu³⁺ activated tungstate and molybdate solid solution nanowires and the semiconducting 0D QDs within our heterostructures can be correlated with the relative positions of their conduction and valence energy band levels. We

  6. Strain and stability of ultrathin Ge layers in Si/Ge/Si axial heterojunction nanowires

    DOE PAGES

    Ross, Frances M.; Stach, Eric A.; Wen, Cheng -Yen; ...

    2015-02-05

    The abrupt heterointerfaces in the Si/Ge materials system presents useful possibilities for electronic device engineering because the band structure can be affected by strain induced by the lattice mismatch. In planar layers, heterointerfaces with abrupt composition changes are difficult to realize without introducing misfit dislocations. However, in catalytically grown nanowires, abrupt heterointerfaces can be fabricated by appropriate choice of the catalyst. Here we grow nanowires containing Si/Ge and Si/Ge/Si structures respectively with sub-1nm thick Ge "quantum wells" and we measure the interfacial strain fields using geometric phase analysis. Narrow Ge layers show radial strains of several percent, with a correspondingmore » dilation in the axial direction. Si/Ge interfaces show lattice rotation and curvature of the lattice planes. We conclude that high strains can be achieved, compared to what is possible in planar layers. In addition, we study the stability of these heterostructures under heating and electron beam irradiation. The strain and composition gradients are supposed to the cause of the instability for interdiffusion.« less

  7. Wafer bonded epitaxial templates for silicon heterostructures

    DOEpatents

    Atwater, Jr., Harry A.; Zahler, James M [Pasadena, CA; Morral, Anna Fontcubera I [Paris, FR

    2008-03-11

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  8. Wafer bonded epitaxial templates for silicon heterostructures

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A., Jr. (Inventor); Zahler, James M. (Inventor); Morral, Anna Fontcubera I (Inventor)

    2008-01-01

    A heterostructure device layer is epitaxially grown on a virtual substrate, such as an InP/InGaAs/InP double heterostructure. A device substrate and a handle substrate form the virtual substrate. The device substrate is bonded to the handle substrate and is composed of a material suitable for fabrication of optoelectronic devices. The handle substrate is composed of a material suitable for providing mechanical support. The mechanical strength of the device and handle substrates is improved and the device substrate is thinned to leave a single-crystal film on the virtual substrate such as by exfoliation of a device film from the device substrate. An upper portion of the device film exfoliated from the device substrate is removed to provide a smoother and less defect prone surface for an optoelectronic device. A heterostructure is epitaxially grown on the smoothed surface in which an optoelectronic device may be fabricated.

  9. Heterointerface study of InAs/GaSb nanoridge heterostructures grown by metal organic chemical vapor deposition on V-grooved Si (0 0 1) substrates

    NASA Astrophysics Data System (ADS)

    Lai, Billy; Li, Qiang; Lau, Kei May

    2018-02-01

    InAs/GaSb nanoridge heterostructures were grown on V-grooved (0 0 1) Si by metal organic chemical vapor deposition. Combining the aspect ratio trapping process and a low temperature GaAs buffer, we demonstrated high quality GaSb nanoridge templates for InAs/GaSb heterostructure growth. Two different interfaces, a transitional GaAsSb and an InSb-like interface, were investigated when growing these heterostructures. A 500 °C growth temperature in conjunction with a GaAsSb interface was determined to produce the optimal interface, properly compensating for the tensile strain accumulated when growing InAs on GaSb. Without the need for a complicated switching sequence, this GaAsSb-like interface utilized at the optimized temperature is the initial step towards InAs/GaSb type II superlattice and other device structures integrated onto Si.

  10. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  11. High Piezo-photocatalytic Efficiency of CuS/ZnO Nanowires Using Both Solar and Mechanical Energy for Degrading Organic Dye.

    PubMed

    Hong, Deyi; Zang, Weili; Guo, Xiao; Fu, Yongming; He, Haoxuan; Sun, Jing; Xing, Lili; Liu, Baodan; Xue, Xinyu

    2016-08-24

    High piezo-photocatalytic efficiency of degrading organic pollutants has been realized from CuS/ZnO nanowires using both solar and mechanical energy. CuS/ZnO heterostructured nanowire arrays are compactly/vertically aligned on stainless steel mesh by a simple two-step wet-chemical method. The mesh-supported nanocomposites can facilitate an efficient light harvesting due to the large surface area and can also be easily removed from the treated solution. Under both solar and ultrasonic irradiation, CuS/ZnO nanowires can rapidly degrade methylene blue (MB) in aqueous solution, and the recyclability is investigated. In this process, the ultrasonic assistance can greatly enhance the photocatalytic activity. Such a performance can be attributed to the coupling of the built-in electric field of heterostructures and the piezoelectric field of ZnO nanowires. The built-in electric field of the heterostructure can effectively separate the photogenerated electrons/holes and facilitate the carrier transportation. The CuS component can improve the visible light utilization. The piezoelectric field created by ZnO nanowires can further separate the photogenerated electrons/holes through driving them to migrate along opposite directions. The present results demonstrate a new water-pollution solution in green technologies for the environmental remediation at the industrial level.

  12. High resolution x-ray diffraction of high quality 2 micron quaternary indium gallium arsenide antimonide digital alloy heterostructures grown by modulated molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Mourad, Carole Issa

    2000-10-01

    Growth of high quality mixed anion alloys such as InGaAsSb and AlGaAsSb are critical to laser heterostructures designed for 2--4 micron emission. However, run-to-run reproducibility as well as the ability to reproducibly change alloy compositions within a heterostructure tend to be poor. This is because the competition for incorporation between the two anions (As and Sb) is extremely sensitive to a large number of growth parameters such as temperature, incident fluxes, and growth rate, which may drift during the course of deposition, or are difficult to reset during growth. With the intent of improving reproducibility, we have grown and characterized InGaAsSb and AlGaAsSb "digital alloys" deposited using modulated incident As2 and Sb2 incident fluxes. In0.1Ga 0.9AsySb1-y alloy layers were grown by alternately exposing the film surface to As2 and Sb2 fluxes with a periodicity ranging from ˜9 to ˜22 A. Average alloy composition is determined by the duty-cycle of the anion-oven shutters. Structural characterization using high-resolution x-ray diffraction (HRXRD) shows clear satellite peaks indicating that the digital alloys retain the compositional modulation. Optical characterization using photoluminescence indicate that the digital alloys can successfully replace the conventionally grown quaternary alloys with the same average composition. In addition we have characterized digitally grown InGaAsSb layers using HRXRD and measured the sensitivity of the resulting average composition to the growth temperature. We find that the composition of In0.1Ga0.9AsySb1-y alloy layers grown digitally on GaSb substrates is nearly three times less sensitive to the growth temperature as conventional growth. Digital growth of InGaAsSb and AlGaAsSb layers has enabled the growth of heterostructures containing multiple alloy compositions by toggling between shutter duty-cycles during growth, without necessitating changes to the oven temperatures throughout deposition. We have grown and

  13. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  14. A metal-semiconductor-metal detector based on ZnO nanowires grown on a graphene layer.

    PubMed

    Xu, Qiang; Cheng, Qijin; Zhong, Jinxiang; Cai, Weiwei; Zhang, Zifeng; Wu, Zhengyun; Zhang, Fengyan

    2014-02-07

    High quality ZnO nanowires (NWs) were grown on a graphene layer by a hydrothermal method. The ZnO NWs revealed higher uniform surface morphology and better structural properties than ZnO NWs grown on SiO2/Si substrate. A low dark current metal-semiconductor-metal photodetector based on ZnO NWs with Au Schottky contact has also been fabricated. The photodetector displays a low dark current of 1.53 nA at 1 V bias and a large UV-to-visible rejection ratio (up to four orders), which are significantly improved compared to conventional ZnO NW photodetectors. The improvement in UV detection performance is attributed to the existence of a surface plasmon at the interface of the ZnO and the graphene.

  15. Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires

    NASA Astrophysics Data System (ADS)

    Kagimura, R.; Nunes, R. W.; Chacham, H.

    2007-01-01

    We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.

  16. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition.

    PubMed

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D; Renevier, Hubert; Consonni, Vincent

    2017-03-03

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 10 7 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  17. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    NASA Astrophysics Data System (ADS)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  18. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscaleengineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol–gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on themore » macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscaleengineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.« less

  19. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  20. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  1. MOCVD growth and characterization of gallium nitride and gallium antimonide nanowires

    NASA Astrophysics Data System (ADS)

    Burke, Robert Alan

    Group-III nitride and group-III antimonide thin films have been used for years in optoelectronic, high-speed applications, and high power/high temperature applications such as light emitting diodes (LEDs), microwave power devices, and thermovoltaics. In recent years, nanowires have gained interest due to the ability to take advantage of their geometry for increased light absorption and the synthesis of radial heterostructures. Several growth techniques have been explored for the growth of GaN and GaSb nanowires. Metal-organic chemical vapor deposition (MOCVD) is of particular interest due to its use in the commercial growth and fabrication of GaN-based and GaSb-based devices. The first part of this thesis focused on addressing several key issues related to the growth of GaN nanowires by MOCVD. Preliminary studies investigated the effect of growth conditions on GaN nanowire formation in a hot wall MOCVD reactor. A computational fluid dynamics-based model was developed to predict the gas phase velocity, temperature and concentration profiles in the reactor. The results demonstrate a strong dependence of GaN nanowire growth on substrate position within the reactor which is due to the rapid reaction and depletion of precursors near the gas inlet of the reactor. Ni-catalyzed GaN nanowire growth was observed to occur over the temperature range of 800-900°C, which is significantly lower than typical GaN thin film temperatures. The nanowires, however, exhibited a tapered diameter due to thin film deposition which occurred simultaneously with nanowire growth. Based on the low growth temperatures, TEM characterization was carried out to investigate the nature of the catalyst. Through these studies, the catalyst was found to consist of Ni3Ga, indicating the presence of a vapor-solid-solid growth mechanism. In an attempt to improve the nanowire growth selectivity, GeCl4 was added during growth resulting in a drastic increase in nanowire density and a reduction in the tapering

  2. Ultrahigh Density Array of Vertically Aligned Small-molecular Organic Nanowires on Arbitrary Substrates

    PubMed Central

    Starko-Bowes, Ryan; Pramanik, Sandipan

    2013-01-01

    In recent years π-conjugated organic semiconductors have emerged as the active material in a number of diverse applications including large-area, low-cost displays, photovoltaics, printable and flexible electronics and organic spin valves. Organics allow (a) low-cost, low-temperature processing and (b) molecular-level design of electronic, optical and spin transport characteristics. Such features are not readily available for mainstream inorganic semiconductors, which have enabled organics to carve a niche in the silicon-dominated electronics market. The first generation of organic-based devices has focused on thin film geometries, grown by physical vapor deposition or solution processing. However, it has been realized that organic nanostructures can be used to enhance performance of above-mentioned applications and significant effort has been invested in exploring methods for organic nanostructure fabrication. A particularly interesting class of organic nanostructures is the one in which vertically oriented organic nanowires, nanorods or nanotubes are organized in a well-regimented, high-density array. Such structures are highly versatile and are ideal morphological architectures for various applications such as chemical sensors, split-dipole nanoantennas, photovoltaic devices with radially heterostructured "core-shell" nanowires, and memory devices with a cross-point geometry. Such architecture is generally realized by a template-directed approach. In the past this method has been used to grow metal and inorganic semiconductor nanowire arrays. More recently π-conjugated polymer nanowires have been grown within nanoporous templates. However, these approaches have had limited success in growing nanowires of technologically important π-conjugated small molecular weight organics, such as tris-8-hydroxyquinoline aluminum (Alq3), rubrene and methanofullerenes, which are commonly used in diverse areas including organic displays, photovoltaics, thin film transistors

  3. Ultrahigh density array of vertically aligned small-molecular organic nanowires on arbitrary substrates.

    PubMed

    Starko-Bowes, Ryan; Pramanik, Sandipan

    2013-06-18

    In recent years π-conjugated organic semiconductors have emerged as the active material in a number of diverse applications including large-area, low-cost displays, photovoltaics, printable and flexible electronics and organic spin valves. Organics allow (a) low-cost, low-temperature processing and (b) molecular-level design of electronic, optical and spin transport characteristics. Such features are not readily available for mainstream inorganic semiconductors, which have enabled organics to carve a niche in the silicon-dominated electronics market. The first generation of organic-based devices has focused on thin film geometries, grown by physical vapor deposition or solution processing. However, it has been realized that organic nanostructures can be used to enhance performance of above-mentioned applications and significant effort has been invested in exploring methods for organic nanostructure fabrication. A particularly interesting class of organic nanostructures is the one in which vertically oriented organic nanowires, nanorods or nanotubes are organized in a well-regimented, high-density array. Such structures are highly versatile and are ideal morphological architectures for various applications such as chemical sensors, split-dipole nanoantennas, photovoltaic devices with radially heterostructured "core-shell" nanowires, and memory devices with a cross-point geometry. Such architecture is generally realized by a template-directed approach. In the past this method has been used to grow metal and inorganic semiconductor nanowire arrays. More recently π-conjugated polymer nanowires have been grown within nanoporous templates. However, these approaches have had limited success in growing nanowires of technologically important π-conjugated small molecular weight organics, such as tris-8-hydroxyquinoline aluminum (Alq3), rubrene and methanofullerenes, which are commonly used in diverse areas including organic displays, photovoltaics, thin film transistors

  4. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    PubMed

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  5. p-Type modulation doped InGaN/GaN dot-in-a-wire white-light-emitting diodes monolithically grown on Si(111).

    PubMed

    Nguyen, H P T; Zhang, S; Cui, K; Han, X; Fathololoumi, S; Couillard, M; Botton, G A; Mi, Z

    2011-05-11

    Full-color, catalyst-free InGaN/GaN dot-in-a-wire light-emitting diodes (LEDs) were monolithically grown on Si(111) by molecular beam epitaxy, with the emission characteristics controlled by the dot properties in a single epitaxial growth step. With the use of p-type modulation doping in the dot-in-a-wire heterostructures, we have demonstrated the most efficient phosphor-free white LEDs ever reported, which exhibit an internal quantum efficiency of ∼56.8%, nearly unaltered CIE chromaticity coordinates with increasing injection current, and virtually zero efficiency droop at current densities up to ∼640 A/cm(2). The remarkable performance is attributed to the superior three-dimensional carrier confinement provided by the electronically coupled dot-in-a-wire heterostructures, the nearly defect- and strain-free GaN nanowires, and the significantly enhanced hole transport due to the p-type modulation doping.

  6. Two-dimensional spinodal interface in one-step grown graphene-molybdenum carbide heterostructures

    NASA Astrophysics Data System (ADS)

    Qiao, Jia-Bin; Gong, Yue; Liu, Haiwen; Shi, Jin-An; Gu, Lin; He, Lin

    2018-05-01

    Heterostructures made by stacking different materials on top of each other are expected to exhibit unusual properties and new phenomena. Interface of the heterostructures plays a vital role in determining their properties. Here, we report the observation of a two-dimensional (2D) spinodal interface in graphene-molybdenum carbide (α -M o2C ) heterostructures, which arises from spinodal decomposition occurring at the heterointerface, by using scanning tunneling microscopy. Our experiment demonstrates that the 2D spinodal interface modulates graphene into whispering gallery resonant networks filled with quasibound states of massless Dirac fermions. Moreover, below the superconducting transition temperature of the underlying α -M o2C , the 2D spinodal interface behaves as disorders, resulting in the breakdown of the proximity-induced superconductivity in graphene. Our result sheds light on tuning properties of heterostructures based on interface engineering.

  7. Catalyst patterning for nanowire devices

    NASA Technical Reports Server (NTRS)

    Li, Jun (Inventor); Cassell, Alan M. (Inventor); Han, Jie (Inventor)

    2004-01-01

    Nanowire devices may be provided that are based on carbon nanotubes or single-crystal semiconductor nanowires. The nanowire devices may be formed on a substrate. Catalyst sites may be formed on the substrate. The catalyst sites may be formed using lithography, thin metal layers that form individual catalyst sites when heated, collapsible porous catalyst-filled microscopic spheres, microscopic spheres that serve as masks for catalyst deposition, electrochemical deposition techniques, and catalyst inks. Nanowires may be grown from the catalyst sites.

  8. III-V nanowire synthesis by use of electrodeposited gold particles.

    PubMed

    Jafari Jam, Reza; Heurlin, Magnus; Jain, Vishal; Kvennefors, Anders; Graczyk, Mariusz; Maximov, Ivan; Borgström, Magnus T; Pettersson, Håkan; Samuelson, Lars

    2015-01-14

    Semiconductor nanowires are great candidates for building novel electronic devices. Considering the cost of fabricating such devices, substrate reuse and gold consumption are the main concerns. Here we report on implementation of high throughput gold electrodeposition for selective deposition of metal seed particles in arrays defined by lithography for nanowire synthesis. By use of this method, a reduction in gold consumption by a factor of at least 300 was achieved, as compared to conventional thermal evaporation for the same pattern. Because this method also facilitates substrate reuse, a significantly reduced cost of the final device is expected. We investigate the morphology, crystallography, and optical properties of InP and GaAs nanowires grown from electrodeposited gold seed particles and compare them with the properties of nanowires grown from seed particles defined by thermal evaporation of gold. We find that nanowire synthesis, as well as the material properties of the grown nanowires are comparable and quite independent of the gold deposition technique. On the basis of these results, electrodeposition is proposed as a key technology for large-scale fabrication of nanowire-based devices.

  9. Enhanced Visible-Light Photocatalytic H2 Evolution in Cu2O/Cu2Se Multilayer Heterostructure Nanowires Having {111} Facets and Physical Mechanism.

    PubMed

    Liu, Bin; Ning, Lichao; Zhang, Congjie; Zheng, Hairong; Liu, Shengzhong Frank; Yang, Heqing

    2018-06-21

    It is rather challenging to develop photocatalysts based on narrow-band-gap semiconductors for water splitting under solar irradiation. Herein, we synthesized the Cu 2 O/Cu 2 Se multilayer heterostructure nanowires exposing {111} crystal facets by a hydrothermal reaction of Se with Cu and KBH 4 in ethanol amine aqueous solution and subsequent annealing in air. The photocatalytic H 2 production activity of Cu 2 O/Cu 2 Se multilayer heterostructure nanowires is dramatically improved, with an increase on the texture coefficient of Cu 2 O(111) and Cu 2 Se(111) planes, and thus the exposed {111} facets may be the active surfaces for photocatalytic H 2 production. On the basis of the polar structure of Cu 2 O {111} and Cu 2 Se {111} surfaces, we presented a model of charge separation between the Cu-Cu 2 Se(111) and O-Cu 2 O(1̅ 1̅ 1̅) polar surfaces. An internal electric field is created between Cu-Cu 2 Se(111) and O-Cu 2 O(1̅ 1̅ 1̅) polar surfaces, because of spontaneous polarization. As a result, this internal electric field drives the photocreated charge separation. The oxidation and reduction reactions selectively occur at the negative O-Cu 2 O(1̅ 1̅ 1̅) and the positive Cu-Cu 2 Se(111) surfaces. The polar surface-engineering may be a general strategy for enhancing the photocatalytic H 2 -production activity of semiconductor photocatalysts. The charge separation mechanism not only can deepen the understanding of photocatalytic H 2 production mechanism but also provides a novel insight into the design of advanced photocatalysts, other photoelectric devices, and solar cells.

  10. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  11. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  12. Enhanced photoresponse characteristics of transistors using CVD-grown MoS2/WS2 heterostructures

    NASA Astrophysics Data System (ADS)

    Shan, Junjie; Li, Jinhua; Chu, Xueying; Xu, Mingze; Jin, Fangjun; Fang, Xuan; Wei, Zhipeng; Wang, Xiaohua

    2018-06-01

    Semiconductor heterostructures based on transition metal dichalcogenides provide a broad platform to research two-dimensional nanomaterials and design atomically thin devices for fundamental and applied interests. The MoS2/WS2 heterostructure was prepared on SiO2/Si substrate by chemical vapor deposition (CVD) in our research. And the optical properties of the heterostructure was characterized by Raman and photoluminescence (PL) spectroscopy. The similar 2 orders of magnitude decrease of PL intensity in MoS2/WS2 heterostructures was tested, which is attribute to the electrical and optical modulation effects are connected with the interfacial charge transfer between MoS2 and WS2 films. Using MoS2/WS2 heterostructure as channel material of the phototransistor, we demonstrated over 50 folds enhanced photoresponsivity of multilayer MoS2 field-effect transistor. The results indicate that the MoS2/WS2 films can be a promising heterostructure material to enhance the photoresponse characteristics of MoS2-based phototransistors.

  13. Abnormal elastic modulus behavior in a crystalline-amorphous core-shell nanowire system.

    PubMed

    Lee, Jeong Hwan; Choi, Su Ji; Kwon, Ji Hwan; Van Lam, Do; Lee, Seung Mo; Kim, An Soon; Baik, Hion Suck; Ahn, Sang Jung; Hong, Seong Gu; Yun, Yong Ju; Kim, Young Heon

    2018-06-13

    We investigated the elastic modulus behavior of crystalline InAs/amorphous Al2O3 core-shell heterostructured nanowires with shell thicknesses varying between 10 and 90 nm by conducting in situ tensile tests inside a transmission electron microscope (TEM). Counterintuitively, the elastic modulus behaviors of InAs/Al2O3 core-shell nanowires differ greatly from those of bulk-scale composite materials, free from size effects. According to our results, the elastic modulus of InAs/Al2O3 core-shell nanowires increases, peaking at a shell thickness of 40 nm, and then decreases in the range of 50-90 nm. This abnormal behavior is attributed to the continuous decrease in the elastic modulus of the Al2O3 shell as the thickness increases, which is caused by changes in the atomic/electronic structure during the atomic layer deposition process and the relaxation of residual stress/strain in the shell transferred from the interfacial mismatch between the core and shell materials. A novel method for estimating the elastic modulus of the shell in a heterostructured core-shell system was suggested by considering these two effects, and the predictions from the suggested method coincided well with the experimental results. We also found that the former and latter effects account for 89% and 11% of the change in the elastic modulus of the shell. This study provides new insight by showing that the size dependency, which is caused by the inhomogeneity of the atomic/electronic structure and the residual stress/strain, must be considered to evaluate the mechanical properties of heterostructured nanowires.

  14. The nature of catalyst particles and growth mechanisms of GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition.

    PubMed

    Weng, Xiaojun; Burke, Robert A; Redwing, Joan M

    2009-02-25

    The structure and chemistry of the catalyst particles that terminate GaN nanowires grown by Ni-assisted metal-organic chemical vapor deposition were investigated using a combination of electron diffraction, high-resolution transmission electron microscopy, and x-ray energy dispersive spectrometry. The crystal symmetry, lattice parameter, and chemical composition obtained reveal that the catalyst particles are Ni(3)Ga with an ordered L 1(2) structure. The results suggest that the catalyst is a solid particle during growth and therefore favor a vapor-solid-solid mechanism for the growth of GaN nanowires under these conditions.

  15. He-Ion Microscopy as a High-Resolution Probe for Complex Quantum Heterostructures in Core-Shell Nanowires.

    PubMed

    Pöpsel, Christian; Becker, Jonathan; Jeon, Nari; Döblinger, Markus; Stettner, Thomas; Gottschalk, Yeanitza Trujillo; Loitsch, Bernhard; Matich, Sonja; Altzschner, Marcus; Holleitner, Alexander W; Finley, Jonathan J; Lauhon, Lincoln J; Koblmüller, Gregor

    2018-06-13

    Core-shell semiconductor nanowires (NW) with internal quantum heterostructures are amongst the most complex nanostructured materials to be explored for assessing the ultimate capabilities of diverse ultrahigh-resolution imaging techniques. To probe the structure and composition of these materials in their native environment with minimal damage and sample preparation calls for high-resolution electron or ion microscopy methods, which have not yet been tested on such classes of ultrasmall quantum nanostructures. Here, we demonstrate that scanning helium ion microscopy (SHeIM) provides a powerful and straightforward method to map quantum heterostructures embedded in complex III-V semiconductor NWs with unique material contrast at ∼1 nm resolution. By probing the cross sections of GaAs-Al(Ga)As core-shell NWs with coaxial GaAs quantum wells as well as short-period GaAs/AlAs superlattice (SL) structures in the shell, the Al-rich and Ga-rich layers are accurately discriminated by their image contrast in excellent agreement with correlated, yet destructive, scanning transmission electron microscopy and atom probe tomography analysis. Most interestingly, quantitative He-ion dose-dependent SHeIM analysis of the ternary AlGaAs shell layers and of compositionally nonuniform GaAs/AlAs SLs reveals distinct alloy composition fluctuations in the form of Al-rich clusters with size distributions between ∼1-10 nm. In the GaAs/AlAs SLs the alloy clustering vanishes with increasing SL-period (>5 nm-GaAs/4 nm-AlAs), providing insights into critical size dimensions for atomic intermixing effects in short-period SLs within a NW geometry. The straightforward SHeIM technique therefore provides unique benefits in imaging the tiniest nanoscale features in topography, structure and composition of a multitude of diverse complex semiconductor nanostructures.

  16. Co-electrospun lead selenide/titania-core/sheath nanowires for photovoltaic applications.

    DOT National Transportation Integrated Search

    2012-12-01

    This study presents a novel, low-cost, all-inorganic lead selenide-titania (PbSe/TiO2) nanowire : heterostructure material synthesis for photovoltaic applications. PbSe nanorods (NRs) have been coelectrospun : within a TiO2 nanotube with high connect...

  17. Precise tuning in platinum-nickel/nickel sulfide interface nanowires for synergistic hydrogen evolution catalysis

    PubMed Central

    Wang, Pengtang; Zhang, Xu; Zhang, Jin; Wan, Sheng; Guo, Shaojun; Lu, Gang; Yao, Jianlin; Huang, Xiaoqing

    2017-01-01

    Comprising abundant interfaces, multicomponent heterostructures can integrate distinct building blocks into single entities and yield exceptional functionalities enabled by the synergistic components. Here we report an efficient approach to construct one-dimensional metal/sulfide heterostructures by directly sulfuring highly composition-segregated platinum-nickel nanowires. The heterostructures possess a high density of interfaces between platinum-nickel and nickel sulfide components, which cooperate synergistically towards alkaline hydrogen evolution reaction. The platinum-nickel/nickel sulfide heterostructures can deliver a current density of 37.2 mA cm−2 at an overpotential of 70 mV, which is 9.7 times higher than that of commercial Pt/C. The heterostructures also offer enhanced stability revealed by long-term chronopotentiometry measurements. The present work highlights a potentially powerful interface-engineering strategy for designing multicomponent heterostructures with advanced performance in hydrogen evolution reaction and beyond. PMID:28239145

  18. Pure AlN layers in metal-polar AlGaN/AlN/GaN and AlN/GaN heterostructures grown by low-temperature ammonia-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen W.; Mazumder, Baishakhi; Fireman, Micha N.; Kyle, Erin C. H.; Mishra, Umesh K.; Speck, James S.

    2015-05-01

    When grown at a high temperature (820 °C) by ammonia-based molecular beam epitaxy (NH3-MBE), the AlN layers of metal-polar AlGaN/AlN/GaN heterostructures had a high GaN mole fraction (∼0.15), as identified by atom probe tomography in a previous study (Mazumder et al 2013 Appl. Phys. Lett. 102 111603). In the study presented here, growth at low temperature (<740 °C) by NH3-MBE yielded metal-polar AlN layers that were essentially pure at the alloy level. The improved purity of the AlN layers grown at low temperature was correlated to a dramatic increase in the sheet density of the two-dimensional electron gas (2DEG) at the AlN/GaN heterointerface. Through application of an In surfactant, metal-polar AlN(3.5 nm)/GaN and AlGaN/AlN(2.5 nm)/GaN heterostructures grown at low temperature yielded low 2DEG sheet resistances of 177 and 285 Ω/□, respectively.

  19. Positrons as interface-sensitive probes of polar semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Makkonen, I.; Snicker, A.; Puska, M. J.; Mäki, J.-M.; Tuomisto, F.

    2010-07-01

    Group-III nitrides in their wurtzite crystal structure are characterized by large spontaneous polarization and significant piezoelectric contributions in heterostructures formed of these materials. Polarization discontinuities in polar heterostructures grown along the (0001) direction result in huge built-in electric fields on the order of megavolt per centimeter. We choose the III-nitride heterostructures as archetypal representatives of polar heterostructures formed of semiconducting or insulating materials and study the behavior of positrons in these structures using first-principles electronic-structure theory supported by positron annihilation experiments for bulk systems. The strong electric fields drive positrons close to interfaces, which is clearly seen in the predicted momentum distributions of annihilating electron-positron pairs as changes relative to the constituent bulk materials. Implications of the effect to positron defect studies of polar heterostructures are addressed.

  20. Hydrogenated CoOx nanowire@Ni(OH)2 nanosheet core-shell nanostructures for high-performance asymmetric supercapacitors.

    PubMed

    Zhu, Jianxiao; Huang, Lei; Xiao, Yuxiu; Shen, Leo; Chen, Qi; Shi, Wangzhou

    2014-06-21

    We report a facile strategy to prepare 3D core-shell nanowire heterostructures with microporous hydrogenated CoOx (H-CoOx) nanowires as the conducting scaffold to support Ni(OH)2 nanosheets. Benefiting from the H-CoOx nanowire core to provide the effective pathway for charge transport and the core-shell heterostructures with synergistic effects, the H-CoOx@Ni(OH)2 core-shell nanowire electrode achieved the specific capacitance of 2196 F g(-1) (areal capacitance of 5.73 F cm(-2)), which is approximately a 1.4-fold enhancement compared with the Co3O4@Ni(OH)2 core-shell nanowires. An aqueous asymmetric supercapacitor (ASC) device was fabricated by using H-CoOx@Ni(OH)2 nanowires as the positive electrode and reduced graphene oxide @Fe3O4 nanocomposites as the negative electrode. The ASCs achieved high energy density (∼ 45.3 W h kg(-1) at 1010 W kg(-1)), high power density (∼ 7080 W kg(-1) at 23.4 W h kg(-1)) and high cycling stability. Furthermore, after charging for ∼ 1 min, one such 22 cm(2) ASC device demonstrated to be able to drive a small windmill (0.8 V, 0.1 W) for 20 min. Two such ASCs connected in series can power up a seven-color LED (3.2 V) efficiently.

  1. An AlGaN Core-Shell Tunnel Junction Nanowire Light-Emitting Diode Operating in the Ultraviolet-C Band.

    PubMed

    Sadaf, S M; Zhao, S; Wu, Y; Ra, Y-H; Liu, X; Vanka, S; Mi, Z

    2017-02-08

    To date, semiconductor light emitting diodes (LEDs) operating in the deep ultraviolet (UV) spectral range exhibit very low efficiency due to the presence of large densities of defects and extremely inefficient p-type conduction of conventional AlGaN quantum well heterostructures. We have demonstrated that such critical issues can be potentially addressed by using nearly defect-free AlGaN tunnel junction core-shell nanowire heterostructures. The core-shell nanowire arrays exhibit high photoluminescence efficiency (∼80%) in the UV-C band at room temperature. With the incorporation of an epitaxial Al tunnel junction, the p-(Al)GaN contact-free nanowire deep UV LEDs showed nearly one order of magnitude reduction in the device resistance, compared to the conventional nanowire p-i-n device. The unpackaged Al tunnel junction deep UV LEDs exhibit an output power >8 mW and a peak external quantum efficiency ∼0.4%, which are nearly one to two orders of magnitude higher than previously reported AlGaN nanowire devices. Detailed studies further suggest that the maximum achievable efficiency is limited by electron overflow and poor light extraction efficiency due to the TM polarized emission.

  2. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  3. Vertical III-V nanowire device integration on Si(100).

    PubMed

    Borg, Mattias; Schmid, Heinz; Moselund, Kirsten E; Signorello, Giorgio; Gignac, Lynne; Bruley, John; Breslin, Chris; Das Kanungo, Pratyush; Werner, Peter; Riel, Heike

    2014-01-01

    We report complementary metal-oxide-semiconductor (CMOS)-compatible integration of compound semiconductors on Si substrates. InAs and GaAs nanowires are selectively grown in vertical SiO2 nanotube templates fabricated on Si substrates of varying crystallographic orientations, including nanocrystalline Si. The nanowires investigated are epitaxially grown, single-crystalline, free from threading dislocations, and with an orientation and dimension directly given by the shape of the template. GaAs nanowires exhibit stable photoluminescence at room temperature, with a higher measured intensity when still surrounded by the template. Si-InAs heterojunction nanowire tunnel diodes were fabricated on Si(100) and are electrically characterized. The results indicate a high uniformity and scalability in the fabrication process.

  4. Controlled Formation of Radial Core-Shell Si/Metal Silicide Crystalline Heterostructures.

    PubMed

    Kosloff, Alon; Granot, Eran; Barkay, Zahava; Patolsky, Fernando

    2018-01-10

    The highly controlled formation of "radial" silicon/NiSi  core-shell nanowire heterostructures has been demonstrated for the first time. Here, we investigated the "radial" diffusion of nickel atoms into crystalline nanoscale silicon pillar 11 cores, followed by nickel silicide phase formation and the creation of a well-defined shell structure. The described approach is based on a two-step thermal process, which involves metal diffusion at low temperatures in the range of 200-400 °C, followed by a thermal curing step at a higher temperature of 400 °C. In-depth crystallographic analysis was performed by nanosectioning the resulting silicide-shelled silicon nanopillar heterostructures, giving us the ability to study in detail the newly formed silicide shells. Remarkably, it was observed that the resulting silicide shell thickness has a self-limiting behavior, and can be tightly controlled by the modulation of the initial diffusion-step temperature. In addition, electrical measurements of the core-shell structures revealed that the resulting shells can serve as an embedded conductive layer in future optoelectronic applications. This research provides a broad insight into the Ni silicide "radial" diffusion process at the nanoscale regime, and offers a simple approach to form thickness-controlled metal silicide shells in the range of 5-100 nm around semiconductor nanowire core structures, regardless the diameter of the nanowire cores. These high quality Si/NiSi core-shell nanowire structures will be applied in the near future as building blocks for the creation of utrathin highly conductive optically transparent top electrodes, over vertical nanopillars-based solar cell devices, which may subsequently lead to significant performance improvements of these devices in terms of charge collection and reduced recombination.

  5. Catalytic growth of vertically aligned SnS/SnS2 p-n heterojunctions

    NASA Astrophysics Data System (ADS)

    Degrauw, Aaron; Armstrong, Rebekka; Rahman, Ajara A.; Ogle, Jonathan; Whittaker-Brooks, Luisa

    2017-09-01

    Nanowire arrays of SnS/SnS2 p-n heterojunctions are grown on transparent indium tin oxide (ITO) coated-glass and Si/SiO2 substrates via chemical vapor transport (CVT). The nanowire arrays are comprised of individual SnS/SnS2 heterostructures that are highly oriented with their lengths and morphologies controlled by the CVT conditions (i.e. reaction temperature, flow rate, and reaction time). The growth and optoelectronic characterization of these well-defined SnS/SnS2 p-n heterostructures pave the way for the fabrication of highly efficient solar cell devices.

  6. Localized variations in electronic structure of AlGaN/GaN heterostructures grown by molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Smith, K. V.; Yu, E. T.; Elsass, C. R.; Heying, B.; Speck, J. S.

    2001-10-01

    Local electronic properties in a molecular-beam-epitaxy-grown AlxGa1-xN/GaN heterostructure field-effect transistor epitaxial layer structure are probed using depth-resolved scanning capacitance microscopy. Theoretical analysis of contrast observed in scanning capacitance images acquired over a range of bias voltages is used to assess the possible structural origins of local inhomogeneities in electronic structure, which are shown to be concentrated in areas where Ga droplets had formed on the surface during growth. Within these regions, there are significant variations in the local electronic structure that are attributed to variations in both AlxGa1-xN layer thickness and Al composition. Increased charge trapping is also observed in these regions.

  7. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  8. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  9. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  10. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  11. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  12. Laser diode bars based on strain-compensated AlGaPAs/GaAs heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marmalyuk, Aleksandr A; Ladugin, M A; Yarotskaya, I V

    2012-01-31

    Traditional (in the AlGaAs/GaAs system) and phosphorus-compensated (in the AlGaAs/AlGaPAs/GaAs system) laser heterostructures emitting at a wavelength of 850 nm are grown by MOVPE and studied. Laser diode bars are fabricated and their output characteristics are studied. The method used to grow heterolayers allowed us to control (minimise) mechanical stresses in the AlGaPAs/GaAs laser heterostructure, which made it possible to keep its curvature at the level of the initial curvature of the substrate. It is shown that the use of a compensated AlGaPAs/GaAs heterostructure improves the linear distribution of emitting elements in the near field of laser diode arrays andmore » allows the power - current characteristic to retain its slope at high pump currents owing to a uniform contact of all emitting elements with the heat sink. The radius of curvature of the grown compensated heterostructures turns out to be smaller than that of traditional heterostructures.« less

  13. Tailoring the optical characteristics of microsized InP nanoneedles directly grown on silicon.

    PubMed

    Li, Kun; Sun, Hao; Ren, Fan; Ng, Kar Wei; Tran, Thai-Truong D; Chen, Roger; Chang-Hasnain, Connie J

    2014-01-08

    Nanoscale self-assembly offers a pathway to realize heterogeneous integration of III-V materials on silicon. However, for III-V nanowires directly grown on silicon, dislocation-free single-crystal quality could only be attained below certain critical dimensions. We recently reported a new approach that overcomes this size constraint, demonstrating the growth of single-crystal InGaAs/GaAs and InP nanoneedles with the base diameters exceeding 1 μm. Here, we report distinct optical characteristics of InP nanoneedles which are varied from mostly zincblende, zincblende/wurtzite-mixed, to pure wurtzite crystalline phase. We achieved, for the first time, pure single-crystal wurtzite-phase InP nanoneedles grown on silicon with bandgaps of 80 meV larger than that of zincblende-phase InP. Being able to attain excellent material quality while scaling up in size promises outstanding device performance of these nanoneedles. At room temperature, a high internal quantum efficiency of 25% and optically pumped lasing are demonstrated for single nanoneedle as-grown on silicon substrate. Recombination dynamics proves the excellent surface quality of the InP nanoneedles, which paves the way toward achieving multijunction photovoltaic cells, long-wavelength heterostructure lasers, and advanced photonic integrated circuits.

  14. Heterostructure of ferromagnetic and ferroelectric materials with magneto-optic and electro-optic effects

    NASA Technical Reports Server (NTRS)

    Zou, Yingyin Kevin (Inventor); Jiang, Hua (Inventor); Li, Kewen Kevin (Inventor); Guo, Xiaomei (Inventor)

    2012-01-01

    A heterostructure of multiferroics or magnetoelectrics (ME) was disclosed. The film has both ferromagnetic and ferroelectric properties, as well as magneto-optic (MO) and electro-optic (EO) properties. Oxide buffer layers were employed to allow grown a cracking-free heterostructure a solution coating method.

  15. Paper-based piezoelectric touch pads with hydrothermally grown zinc oxide nanowires.

    PubMed

    Li, Xiao; Wang, Yu-Hsuan; Zhao, Chen; Liu, Xinyu

    2014-12-24

    This paper describes a new type of paper-based piezoelectric touch pad integrating zinc oxide nanowires (ZnO NWs), which can serve as user interfaces in paper-based electronics. The sensing functionality of these touch pads is enabled by the piezoelectric property of ZnO NWs grown on paper using a simple, cost-efficient hydrothermal method. A piece of ZnO-NW paper with two screen-printed silver electrodes forms a touch button, and touch-induced electric charges from the button are converted into a voltage output using a charge amplifier circuit. A touch pad consisting of an array of buttons can be readily integrated into paper-based electronic devices, allowing user input of information for various purposes such as programming, identification checking, and gaming. This novel design features ease of fabrication, low cost, ultrathin structure, and good compatibility with techniques in printed electronics, and further enriches the available technologies of paper-based electronics.

  16. InN/InGaN dot-in-a-wire nanostructures emitting at 1.55 µm

    NASA Astrophysics Data System (ADS)

    Chen, Qiming; Yan, Changling; Qu, Yi

    2017-03-01

    The room temperature photoluminescence emission at 1.55 µm from InN/In0.7Ga0.3N dot-in-nanowire heterostructures, which was grown on self-assembled GaN nanowires on Si (1 1 1) under N-rich condition by plasma assisted molecular beam epitaxy, has been clarified in this paper. The morphology of the nanowires was uniform along the c-axis as proved by scanning electron microscope, each of the nanowires was grown individually and homogeneously without any coalescence phenomenon respectively. The nanowires dispersed on a silicon substrate showed very clear InN dot-in-nanowire structure by high resolution transmission electron microscopy. The structural properties of the individual InGaN nanocolumn were further investigated by high-angle annular dark field image analysis and energy dispersive x-ray spectrum, which confirmed the successful growth of InN quantum dot embedded in InGaN nanowire.

  17. Magneto-transport Properties Using Top-Gated Hall Bars of Epitaxial Heterostructures on Single-Crystal SiGe Nanomembranes

    NASA Astrophysics Data System (ADS)

    Jacobson, R. B.; Li, Yize; Foote, Ryan; Cui, Xiaorui; Savage, Donald; Sookchoo, Pornsatit; Eriksson, Mark; Lagally, Max

    2014-03-01

    A high-quality 2-dimensional electron gas (2DEG) is crucial for quantum electronics and spintronics. Grown heterostructures on SiGe nanomembranes (NMs) show promise to create these 2DEG structures because they have reduced strain inhomogeneities and mosaic tilt. We investigate charge transport properties of these SiGe NMs/heterostructures over a range of temperatures and compare them with results from heterostructures grown on compositionally graded SiGe substrates. Measurements are done by creating Hall bars with top gates on the samples. From the magneto-transport data, low-carrier-density mobility values are calculated. Initial results on the grown heterostructures give a typical curve for mobility versus carrier density, but extraction of the zero-carrier-density mobility is dependent on the curve-fitting technique. Sponsored by United States Department of Defense. The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressly or implied, of the U.S. Government.

  18. Self catalytic growth of indium oxide (In2O3) nanowires by resistive thermal evaporation.

    PubMed

    Kumar, R Rakesh; Rao, K Narasimha; Rajanna, K; Phani, A R

    2014-07-01

    Self catalytic growth of Indium Oxide (In2O3) nanowires (NWs) have been grown by resistive thermal evaporation of Indium (In) in the presence of oxygen without use of any additional metal catalyst. Nanowires growth took place at low substrate temperature of 370-420 degrees C at an applied current of 180-200 A to the evaporation boat. Morphology, microstructures, and compositional studies of the grown nanowires were performed by employing field emission scanning electron microscopy (FESEM), X-Ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS) respectively. Nanowires were uniformly grown over the entire Si substrate and each of the nanowire is capped with a catalyst particle at their end. X-ray diffraction study reveals the crystalline nature of the grown nanowires. Transmission electron microscopy study on the nanowires further confirmed the single crystalline nature of the nanowires. Energy dispersive X-ray analysis on the nanowires and capped nanoparticle confirmed that Indium act as catalyst for In2O3 nanowires growth. A self catalytic Vapor-Liquid-Solid (VLS) growth mechanism was responsible for the growth of In2O3 nanowires. Effect of oxygen partial pressure variation and variation of applied currents to the evaporation boat on the nanowires growth was systematically studied. These studies concluded that at oxygen partial pressure in the range of 4 x 10(-4), 6 x 10(-4) mbar at applied currents to the evaporation boat of 180-200 A were the best conditions for good nanowires growth. Finally, we observed another mode of VLS growth along with the standard VLS growth mode for In2O3 nanowires similar to the growth mechanism reported for GaAs nanowires.

  19. Understanding the true shape of Au-catalyzed GaAs nanowires.

    PubMed

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  20. Hydrogenated CoOx nanowire@Ni(OH)2 nanosheet core-shell nanostructures for high-performance asymmetric supercapacitors

    NASA Astrophysics Data System (ADS)

    Zhu, Jianxiao; Huang, Lei; Xiao, Yuxiu; Shen, Leo; Chen, Qi; Shi, Wangzhou

    2014-05-01

    We report a facile strategy to prepare 3D core-shell nanowire heterostructures with microporous hydrogenated CoOx (H-CoOx) nanowires as the conducting scaffold to support Ni(OH)2 nanosheets. Benefiting from the H-CoOx nanowire core to provide the effective pathway for charge transport and the core-shell heterostructures with synergistic effects, the H-CoOx@Ni(OH)2 core-shell nanowire electrode achieved the specific capacitance of 2196 F g-1 (areal capacitance of 5.73 F cm-2), which is approximately a 1.4-fold enhancement compared with the Co3O4@Ni(OH)2 core-shell nanowires. An aqueous asymmetric supercapacitor (ASC) device was fabricated by using H-CoOx@Ni(OH)2 nanowires as the positive electrode and reduced graphene oxide @Fe3O4 nanocomposites as the negative electrode. The ASCs achieved high energy density (~45.3 W h kg-1 at 1010 W kg-1), high power density (~7080 W kg-1 at 23.4 W h kg-1) and high cycling stability. Furthermore, after charging for ~1 min, one such 22 cm2 ASC device demonstrated to be able to drive a small windmill (0.8 V, 0.1 W) for 20 min. Two such ASCs connected in series can power up a seven-color LED (3.2 V) efficiently.We report a facile strategy to prepare 3D core-shell nanowire heterostructures with microporous hydrogenated CoOx (H-CoOx) nanowires as the conducting scaffold to support Ni(OH)2 nanosheets. Benefiting from the H-CoOx nanowire core to provide the effective pathway for charge transport and the core-shell heterostructures with synergistic effects, the H-CoOx@Ni(OH)2 core-shell nanowire electrode achieved the specific capacitance of 2196 F g-1 (areal capacitance of 5.73 F cm-2), which is approximately a 1.4-fold enhancement compared with the Co3O4@Ni(OH)2 core-shell nanowires. An aqueous asymmetric supercapacitor (ASC) device was fabricated by using H-CoOx@Ni(OH)2 nanowires as the positive electrode and reduced graphene oxide @Fe3O4 nanocomposites as the negative electrode. The ASCs achieved high energy density (~45.3 W h kg-1 at

  1. Co3O4-x-Carbon@Fe2-yCoyO3 Heterostructural Hollow Polyhedrons for the Oxygen Evolution Reaction.

    PubMed

    Xu, Wangwang; Xie, Weiwei; Wang, Ying

    2017-08-30

    Hollow heterostructured nanomaterials have received tremendous interest in new-generation electrocatalyst applications. However, the design and fabrication of such materials remain a significant challenge. In this work, we present Co 3 O 4-x -carbon@Fe 2-y Co y O 3 heterostructural hollow polyhedrons that have been fabricated by facile thermal treatment followed by solution-phase growth for application as efficient oxygen evolution reaction (OER) electrocatalysts. Starting from a single ZIF-67 hollow polyhedron, a novel complex structured composite material constructed from Co 3 O 4-x nanocrystallite-embedded carbon matrix embedded with Fe 2-y Co y O 3 nanowires was successfully prepared. The Co 3 O 4-x nanocrystallite with oxygen vacancies provides both heterogeneous nucleation sites and growth platform for Fe 2-y Co y O 3 nanowires. The resultant heterostructure combines the advantages of Fe 2-y Co y O 3 nanowires with the large surface area and surface defects of Co 3 O 4-x nanocrystallite, resulting in improved electrocatalytic activity and electrical conductivity. As a result, such novel heterostructured OER electrocatalysts exhibit much lower onset potential (1.52 V) and higher current density (70 mA/cm 2 at 1.7 V) than Co 3 O 4-x -carbon hollow polyhedrons (onset 1.55 V, 35 mA/cm 2 at 1.7 V) and pure Co 3 O 4 hollow polyhedrons (onset 1.62 V, 5 mA/cm 2 at 1.7 V). Furthermore, the design and synthesis of metal-organic framework (MOF)-derived nanomaterials in this work offer new opportunities for developing novel and efficient electrocatalysts in electrochemical devices.

  2. Additional compound semiconductor nanowires for photonics

    NASA Astrophysics Data System (ADS)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  3. Catalyst-free fabrication of novel ZnO/CuO core-Shell nanowires heterojunction: Controlled growth, structural and optoelectronic properties

    NASA Astrophysics Data System (ADS)

    Khan, Muhammad Arif; Wahab, Yussof; Muhammad, Rosnita; Tahir, Muhammad; Sakrani, Samsudi

    2018-03-01

    Development of controlled growth and vertically aligned ZnO/CuO core-shell heterojunction nanowires (NWs) with large area by a catalyst free vapor deposition and oxidation approach has been investigated. Structural characterization reveals successful fabrication of a core ZnO nanowire having single crystalline hexagonal wurtzite structure along [002] direction and CuO nanostructure shell with thickness (8-10 nm) having polycrystalline monoclinic structure. The optical property analysis suggests that the reflectance spectrum of ZnO/CuO heterostructure nanowires is decreased by 18% in the visible range, which correspondingly shows high absorption in this region as compared to pristine ZnO nanowires. The current-voltage (I-V) characteristics of core-shell heterojunction nanowires measured by conductive atomic force microscopy (C-AFM) shows excellent rectifying behavior, which indicates the characteristics of a good p-n junction. The high-resolution transmission electron microscopy (HRTEM) has confirmed the sharp junction interface between the core-shell heterojunction nanowire arrays. The valence band offset and conduction band offset at ZnO/CuO heterointerfaces are measured to be 2.4 ± 0.05 and 0.23 ± 0.005 eV respectively, using X-ray photoelectron spectroscopy (XPS) and a type-II band alignment structure is found. The results of this study contribute to the development of new advanced device heterostructures for solar energy conversion and optoelectronics applications.

  4. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  5. Two-dimensional electron gases in MgZnO/ZnO and ZnO/MgZnO/ZnO heterostructures grown by dual ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Singh, Rohit; Arif Khan, Md; Sharma, Pankaj; Than Htay, Myo; Kranti, Abhinav; Mukherjee, Shaibal

    2018-04-01

    This work reports on the formation of high-density (~1013-1014 cm-2) two-dimensional electron gas (2DEG) in ZnO-based heterostructures, grown by a dual ion beam sputtering system. We probe 2DEG in bilayer MgZnO/ZnO and capped ZnO/MgZnO/ZnO heterostructures utilizing MgZnO barrier layers with varying thickness and Mg content. The effect of the ZnO cap layer thickness on the ZnO/MgZnO/ZnO heterostructure is also studied. Hall measurements demonstrate that the addition of a 5 nm ZnO cap layer results in an enhancement of the 2DEG density by about 1.5 times compared to 1.11 × 1014 cm-2 for the uncapped bilayer heterostructure with the same 30 nm barrier thickness and 30 at.% Mg composition in the barrier layer. From the low-temperature Hall measurement, the sheet carrier concentration and mobility are both found to be independent of the temperature. The capacitance-voltage measurement suggests a carrier density of ~1020 cm-3, confined in 2DEG at the MgZnO/ZnO heterointerface. The results presented are significant for the optimization of 2DEG for the eventual realization of cost-effective and large-area MgZnO/ZnO-based high-electron-mobility transistors.

  6. Single-crystalline chromium silicide nanowires and their physical properties.

    PubMed

    Hsu, Han-Fu; Tsai, Ping-Chen; Lu, Kuo-Chang

    2015-01-01

    In this work, chromium disilicide nanowires were synthesized by chemical vapor deposition (CVD) processes on Si (100) substrates with hydrous chromium chloride (CrCl3 · 6H2O) as precursors. Processing parameters, including the temperature of Si (100) substrates and precursors, the gas flow rate, the heating time, and the different flow gas of reactions were varied and studied; additionally, the physical properties of the chromium disilicide nanowires were measured. It was found that single-crystal CrSi2 nanowires with a unique morphology were grown at 700°C, while single-crystal Cr5Si3 nanowires were grown at 750°C in reducing gas atmosphere. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with magnetism, photoluminescence, and field emission measurements demonstrates that CrSi2 nanowires are attractive choices for future applications in magnetic storage, photovoltaic, and field emitters.

  7. Study of a MHEMT heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2015-08-15

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In{sub 0.4}Ga{sub 0.6}As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for themore » (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure.« less

  8. Conformal spinel/layered heterostructures of Co3O4 shells grown on single-crystal Li-rich nanoplates for high-performance lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Xin, Yue; Lan, Xiwei; Chang, Peng; Huang, Yaqun; Wang, Libin; Hu, Xianluo

    2018-07-01

    Lithium-rich layered materials have received much attention because of their high specific capacity and high energy density. Unfortunately, they suffer from irreversible capacity loss, low initial Coulombic efficiency and poor cyclability. Here we report a facile co-precipitation method to synthesize uniform single-crystal Li-rich Li[Li0.2Mn0.54Ni0.13Co0.13]O2 nanoplates without using any template. Subsequently, a Co3O4 shell is in situ grown on the Li-rich nanoplates through a hydrothermal method, leading to spinel/layered heterostructures. The electrode made of conformal heterostructured Li-rich/Co3O4 nanoplates delivers a high discharge capacity of 296 mA h g-1 at 0.1 C with an initial Coulombic efficiency of 84%. The capacity retention reaches 83.2% with a discharge capacity of 223 mA h g-1 after 160 cycles at 0.2 C during the potential window ranging from 2.0 to 4.8 V. The enhanced electrochemical performance of the resulting Li-rich/Co3O4 nanoplates benefits from the unique conformal heterostructure as well as the electrochemically active LixCoOy generated between the reaction of Co3O4 shells and the extracted Li2O during charging/discharging processes.

  9. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  10. Topological insulator nanowires and nanowire hetero-junctions

    NASA Astrophysics Data System (ADS)

    Deng, Haiming; Zhao, Lukas; Wade, Travis; Konczykowski, Marcin; Krusin-Elbaum, Lia

    2014-03-01

    The existing topological insulator materials (TIs) continue to present a number of challenges to complete understanding of the physics of topological spin-helical Dirac surface conduction channels, owing to a relatively large charge conduction in the bulk. One way to reduce the bulk contribution and to increase surface-to-volume ratio is by nanostructuring. Here we report on the synthesis and characterization of Sb2Te3, Bi2Te3 nanowires and nanotubes and Sb2Te3/Bi2Te3 heterojunctions electrochemically grown in porous anodic aluminum oxide (AAO) membranes with varied (from 50 to 150 nm) pore diameters. Stoichiometric rigid polycrystalline nanowires with controllable cross-sections were obtained using cell voltages in the 30 - 150 mV range. Transport measurements in up to 14 T magnetic fields applied along the nanowires show Aharonov-Bohm (A-B) quantum oscillations with periods corresponding to the nanowire diameters. All nanowires were found to exhibit sharp weak anti-localization (WAL) cusps, a characteristic signature of TIs. In addition to A-B oscillations, new quantization plateaus in magnetoresistance (MR) at low fields (< 0 . 7T) were observed. The analysis of MR as well as I - V characteristics of heterojunctions will be presented. Supported in part by NSF-DMR-1122594, NSF-DMR-1312483-MWN, and DOD-W911NF-13-1-0159.

  11. Coherent assembly of heterostructures in ternary and quaternary carbonitrides

    NASA Astrophysics Data System (ADS)

    Caicedo, J. C.; Aperador, W.; Saldarriaga, W.

    2018-05-01

    In this study, ternary and quaternary carbonitride heterostructure systems were grown on silicon (100) substrates in order to investigate coherent assembly in TiCN/TiNbCN. The heterostructure films were grown using the reactive r. f. magnetron sputtering technique by systematically varying the bilayer period (Λ) and the bilayer number (n), while maintaining a constant total coating thickness (∼3 μm). The heterostructures were characterized by high angle X-ray diffraction (HA-XRD) and low angle X-ray diffraction, while the TiCN and TiNbCN layers were analyzed by X-ray photoelectron spectroscopy and transmission electron microscopy. The HA-XRD results indicated preferential growth in the face-centered cubic (111) crystal structure for the [TiCN/TiNbCN]n heterostructures. The maximum coherent assembly was observed with the presence of satellite peaks. Thus, ternary and quaternary carbonitride films were designed and deposited on Si (100) substrates with bilayer periods (Λ) in a broad range from nanometers to hundreds of nanometers in order to study the structural evolution and coherent assembly progress as the bilayer thickness decreased. We determined physical properties comprising the critical angle (θc) (0.362°), electronic density (ρe) (0.521 × 1033 el/m3), dispersion coefficient (δ) (0.554 el/m3), and refractive index (n) (0.999944) as functions of the number of bilayers (n).

  12. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  13. GaAs quantum dots in a GaP nanowire photodetector

    NASA Astrophysics Data System (ADS)

    Kuyanov, P.; McNamee, S. A.; LaPierre, R. R.

    2018-03-01

    We report the structural, optical and electrical properties of GaAs quantum dots (QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid process. The crystal structure of the NWs alternated between twinned ZB and WZ as the composition along the NW alternated between the GaP barriers and the GaAs QDs, respectively, leading to a polytypic structure with a periodic modulation of the NW sidewall facets. Photodetector devices containing QDs showed absorption beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage-dependent measurements suggested a field emission process of carriers from the QDs.

  14. Coherent Charge Transport in Ballistic InSb Nanowire Josephson Junctions

    PubMed Central

    Li, S.; Kang, N.; Fan, D. X.; Wang, L. B.; Huang, Y. Q.; Caroff, P.; Xu, H. Q.

    2016-01-01

    Hybrid InSb nanowire-superconductor devices are promising for investigating Majorana modes and topological quantum computation in solid-state devices. An experimental realisation of ballistic, phase-coherent superconductor-nanowire hybrid devices is a necessary step towards engineering topological superconducting electronics. Here, we report on a low-temperature transport study of Josephson junction devices fabricated from InSb nanowires grown by molecular-beam epitaxy and provide a clear evidence for phase-coherent, ballistic charge transport through the nanowires in the junctions. We demonstrate that our devices show gate-tunable proximity-induced supercurrent and clear signatures of multiple Andreev reflections in the differential conductance, indicating phase-coherent transport within the junctions. We also observe periodic modulations of the critical current that can be associated with the Fabry-Pérot interference in the nanowires in the ballistic transport regime. Our work shows that the InSb nanowires grown by molecular-beam epitaxy are of excellent material quality and hybrid superconducting devices made from these nanowires are highly desirable for investigation of the novel physics in topological states of matter and for applications in topological quantum electronics. PMID:27102689

  15. Nanowires precisely grown on the ends of microwire electrodes permit the recording of intracellular action potentials within deeper neural structures

    PubMed Central

    Ferguson, John E; Boldt, Christopher; Puhl, Joshua G; Stigen, Tyler W; Jackson, Jadin C; Crisp, Kevin M; Mesce, Karen A; Netoff, Theoden I; Redish, A David

    2012-01-01

    Aims Nanoelectrodes are an emerging biomedical technology that can be used to record intracellular membrane potentials from neurons while causing minimal damage during membrane penetration. Current nanoelectrode designs, however, have low aspect ratios or large substrates and thus are not suitable for recording from neurons deep within complex natural structures, such as brain slices. Materials & methods We describe a novel nanoelectrode design that uses nanowires grown on the ends of microwire recording electrodes similar to those frequently used in vivo. Results & discussion We demonstrate that these nanowires can record intracellular action potentials in a rat brain slice preparation and in isolated leech ganglia. Conclusion Nanoelectrodes have the potential to revolutionize intracellular recording methods in complex neural tissues, to enable new multielectrode array technologies and, ultimately, to be used to record intracellular signals in vivo. PMID:22475650

  16. Solution-grown silicon nanowires for lithium-ion battery anodes.

    PubMed

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  17. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  18. Oriented epitaxial TiO2 nanowires for water splitting

    NASA Astrophysics Data System (ADS)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  19. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    NASA Astrophysics Data System (ADS)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-<111>B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along <110>A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and <210> lattice images.

  20. Growth of strained Si/relaxed SiGe heterostructures on Si(110) substrates using solid-source molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Arimoto, Keisuke; Nakazawa, Hiroki; Mitsui, Shohei; Utsuyama, Naoto; Yamanaka, Junji; Hara, Kosuke O.; Usami, Noritaka; Nakagawa, Kiyokazu

    2017-11-01

    A strained Si/relaxed SiGe heterostructure grown on Si(110) substrate is attractive as a platform for high-hole-mobility Si-based electronic devices. To improve the electrical property, a smoother surface is desirable. In this study, we investigated surface morphology and microstructural aspects of strained Si/relaxed SiGe/Si(110) heterostructures grown by solid-source (SS) molecular beam epitaxy (MBE). It was revealed that SSMBE provides a way to grow strained Si/relaxed SiGe heterostructures with smooth surfaces. In addition, it was found that the strain in the SiGe layer of the SSMBE-grown sample is highly anisotropic whereas that of the GSMBE-grown sample is almost biaxially relaxed. Along with the surface morphology, the symmetry in degree of strain relaxation has implications for the electrical property. Results of a calculation shows that anisotropic strain is preferable for device application since it confines holes solely in the strained Si layer where hole mobility is enhanced.

  1. Long exciton lifetimes in stacking-fault-free wurtzite GaAs nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Furthmeier, Stephan, E-mail: stephan.furthmeier@ur.de; Dirnberger, Florian; Hubmann, Joachim

    We present a combined photoluminescence and transmission electron microscopy study of single GaAs nanowires. Each wire was characterized both in microscopy and spectroscopy, allowing a direct correlation of the optical and the structural properties. By tuning the growth parameters, the nanowire crystal structure is optimized from a highly mixed zincblende–wurtzite structure to pure wurtzite. We find the latter one to be stacking-fault-free over nanowire lengths up to 4.1 μm. We observe the emission of purely wurtzite nanowires to occur only with polarization directions perpendicular to the wurtzite c{sup ^}-axis, as expected from the hexagonal unit cell symmetry. The free exciton recombinationmore » energy in the wurtzite structure is 1.518 eV at 5 K with a narrow linewidth of 4 meV. Most notably, these pure wurtzite nanowires display long carrier recombination lifetimes of up to 11.2 ns, exceeding reported lifetimes in bulk GaAs and state-of-the-art 2D GaAs/AlGaAs heterostructures.« less

  2. Solid-phase diffusion mechanism for GaAs nanowire growth.

    PubMed

    Persson, Ann I; Larsson, Magnus W; Stenström, Stig; Ohlsson, B Jonas; Samuelson, Lars; Wallenberg, L Reine

    2004-10-01

    Controllable production of nanometre-sized structures is an important field of research, and synthesis of one-dimensional objects, such as nanowires, is a rapidly expanding area with numerous applications, for example, in electronics, photonics, biology and medicine. Nanoscale electronic devices created inside nanowires, such as p-n junctions, were reported ten years ago. More recently, hetero-structure devices with clear quantum-mechanical behaviour have been reported, for example the double-barrier resonant tunnelling diode and the single-electron transistor. The generally accepted theory of semiconductor nanowire growth is the vapour-liquid-solid (VLS) growth mechanism, based on growth from a liquid metal seed particle. In this letter we suggest the existence of a growth regime quite different from VLS. We show that this new growth regime is based on a solid-phase diffusion mechanism of a single component through a gold seed particle, as shown by in situ heating experiments of GaAs nanowires in a transmission electron microscope, and supported by highly resolved chemical analysis and finite element calculations of the mass transport and composition profiles.

  3. Electrodeposited highly-ordered manganese oxide nanowire arrays for supercapacitors

    NASA Astrophysics Data System (ADS)

    Liu, Haifeng; Lu, Bingqiang; Wei, Shuiqiang; Bao, Mi; Wen, Yanxuan; Wang, Fan

    2012-07-01

    Large arrays of well-aligned Mn oxide nanowires were prepared by electrodeposition using anodic aluminum oxide templates. The sizes of nanowires were tuned by varying the electrotype solution involved and the MnO2 nanowires with 10 μm in length were obtained in a neutral KMnO4 bath for 1 h. MnO2 nanowire arrays grown on conductor substance save the tedious electrode-making process, and electrochemical characterization demonstrates that the MnO2 nanowire arrays electrode has good capacitive behavior. Due to the limited mass transportation in narrow spacing, the spacing effects between the neighbor nanowires have show great influence to the electrochemical performance.

  4. Electron Transport Properties of Ge nanowires

    NASA Astrophysics Data System (ADS)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  5. Formation of Ordered and Disordered Dielectric/metal Nanowire Arrays and their Plasmonic Behavior

    DTIC Science & Technology

    2007-01-01

    sheath geometry. 2. EXPERIMENTAL PROCEDURES Several different nanowire systems have been grown, including random Ga2O3 nanowires, InAs...nanowires, ZnO nanowires, as well as Au lines produced by e-beam lithography. The growth of the Ga2O3 nanowires was achieved by the controlled oxidation...CLOSELY-SPACED PARALLEL ZnO NANOWIRES AND CROSSED Ga2O3 NANOWIRES. As discussed above, due to the far separation of the gold colloid catalyst in the

  6. Strain engineering of van der Waals heterostructures.

    PubMed

    Vermeulen, Paul A; Mulder, Jefta; Momand, Jamo; Kooi, Bart J

    2018-01-18

    Modifying the strain state of solids allows control over a plethora of functional properties. The weak interlayer bonding in van der Waals (vdWaals) materials such as graphene, hBN, MoS 2 , and Bi 2 Te 3 might seem to exclude strain engineering, since strain would immediately relax at the vdWaals interfaces. Here we present direct observations of the contrary by showing growth of vdWaals heterostructures with persistent in-plane strains up to 5% and we show that strain relaxation follows a not yet reported process distinctly different from strain relaxation in three-dimensionally bonded (3D) materials. For this, 2D bonded Bi 2 Te 3 -Sb 2 Te 3 and 2D/3D bonded Bi 2 Te 3 -GeTe multilayered films are grown using Pulsed Laser Deposition (PLD) and their structure is monitored in situ using Reflective High Energy Electron Diffraction (RHEED) and post situ analysis is performed using Transmission Electron Microscopy (TEM). Strain relaxation is modeled and found to solely depend on the layer being grown and its initial strain. This insight demonstrates that strain engineering of 2D bonded heterostructures obeys different rules than hold for epitaxial 3D materials and opens the door to precise tuning of the strain state of the individual layers to optimize functional performance of vdWaals heterostructures.

  7. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  8. A top-down approach to fabrication of high quality vertical heterostructure nanowire arrays.

    PubMed

    Wang, Hua; Sun, Minghua; Ding, Kang; Hill, Martin T; Ning, Cun-Zheng

    2011-04-13

    We demonstrate a novel top-down approach for fabricating nanowires with unprecedented complexity and optical quality by taking advantage of a nanoscale self-masking effect. We realized vertical arrays of nanowires of 20-40 nm in diameter with 16 segments of complex longitudinal InGaAsP/InP structures. The unprecedented high quality of etched wires is evidenced by the narrowest photoluminescence linewidth ever produced in similar wavelengths, indistinguishable from that of the corresponding wafer. This top-down, mask-free, large scale approach is compatible with the established device fabrication processes and could serve as an important alternative to the bottom-up approach, significantly expanding ranges and varieties of applications of nanowire technology.

  9. Growth of single-crystalline cobalt silicide nanowires and their field emission property.

    PubMed

    Lu, Chi-Ming; Hsu, Han-Fu; Lu, Kuo-Chang

    2013-07-03

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters.

  10. Growth of single-crystalline cobalt silicide nanowires and their field emission property

    PubMed Central

    2013-01-01

    In this work, cobalt silicide nanowires were synthesized by chemical vapor deposition processes on Si (100) substrates with anhydrous cobalt chloride (CoCl2) as precursors. Processing parameters, including the temperature of Si (100) substrates, the gas flow rate, and the pressure of reactions were varied and studied; additionally, the physical properties of the cobalt silicide nanowires were measured. It was found that single-crystal CoSi nanowires were grown at 850°C ~ 880°C and at a lower gas flow rate, while single-crystal Co2Si nanowires were grown at 880°C ~ 900°C. The crystal structure and growth direction were identified, and the growth mechanism was proposed as well. This study with field emission measurements demonstrates that CoSi nanowires are attractive choices for future applications in field emitters. PMID:23819795

  11. Study of electrochemical reduced graphene oxide and MnO2 heterostructure for supercapacitor application

    NASA Astrophysics Data System (ADS)

    Jana, S. K.; Rao, V. P.; Banerjee, S.

    2013-02-01

    In this paper we have shown enhanced supercapacitive property of electrochemically reduced graphene oxide (ERGO) and manganese dioxide (MnO2) based heterostructure over single MnO2 thin film grown by electrochemical deposition on indium tin oxide (ITO). ERGO improves the electrical conduction leading to decrease of the internal resistance of the heterostructure.

  12. Growth dynamics of SiGe nanowires by the vapour-liquid-solid method and its impact on SiGe/Si axial heterojunction abruptness.

    PubMed

    Pura, J L; Periwal, P; Baron, T; Jiménez, J

    2018-08-31

    The vapour-liquid-solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process, precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique, axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with complementary metal oxide semiconductor (CMOS) technology, which improves their versatility and the possibility of integration with current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, the VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles that are in good agreement with the experimental measurements. Finally, an in-depth study of the composition map provides a practical approach to the drastic reduction of heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches, which use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to the reduction of heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors.

  13. Hydrothermal Growth of ZnO Nanowires on UV-Nanoimprinted Polymer Structures.

    PubMed

    Park, Sooyeon; Moore, Sean A; Lee, Jaejong; Song, In-Hyouk; Farshchian, Bahador; Kim, Namwon

    2018-05-01

    Integration of zinc oxide (ZnO) nanowires on miniaturized polymer structures can broaden its application in multi-functional polymer devices by taking advantages of unique physical properties of ZnO nanowires and recent development of polymer microstructures in analytical systems. In this paper, we demonstrate the hydrothermal growth of ZnO nanowires on polymer microstructures fabricated by UV nanoimprinting lithography (NIL) using a polyurethane acrylate (PUA). Since PUA is a siloxane-urethane-acrylate compound containing the alpha-hydroxyl ketone, UV-cured PUA include carboxyl groups, which inhibit and suppress the nucleation and growth of ZnO nanowires on polymer structures. The presence of carboxyl groups in UV-cured PUA was substantiated by Fourier transform infrared spectroscopy (FTIR), and a Ag thin film was deposited on the nanoimprinted polymer structures to limit their inhibitive influence on the growth of ZnO nanowires. Furthermore, the naturally oxidized Ag layer (Ag2O) reduced crystalline lattice mismatches at the interface between ZnO-Ag during the seed annealing process. The ZnO nanowires grown on the Ag-deposited PUA microstructures were found to have comparable morphological characteristics with ZnO nanowires grown on a Si wafer.

  14. Three-Dimensional Bi₂Te₃ Networks of Interconnected Nanowires: Synthesis and Optimization.

    PubMed

    Ruiz-Clavijo, Alejandra; Caballero-Calero, Olga; Martín-González, Marisol

    2018-05-18

    Self-standing Bi₂Te₃ networks of interconnected nanowires were fabricated in three-dimensional porous anodic alumina templates (3D⁻AAO) with a porous structure spreading in all three spatial dimensions. Pulsed electrodeposition parameters were optimized to grow highly oriented Bi₂Te₃ interconnected nanowires with stoichiometric composition inside those 3D⁻AAO templates. The nanowire networks were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray analysis (EDX), and Raman spectroscopy. The results are compared to those obtained in films and 1D nanowires grown under similar conditions. The crystalline structure and composition of the 3D Bi⁻Te nanowire network are finely tuned by controlling the applied voltage and the relaxation time off at zero current density during the deposition. With this fabrication method, and controlling the electrodeposition parameters, stoichiometric Bi₂Te₃ networks of interconnected nanowires have been obtained, with a preferential orientation along [1 1 0], which makes them optimal candidates for out-of-plane thermoelectric applications. Moreover, the templates in which they are grown can be dissolved and the network of interconnected nanowires is self-standing without affecting its composition and orientation properties.

  15. SnO 2 nanowires decorated with forsythia-like TiO 2 for photoenergy conversion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Ik Jae; Park, Sangbaek; Kim, Dong Hoe

    Here, we report forsythia-like TiO 2-decorated SnO 2 nanowires on fluorine-doped SnO 2 electrode as a photoelectrode of dye-sensitized solar cells. When SnO 2 nanowires grown via vapor-liquid-solid reaction were soaked in TiCl 4 solution, leaf-shaped rutile TiO 2 was grown onto the surface of the nanowires. The TiO 2 decoration increases the short circuit current (J sc), open circuit voltage (V oc) and fill factor (FF) of dye-sensitized solar cells. Further, electron lifetime increased by employing an atomic-layer-deposited TiO 2 nanoshell between the TiO 2 leaves and the SnO 2 nanowire, due to preventing charge recombination at the nanowire/electrolytemore » interface.« less

  16. SnO 2 nanowires decorated with forsythia-like TiO 2 for photoenergy conversion

    DOE PAGES

    Park, Ik Jae; Park, Sangbaek; Kim, Dong Hoe; ...

    2017-05-17

    Here, we report forsythia-like TiO 2-decorated SnO 2 nanowires on fluorine-doped SnO 2 electrode as a photoelectrode of dye-sensitized solar cells. When SnO 2 nanowires grown via vapor-liquid-solid reaction were soaked in TiCl 4 solution, leaf-shaped rutile TiO 2 was grown onto the surface of the nanowires. The TiO 2 decoration increases the short circuit current (J sc), open circuit voltage (V oc) and fill factor (FF) of dye-sensitized solar cells. Further, electron lifetime increased by employing an atomic-layer-deposited TiO 2 nanoshell between the TiO 2 leaves and the SnO 2 nanowire, due to preventing charge recombination at the nanowire/electrolytemore » interface.« less

  17. Controlled 1.1-1.6 μm luminescence in gold-free multi-stacked InAs/InP heterostructure nanowires.

    PubMed

    Zhang, Guoqiang; Tateno, Kouta; Birowosuto, Muhammad Danang; Notomi, Masaya; Sogawa, Tetsuomi; Gotoh, Hideki

    2015-03-20

    We report controlled 1.1-1.6 μm luminescence in gold-free multi-stacked InAs/InP heterostructure nanowires (NWs). We realized the NWs by using an indium-particle-assisted vapor-liquid-solid synthesis approach. The growth temperature, as low as 320 °C, enables the formation of an atomically abrupt InP/InAs interface by supressing the diffusion and weakening the reservoir effect in the indium droplet. The low growth temperature also enables us to grow multi-stacked InAs/InP NWs in the axial direction without any growth on the NW side face. The high controllability of the growth technology ensures that the luminescence can be tailored by the thickness of InAs segment in InP NWs and cover the 1.3-1.5 μm telecommunication window range. By using the nanoscale-spatial-resolution technology combing cathodoluminescence with scanning electron microscopy, we directly correlated the site of different-thickness InAs segments with its luminescence property in a single NW and demonstrate the InAs-thickness-controlled energy of optical emission in 1.1-1.6 μm.

  18. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    NASA Astrophysics Data System (ADS)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  19. Growing Oxide Nanowires and Nanowire Networks by Solid State Contact Diffusion into Solution-Processed Thin Films.

    PubMed

    Glynn, Colm; McNulty, David; Geaney, Hugh; O'Dwyer, Colm

    2016-11-01

    New techniques to directly grow metal oxide nanowire networks without the need for initial nanoparticle seed deposition or postsynthesis nanowire casting will bridge the gap between bottom-up formation and top-down processing for many electronic, photonic, energy storage, and conversion technologies. Whether etched top-down, or grown from catalyst nanoparticles bottom-up, nanowire growth relies on heterogeneous material seeds. Converting surface oxide films, ubiquitous in the microelectronics industry, to nanowires and nanowire networks by the incorporation of extra species through interdiffusion can provide an alternative deposition method. It is shown that solution-processed thin films of oxides can be converted and recrystallized into nanowires and networks of nanowires by solid-state interdiffusion of ionic species from a mechanically contacted donor substrate. NaVO 3 nanowire networks on smooth Si/SiO 2 and granular fluorine-doped tin oxide surfaces can be formed by low-temperature annealing of a Na diffusion species-containing donor glass to a solution-processed V 2 O 5 thin film, where recrystallization drives nanowire growth according to the crystal habit of the new oxide phase. This technique illustrates a new method for the direct formation of complex metal oxide nanowires on technologically relevant substrates, from smooth semiconductors, to transparent conducting materials and interdigitated device structures. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Effect of carbon tetrabromide on the morphology of GaAs nanowires.

    PubMed

    Salehzadeh, O; Watkins, S P

    2011-04-22

    Carbon is a commonly used p-type dopant in planar III-V semiconductors, however its use in nanowire (NW) growth has been much less reported. In this work we show that the morphology of gold assisted GaAs NWs can be strongly modified by the presence of CBr(4) vapor during growth by metalorganic vapor phase epitaxy. GaAs NWs were grown under conditions which result in strong tapering and lateral growth at low growth temperatures by the use of triethylgallium (TEGa) instead of the more usual precursor, trimethylgallium (TMGa). Under these conditions, NWs grown in the presence of CBr(4) exhibit higher axial and lower radial growth rates, and negligible tapering compared with NWs grown in the absence of CBr(4) under the same conditions. We attribute this primarily to the suppression of the 2d growth rate by CBr(4), which enhances the axial growth rate of the nanowires. NWs grown with CBr(4) show stacking-fault-free zincblende structure, while the NWs grown without CBr(4) show a high density of stacking faults. This work underlines the striking effects which precursor chemistry can have on nanowire morphology.

  1. IR-Driven Ultrafast Transfer of Plasmonic Hot Electrons in Nonmetallic Branched Heterostructures for Enhanced H2 Generation.

    PubMed

    Zhang, Zhenyi; Jiang, Xiaoyi; Liu, Benkang; Guo, Lijiao; Lu, Na; Wang, Li; Huang, Jindou; Liu, Kuichao; Dong, Bin

    2018-03-01

    The ultrafast transfer of plasmon-induced hot electrons is considered an effective kinetics process to enhance the photoconversion efficiencies of semiconductors through strong localized surface plasmon resonance (LSPR) of plasmonic nanostructures. Although this classical sensitization approach is widely used in noble-metal-semiconductor systems, it remains unclear in nonmetallic plasmonic heterostructures. Here, by combining ultrafast transient absorption spectroscopy with theoretical simulations, IR-driven transfer of plasmon-induced hot electron in a nonmetallic branched heterostructure is demonstrated, which is fabricated through solvothermal growth of plasmonic W 18 O 49 nanowires (as branches) onto TiO 2 electrospun nanofibers (as backbones). The ultrafast transfer of hot electron from the W 18 O 49 branches to the TiO 2 backbones occurs within a timeframe on the order of 200 fs with very large rate constants ranging from 3.8 × 10 12 to 5.5 × 10 12 s -1 . Upon LSPR excitation by low-energy IR photons, the W 18 O 49 /TiO 2 branched heterostructure exhibits obviously enhanced catalytic H 2 generation from ammonia borane compared with that of W 18 O 49 nanowires. Further investigations by finely controlling experimental conditions unambiguously confirm that this plasmon-enhanced catalytic activity arises from the transfer of hot electron rather than from the photothermal effect. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Directional charge transfer mediated by mid-gap states: A transient absorption spectroscopy study of CdSe quantum dot/β-Pb 0.33V 2O 5 heterostructures

    DOE PAGES

    Milleville, Christopher C.; Pelcher, Kate E.; Sfeir, Matthew Y.; ...

    2016-02-15

    For solar energy conversion, not only must a semiconductor absorb incident solar radiation efficiently but also its photoexcited electron—hole pairs must further be separated and transported across interfaces. Charge transfer across interfaces requires consideration of both thermodynamic driving forces as well as the competing kinetics of multiple possible transfer, cooling, and recombination pathways. In this work, we demonstrate a novel strategy for extracting holes from photoexcited CdSe quantum dots (QDs) based on interfacing with β-Pb 0.33V 2O 5 nanowires that have strategically positioned midgap states derived from the intercalating Pb 2+ ions. Unlike midgap states derived from defects or dopants,more » the states utilized here are derived from the intrinsic crystal structure and are thus homogeneously distributed across the material. CdSe/β-Pb 0.33V 2O 5 heterostructures were assembled using two distinct methods: successive ionic layer adsorption and reaction (SILAR) and linker-assisted assembly (LAA). Transient absorption spectroscopy measurements indicate that, for both types of heterostructures, photoexcitation of CdSe QDs was followed by the transfer of electrons to the conduction band of β-Pb 0.33V 2O 5 nanowires and holes to the midgap states of β-Pb 0.33V 2O 5 nanowires. Holes were transferred on time scales less than 1 ps, whereas electrons were transferred more slowly on time scales of ~2 ps. In contrast, for analogous heterostructures consisting of CdSe QDs interfaced with V 2O 5 nanowires (wherein midgap states are absent), only electron transfer was observed. Interestingly, electron transfer was readily achieved for CdSe QDs interfaced with V 2O 5 nanowires by the SILAR method; however, for interfaces incorporating molecular linkers, electron transfer was observed only upon excitation at energies substantially greater than the bandgap absorption threshold of CdSe. Furthermore, transient absorbance decay traces reveal longer excited

  3. Dopant radial inhomogeneity in Mg-doped GaN nanowires.

    PubMed

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-22

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  4. Capping of rare earth silicide nanowires on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Appelfeller, Stephan; Franz, Martin; Kubicki, Milan

    The capping of Tb and Dy silicide nanowires grown on Si(001) was studied using scanning tunneling microscopy and cross-sectional high-resolution transmission electron microscopy. Several nanometers thick amorphous Si films deposited at room temperature allow an even capping, while the nanowires maintain their original structural properties. Subsequent recrystallization by thermal annealing leads to more compact nanowire structures and to troughs in the Si layer above the nanowires, which may even reach down to the nanowires in the case of thin Si films, as well as to V-shaped stacking faults forming along (111) lattice planes. This behavior is related to strain duemore » to the lattice mismatch between the Si overlayer and the nanowires.« less

  5. Dopant radial inhomogeneity in Mg-doped GaN nanowires

    NASA Astrophysics Data System (ADS)

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-01

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  6. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    NASA Astrophysics Data System (ADS)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  7. Gallium phosphide nanowires as a substrate for cultured neurons.

    PubMed

    Hällström, Waldemar; Mårtensson, Thomas; Prinz, Christelle; Gustavsson, Per; Montelius, Lars; Samuelson, Lars; Kanje, Martin

    2007-10-01

    Dissociated sensory neurons were cultured on epitaxial gallium phosphide (GaP) nanowires grown vertically from a gallium phosphide surface. Substrates covered by 2.5 microm long, 50 nm wide nanowires supported cell adhesion and axonal outgrowth. Cell survival was better on nanowire substrates than on planar control substrates. The cells interacted closely with the nanostructures, and cells penetrated by hundreds of wires were observed as well as wire bending due to forces exerted by the cells.

  8. Angle-dependent photodegradation over ZnO nanowire arrays on flexible paper substrates

    PubMed Central

    2014-01-01

    In this study, we grew zinc oxide (ZnO) nanowire arrays on paper substrates using a two-step growth strategy. In the first step, we formed single-crystalline ZnO nanoparticles of uniform size distribution (ca. 4 nm) as seeds for the hydrothermal growth of the ZnO nanowire arrays. After spin-coating of these seeds onto paper, we grew ZnO nanowire arrays conformally on these substrates. The crystal structure of a ZnO nanowire revealed that the nanowires were single-crystalline and had grown along the c axis. Further visualization through annular bright field scanning transmission electron microscopy revealed that the hydrothermally grown ZnO nanowires possessed Zn polarity. From photocatalytic activity measurements of the ZnO nanowire (NW) arrays on paper substrate, we extracted rate constants of 0.415, 0.244, 0.195, and 0.08 s-1 for the degradation of methylene blue at incident angles of 0°, 30°, 60°, and 75°, respectively; that is, the photocatalytic activity of these ZnO nanowire arrays was related to the cosine of the incident angle of the UV light. Accordingly, these materials have promising applications in the design of sterilization systems and light-harvesting devices. PMID:25593556

  9. Synthesis, properties, and formation mechanism of Mn-doped Zn 2 SiO 4 nanowires and associated heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Haiqing; Moronta, Dominic; Li, Luyao

    In this study, we have put forth a facile hydrothermal approach to synthesize an array of one-dimensional (1D) Mn-doped Zn 2SiO 4 nanostructures. Specifically, we have probed and correlated the effects of controllable reaction parameters such as the pH and Mn dopant concentrations with the resulting crystal structures and morphologies of the products obtained. Based upon our results, we find that careful tuning of the pH versus the Mn dopant level gives rise to opposite trends with respect to the overall size of the resulting one-dimensional nanostructures. Significantly, we have highlighted the role of the Mn dopant ion concentration asmore » a potentially generalizable reaction parameter in solution-based synthesis for controlling morphology and hence, the observed optical behavior. Indeed, such a strategy can be potentially generalized to systems such as but not limited to Mn-doped ZnS, CdS, and CdSe quantum dots (QD), which, to the best of our knowledge, denote promising candidates for a variety of optoelectronic applications. Specifically, we have carefully optimized the synthesis conditions in order to generate a series of chemically well-defined Mn-doped Zn 2SiO 4 not only possessing Mn concentrations ranging from 3% to 8% but also characterized by highly crystalline, monodisperse wire-like motifs measuring ~30 nm in diameter and ~700 nm in length. Optically, the photoluminescence signals associated with the 1D series yielded a volcano-shaped relationship between PL intensities and the Mn dopant level. In additional experiments, we have immobilized CdSe quantum dots (QDs) onto the external surfaces of our as-synthesized Mn-doped Zn 2SiO 4 nanowires, in order to form novel composite heterostructures. The optical properties of the CdSe QD–Mn:Zn 2SiO 4 heterostructures have been subsequently examined. Our results have demonstrated the likely co-existence of both energy transfer and charge transfer phenomena between the two constituent components of our as

  10. Synthesis, properties, and formation mechanism of Mn-doped Zn 2 SiO 4 nanowires and associated heterostructures

    DOE PAGES

    Liu, Haiqing; Moronta, Dominic; Li, Luyao; ...

    2018-03-28

    In this study, we have put forth a facile hydrothermal approach to synthesize an array of one-dimensional (1D) Mn-doped Zn 2SiO 4 nanostructures. Specifically, we have probed and correlated the effects of controllable reaction parameters such as the pH and Mn dopant concentrations with the resulting crystal structures and morphologies of the products obtained. Based upon our results, we find that careful tuning of the pH versus the Mn dopant level gives rise to opposite trends with respect to the overall size of the resulting one-dimensional nanostructures. Significantly, we have highlighted the role of the Mn dopant ion concentration asmore » a potentially generalizable reaction parameter in solution-based synthesis for controlling morphology and hence, the observed optical behavior. Indeed, such a strategy can be potentially generalized to systems such as but not limited to Mn-doped ZnS, CdS, and CdSe quantum dots (QD), which, to the best of our knowledge, denote promising candidates for a variety of optoelectronic applications. Specifically, we have carefully optimized the synthesis conditions in order to generate a series of chemically well-defined Mn-doped Zn 2SiO 4 not only possessing Mn concentrations ranging from 3% to 8% but also characterized by highly crystalline, monodisperse wire-like motifs measuring ~30 nm in diameter and ~700 nm in length. Optically, the photoluminescence signals associated with the 1D series yielded a volcano-shaped relationship between PL intensities and the Mn dopant level. In additional experiments, we have immobilized CdSe quantum dots (QDs) onto the external surfaces of our as-synthesized Mn-doped Zn 2SiO 4 nanowires, in order to form novel composite heterostructures. The optical properties of the CdSe QD–Mn:Zn 2SiO 4 heterostructures have been subsequently examined. Our results have demonstrated the likely co-existence of both energy transfer and charge transfer phenomena between the two constituent components of our as

  11. Improvement of the physical properties of ZnO/CdTe core-shell nanowire arrays by CdCl2 heat treatment for solar cells

    PubMed Central

    2014-01-01

    CdTe is an important compound semiconductor for solar cells, and its use in nanowire-based heterostructures may become a critical requirement, owing to the potential scarcity of tellurium. The effects of the CdCl2 heat treatment are investigated on the physical properties of vertically aligned ZnO/CdTe core-shell nanowire arrays grown by combining chemical bath deposition with close space sublimation. It is found that recrystallization phenomena are induced by the CdCl2 heat treatment in the CdTe shell composed of nanograins: its crystallinity is improved while grain growth and texture randomization occur. The presence of a tellurium crystalline phase that may decorate grain boundaries is also revealed. The CdCl2 heat treatment further favors the chlorine doping of the CdTe shell with the formation of chlorine A-centers and can result in the passivation of grain boundaries. The absorption properties of ZnO/CdTe core-shell nanowire arrays are highly efficient, and more than 80% of the incident light can be absorbed in the spectral range of the solar irradiance. The resulting photovoltaic properties of solar cells made from ZnO/CdTe core-shell nanowire arrays covered with CuSCN/Au back-side contact are also improved after the CdCl2 heat treatment. However, recombination and trap phenomena are expected to operate, and the collection of the holes that are mainly photo-generated in the CdTe shell from the CuSCN/Au back-side contact is presumably identified as the main critical point in these solar cells. PMID:24910576

  12. Growth control, structure, chemical state, and photoresponse of CuO-CdS core-shell heterostructure nanowires.

    PubMed

    El Mel, A A; Buffière, M; Bouts, N; Gautron, E; Tessier, P Y; Henzler, K; Guttmann, P; Konstantinidis, S; Bittencourt, C; Snyders, R

    2013-07-05

    The growth of single-crystal CuO nanowires by thermal annealing of copper thin films in air is studied. We show that the density, length, and diameter of the nanowires can be controlled by tuning the morphology and structure of the copper thin films deposited by DC magnetron sputtering. After identifying the optimal conditions for the growth of CuO nanowires, chemical bath deposition is employed to coat the CuO nanowires with CdS in order to form p-n nanojunction arrays. As revealed by high-resolution TEM analysis, the thickness of the polycrystalline CdS shell increases when decreasing the diameter of the CuO core for a given time of CdS deposition. Near-edge x-ray absorption fine-structure spectroscopy combined with transmission x-ray microscopy allows the chemical analysis of isolated nanowires. The absence of modification in the spectra at the Cu L and O K edges after the deposition of CdS on the CuO nanowires indicates that neither Cd nor S diffuse into the CuO phase. We further demonstrate that the core-shell nanowires exhibit the I-V characteristic of a resistor instead of a diode. The electrical behavior of the device was found to be photosensitive, since increasing the incident light intensity induces an increase in the collected electrical current.

  13. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  14. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  15. The effect of doping on low temperature growth of high quality GaAs nanowires on polycrystalline films

    PubMed Central

    DeJarld, Matt; Teran, Alan; Luengo-Kovac, Marta; Yan, Lifan; Moon, Eun Seong; Beck, Sara; Guillen, Cristina; Sih, Vanessa; Phillips, Jamie; Milunchick, Joanna Mirecki

    2016-01-01

    The increasing demand for miniature autonomous sensors requires low cost integration methods, but to date, material limitations have prevented the direct growth of optically active III-V materials on CMOS devices. We report on the deposition of GaAs nanowires on polycrystalline conductive films to allow for direct integration of optoelectronic devices on dissimilar materials. Undoped, Si-doped, and Be-doped nanowires were grown at Ts=400°C on oxide (indium tin oxide) and metallic (platinum and titanium) films. Be-doping is shown to significantly reduce the nanowire diameter and improve the nanowire aspect ratio to 50:1. Photoluminescence measurements of Be-doped nanowires are 1–2 orders of magnitude stronger than undoped and Si-doped nanowires and have a thermal activation energy of 14meV, which is comparable to nanowires grown on crystalline substrates. Electrical measurements confirm that the metal-semiconductor junction is Ohmic. These results demonstrate the feasibility of integrating nanowire-based optoelectronic devices directly on CMOS chips. PMID:27834310

  16. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  17. Nanophotonic switch: gold-in-Ga2O3 peapod nanowires.

    PubMed

    Hsieh, Chin-Hua; Chou, Li-Jen; Lin, Gong-Ru; Bando, Yoshio; Golberg, Dimitri

    2008-10-01

    A novel metal-insulator heterostructure made of twinned Ga2O3 nanowires embedding discrete gold particles along the twin boundary was formed through a reaction between gold, gallium, and silica at 800 degrees C during simple thermal annealing. The Au-in-Ga2O3 peapods spontaneously crystallized under phase separation induced by the formation of twin boundaries. The nanostructures were analyzed by field emission scanning (FESEM) and transmission electron microscopes (FETEM), and their photoresponse was investigated using a double-frequency Nd:YAG laser with a wavelength of 532 nm on a designed single-nanowire device. The surface plasmon resonance (SPR) effects of embedded Au nanoparticles are proposed to be responsible for the remarkable photoresponse of these novel structures.

  18. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  19. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  20. W18O49 nanowires assembled on carbon felt for application to supercapacitors

    NASA Astrophysics Data System (ADS)

    Jung, Jinjoo; Kim, Do Hyung

    2018-03-01

    For supercapacitor applications, W18O49 nanowires have been extensively grown on graphitic carbon felt using a facile solvothermal method. The diameter and length of the nanowires are about 7 and 300 nm, respectively. The nanowires consist of monoclinic W18O49 grown along the [010] direction, as shown by TEM and XRD analyses. The W18O49 nanowires, assembled on carbon felt, exhibit a high capacity of 588.33 F/g at a current density of 1 A/g together with an excellent cycle performance, and a low internal resistance during the electrochemical tests. This outstanding performance may originate from the three-dimensional porous nanostructure of these W18O49 nanowires, which leads to a reduction in the resistance and fast reaction kinetics due to the high specific surface area and electrolyte accessibility. Furthermore, sufficient oxygen deficiencies of the substoichiometric tungsten oxide can also contribute to the electrochemical activity, which can be confirmed by comparison of CV and EIS data with WO3 nanowires.

  1. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  2. Why self-catalyzed nanowires are most suitable for large-scale hierarchical integrated designs of nanowire nanoelectronics

    NASA Astrophysics Data System (ADS)

    Noor Mohammad, S.

    2011-10-01

    Nanowires are grown by a variety of mechanisms, including vapor-liquid-solid, vapor-quasiliquid-solid or vapor-quasisolid-solid, oxide-assisted growth, and self-catalytic growth (SCG) mechanisms. A critical analysis of the suitability of self-catalyzed nanowires, as compared to other nanowires, for next-generation technology development has been carried out. Basic causes of superiority of self-catalyzed (SCG) nanowires over other nanowires have been described. Polytypism in nanowires has been studied, and a model for polytypism has been proposed. The model predicts polytypism in good agreement with available experiments. This model, together with various evidences, demonstrates lower defects, dislocations, and stacking faults in SCG nanowires, as compared to those in other nanowires. Calculations of carrier mobility due to dislocation scattering, ionized impurity scattering, and acoustic phonon scattering explain the impact of defects, dislocations, and stacking faults on carrier transports in SCG and other nanowires. Analyses of growth mechanisms for nanowire growth directions indicate SCG nanowires to exhibit the most controlled growth directions. In-depth investigation uncovers the fundamental physics underlying the control of growth direction by the SCG mechanism. Self-organization of nanowires in large hierarchical arrays is crucial for ultra large-scale integration (ULSI). Unique features and advantages of self-organized SCG nanowires, unlike other nanowires, for this ULSI have been discussed. Investigations of nanowire dimension indicate self-catalyzed nanowires to have better control of dimension, higher stability, and higher probability, even for thinner structures. Theoretical calculations show that self-catalyzed nanowires, unlike catalyst-mediated nanowires, can have higher growth rate and lower growth temperature. Nanowire and nanotube characteristics have been found also to dictate the performance of nanoelectromechanical systems. Defects, such as

  3. Optimization of self-catalyzed InAs Nanowires on flexible graphite for photovoltaic infrared photodetectors

    PubMed Central

    Anyebe, Ezekiel A.; Sandall, I.; Jin, Z. M.; Sanchez, Ana M.; Rajpalke, Mohana K.; Veal, Timothy D.; Cao, Y. C.; Li, H. D.; Harvey, R.; Zhuang, Q. D.

    2017-01-01

    The recent discovery of flexible graphene monolayers has triggered extensive research interest for the development of III-V/graphene functional hybrid heterostructures. In order to fully exploit their enormous potential in device applications, it is essential to optimize epitaxial growth for the precise control of nanowire geometry and density. Herein, we present a comprehensive growth study of InAs nanowires on graphitic substrates by molecular beam epitaxy. Vertically well-aligned and thin InAs nanowires with high yield were obtained in a narrow growth temperature window of 420–450 °C within a restricted domain of growth rate and V/III flux ratio. The graphitic substrates enable high nanowire growth rates, which is favourable for cost-effective device fabrication. A relatively low density of defects was observed. We have also demonstrated InAs-NWs/graphite heterojunction devices exhibiting rectifying behaviour. Room temperature photovoltaic response with a cut-off wavelength of 3.4 μm was demonstrated. This elucidates a promising route towards the monolithic integration of InAs nanowires with graphite for flexible and functional hybrid devices. PMID:28393845

  4. Electron microscopy investigations of purity of AlN interlayer in Al{sub x}Ga{sub 1-x}N/GaN heterostructures grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sridhara Rao, D. V.; Jain, Anubha; Lamba, Sushil

    2013-05-13

    The electron microscopy was used to characterize the AlN interlayer in Al{sub x}Ga{sub 1-x}N/AlN/GaN heterostructures grown by plasma assisted molecular beam epitaxy (PAMBE). We show that the AlN interlayer grown by PAMBE is without gallium and oxygen incorporation and the interfaces are coherent. The AlN interlayer has the ABAB stacking of lattice planes as expected for the wurtzite phase. High purity of AlN interlayer with the ABAB stacking leads to larger conduction band offset along with stronger polarization effects. Our studies show that the origin of lower sheet resistance obtained by PAMBE is the purity of AlN interlayer.

  5. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  6. Molecular-beam epitaxy of 7-8 μm range quantum-cascade laser heterostructures

    NASA Astrophysics Data System (ADS)

    Babichev, A. V.; Denisov, D. V.; Filimonov, A. V.; Nevedomsky, V. N.; Kurochkin, A. S.; Gladyshev, A. G.; Karachinsky, L. Ya; Sokolovskii, G. S.; Novikov, I. I.; Bousseksou, A.; Egorov, A. Yu

    2017-11-01

    The method of molecular beam epitaxy demonstrates the possibility to create high quality heterostructures of quantum cascade lasers in a spectral range of 7-8 μm containing 50 quantum cascades in an active region. Design based on the principle of two-phonon resonant scattering is used. X-ray diffraction and transmission electron microscopy experiments confirm high structural properties of the created heterostructures, e.g. the identity of the composition and thickness of epitaxial layers in all 50 cascades. Edge-emitting lasers based on the grown heterostructure demonstrate lasing with threshold current density of 2.8 kA/cm2 at a temperature of 78 K.

  7. Physics of SrTiO3-based heterostructures and nanostructures: a review.

    PubMed

    Pai, Yun-Yi; Tylan-Tyler, Anthony; Irvin, Patrick; Levy, Jeremy

    2018-02-09

    This review provides a summary of the rich physics expressed within SrTiO 3 -based heterostructures and nanostructures. The intended audience is researchers who are working in the field of oxides, but also those with different backgrounds (e.g., semiconductor nanostructures). After reviewing the relevant properties of SrTiO 3 itself, we will then discuss the basics of SrTiO 3 -based heterostructures, how they can be grown, and how devices are typically fabricated. Next, we will cover the physics of these heterostructures, including their phase diagram and coupling between the various degrees of freedom. Finally, we will review the rich landscape of quantum transport phenomena, as well as the devices that elicit them.

  8. Enhanced Photon Extraction from a Nanowire Quantum Dot Using a Bottom-Up Photonic Shell

    NASA Astrophysics Data System (ADS)

    Jeannin, Mathieu; Cremel, Thibault; Häyrynen, Teppo; Gregersen, Niels; Bellet-Amalric, Edith; Nogues, Gilles; Kheng, Kuntheak

    2017-11-01

    Semiconductor nanowires offer the possibility to grow high-quality quantum-dot heterostructures, and, in particular, CdSe quantum dots inserted in ZnSe nanowires have demonstrated the ability to emit single photons up to room temperature. In this paper, we demonstrate a bottom-up approach to fabricate a photonic fiberlike structure around such nanowire quantum dots by depositing an oxide shell using atomic-layer deposition. Simulations suggest that the intensity collected in our NA =0.6 microscope objective can be increased by a factor 7 with respect to the bare nanowire case. Combining microphotoluminescence, decay time measurements, and numerical simulations, we obtain a fourfold increase in the collected photoluminescence from the quantum dot. We show that this improvement is due to an increase of the quantum-dot emission rate and a redirection of the emitted light. Our ex situ fabrication technique allows a precise and reproducible fabrication on a large scale. Its improved extraction efficiency is compared to state-of-the-art top-down devices.

  9. Growth and characterization of manganese doped gallium nitride nanowires.

    PubMed

    Kumar, V Suresh; Kesavamoorthy, R; Kumar, J

    2008-08-01

    Manganese doped GaN nanowires have been grown by chemical vapour transport method on sapphire (0001) substrates in the temperature range of 800-1050 degrees C. The surface features of nanowires have been investigated using Scanning Electron Microscopy (SEM), Energy Dispersive X-ray analysis (EDAX), Raman scattering studies and Electron Paramagnetic Resonance (EPR). SEM images showed that the morphology of the one dimensional materials included straight nanorods and nanowires around 70-80 nm. Raman spectrum showed the GaMnN vibrational modes at 380, 432 and 445 cm(-1). EPR measurements were performed on Mn doped GaN nanowires in order to evaluate the magnetic behaviour.

  10. Combining axial and radial nanowire heterostructures: radial Esaki diodes and tunnel field-effect transistors.

    PubMed

    Dey, Anil W; Svensson, Johannes; Ek, Martin; Lind, Erik; Thelander, Claes; Wernersson, Lars-Erik

    2013-01-01

    The ever-growing demand on high-performance electronics has generated transistors with very impressive figures of merit (Radosavljevic et al., IEEE Int. Devices Meeting 2009, 1-4 and Cho et al., IEEE Int. Devices Meeting 2011, 15.1.1-15.1.4). The continued scaling of the supply voltage of field-effect transistors, such as tunnel field-effect transistors (TFETs), requires the implementation of advanced transistor architectures including FinFETs and nanowire devices. Moreover, integration of novel materials with high electron mobilities, such as III-V semiconductors and graphene, are also being considered to further enhance the device properties (del Alamo, Nature 2011, 479, 317-323, and Liao et al., Nature 2010, 467, 305-308). In nanowire devices, boosting the drive current at a fixed supply voltage or maintaining a constant drive current at a reduced supply voltage may be achieved by increasing the cross-sectional area of a device, however at the cost of deteriorated electrostatics. A gate-all-around nanowire device architecture is the most favorable electrostatic configuration to suppress short channel effects; however, the arrangement of arrays of parallel vertical nanowires to address the drive current predicament will require additional chip area. The use of a core-shell nanowire with a radial heterojunction in a transistor architecture provides an attractive means to address the drive current issue without compromising neither chip area nor device electrostatics. In addition to design advantages of a radial transistor architecture, we in this work illustrate the benefit in terms of drive current per unit chip area and compare the experimental data for axial GaSb/InAs Esaki diodes and TFETs to their radial counterparts and normalize the electrical data to the largest cross-sectional area of the nanowire, i.e. the occupied chip area, assuming a vertical device geometry. Our data on lateral devices show that radial Esaki diodes deliver almost 7 times higher peak

  11. Improving optical performance of GaN nanowires grown by selective area growth homoepitaxy: Influence of substrate and nanowire dimensions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aseev, P., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Gačević, Ž., E-mail: pavel.aseev@isom.upm.es, E-mail: gacevic@isom.upm.es; Calleja, E.

    2016-06-20

    Series of GaN nanowires (NW) with controlled diameters (160–500 nm) and heights (420–1100 nm) were homoepitaxially grown on three different templates: GaN/Si(111), GaN/AlN/Si(111), and GaN/sapphire(0001). Transmission electron microscopy reveals a strong influence of the NW diameter on dislocation filtering effect, whereas photoluminescence measurements further relate this effect to the GaN NWs near-bandgap emission efficiency. Although the templates' quality has some effects on the GaN NWs optical and structural properties, the NW diameter reduction drives the dislocation filtering effect to the point where a poor GaN template quality becomes negligible. Thus, by a proper optimization of the homoepitaxial GaN NWs growth, the propagationmore » of dislocations into the NWs can be greatly prevented, leading to an exceptional crystal quality and a total dominance of the near-bandgap emission over sub-bandgap, defect-related lines, such as basal stacking faults and so called unknown exciton (UX) emission. In addition, a correlation between the presence of polarity inversion domain boundaries and the UX emission lines around 3.45 eV is established.« less

  12. Growth and characterization of GaAs/Al/GaAs heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya, P.; Oh, J.E.; Singh, J.

    Theoretical and experimental aspects of the growth of GaAs/Al/GaAs heterostructures have been investigated. In these heterostructures the GaAs on top of the buried metal layer is grown by migration-enhanced epitaxy (MEE) at low temperatures (200 and 400 {degree}C) to provide a kinetic barrier to the outdiffusion of Al during superlayer growth. The crystallinity and orientation of the Al film itself deposited on (100) GaAs at {approx}0 {degree}C was studied by transmission electron diffraction, dark-field imaging, and x-ray diffraction measurements. It is found that the Al growth is polycrystalline with a grain size {approx}60 A and the preferred growth orientation ismore » (111), which may be textured in plane but oriented out of plane. The quality of the GaAs superlayer grown on top of Al by MEE is very sensitive to the growth temperature. The layer grown at 400 {degree}C has good structural and optical quality, but is accompanied by considerable outdiffusion of Al at the Al-GaAs heterointerface. At 200 {degree}C, where the interface has good structural integrity, the superlayer exhibits twinning and no luminescence is observed.« less

  13. Nanowire-templated lateral epitaxial growth of non-polar group III nitrides

    DOEpatents

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-03-02

    A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.

  14. On the impact of indium distribution on the electronic properties in InGaN nanodisks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benaissa, M., E-mail: benaissa.um5@gmail.com, E-mail: benaissa@fsr.ac.ma; Sigle, W.; Aken, P. A. van

    2015-03-09

    We analyze an epitaxially grown heterostructure composed of InGaN nanodisks inserted in GaN nanowires in order to relate indium concentration to the electronic properties. This study was achieved with spatially resolved low-loss electron energy-loss spectroscopy using monochromated electrons to probe optical excitations—plasmons—at nanometer scale. Our findings show that each nanowire has its own indium fluctuation and therefore its own average composition. Due to this indium distribution, a scatter is obtained in plasmon energies, and therefore in the optical dielectric function, of the nanowire ensemble. We suppose that these inhomogeneous electronic properties significantly alter band-to-band transitions and consequently induce emission broadening.more » In addition, the observation of tailing indium composition into the GaN barrier suggests a graded well-barrier interface leading to further inhomogeneous broadening of the electro-optical properties. An improvement in the indium incorporation during growth is therefore needed to narrow the emission linewidth of the presently studied heterostructures.« less

  15. Plasmonic Properties of Vertically Aligned Nanowire Arrays

    DTIC Science & Technology

    2012-01-01

    scattering (SERS) applications. In this investigation, two types of vertical NW arrays were studied; those of ZnO NWs grown on nanosphere lithography...plasmonic nanowires to investigate this SERS effect. Here we used two types of vertical NWs, ZnO NWs, and Si NWs, respectively, to investigate SERS...successfully grow vertically aligned ZnO nanowires by the well-known VLS process. In this way, the ZnO NWs can be arranged in a repeatable hexagonal pattern

  16. Template-grown NiFe/Cu/NiFe nanowires for spin transfer devices.

    PubMed

    Piraux, Luc; Renard, Krystel; Guillemet, Raphael; Matéfi-Tempfli, Stefan; Matéfi-Tempfli, Maria; Antohe, Vlad Andrei; Fusil, Stéphane; Bouzehouane, Karim; Cros, Vincent

    2007-09-01

    We have developed a new reliable method combining template synthesis and nanolithography-based contacting technique to elaborate current perpendicular-to-plane giant magnetoresistance spin valve nanowires, which are very promising for the exploration of electrical spin transfer phenomena. The method allows the electrical connection of one single nanowire in a large assembly of wires embedded in anodic porous alumina supported on Si substrate with diameters and periodicities to be controllable to a large extent. Both magnetic excitations and switching phenomena driven by a spin-polarized current were clearly demonstrated in our electrodeposited NiFe/Cu/ NiFe trilayer nanowires. This novel approach promises to be of strong interest for subsequent fabrication of phase-locked arrays of spin transfer nano-oscillators with increased output power for microwave applications.

  17. Electrical characterization of HgTe nanowires using conductive atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gundersen, P.; Department of Physics, Norwegian University of Science and Technology, NO-7491 Trondheim; Kongshaug, K. O.

    Self-organized HgTe nanowires grown by molecular beam epitaxy (MBE) have been characterized using conductive atomic force microscopy. As HgTe will degrade or evaporate at normal baking temperatures for electron beam lithography (EBL) resists, an alternative method was developed. Using low temperature optical lithography processes, large Au contacts were deposited on a sample covered with randomly oriented, lateral HgTe nanowires. Nanowires partly covered by the large electrodes were identified with a scanning electron microscope and then localized in the atomic force microscope (AFM). The conductive tip of the AFM was then used as a movable electrode to measure current-voltage curves atmore » several locations on HgTe nanowires. The measurements revealed that polycrystalline nanowires had diffusive electron transport, with resistivities two orders of magnitude larger than that of an MBE-grown HgTe film. The difference can be explained by scattering at the rough surface walls and at the grain boundaries in the wires. The method can be a solution when EBL is not available or requires too high temperature, or when measurements at several positions along a wire are required.« less

  18. Nanowire Thermoelectric Devices

    NASA Technical Reports Server (NTRS)

    Borshchevsky, Alexander; Fleurial, Jean-Pierre; Herman, Jennifer; Ryan, Margaret

    2005-01-01

    order of 10-microns wide. The fill factor for the cross-section of a typical bundle is about 1/2. Nanowires have been grown in alumina templates with pore diameters of 100 and 40 nm.

  19. Epitaxial cuprate superconductor/ferroelectric heterostructures.

    PubMed

    Ramesh, R; Inam, A; Chan, W K; Wilkens, B; Myers, K; Remschnig, K; Hart, D L; Tarascon, J M

    1991-05-17

    Thin-film heterostructures of Bi(4)Ti(3)O(12)Bi(2)Sr(2)CuO(6+x), have been grown on single crystals of SrTiO(3), LaAlO(3), and MgAl(2)O(4) by pulsed laser deposition. X-ray diffraction studies show the presence of c-axis orientation only; Rutherford backscattering experiments show the composition to be close to the nominal stoichiometry. The films are ferroelectric and exhibit a symmetric hysteresis loop. The remanent polarization was 1.0 microcoulomb per square centimeter, and the coercive field was 2.0 x 10(5) volts per centimeter. Similar results were obtained with YBa(2)Cu(3)O(7-x) and Bi(2)Sr(2)CaCu(2)O(8+x), and single-crystal Bi(2)Sr(2)CuO(6+x)as the bottom electrodes. These films look promising for use as novel, lattice-matched, epitaxial ferroelectric film/electrode heterostructures in nonvolatile memory applications.

  20. Nanowired three-dimensional cardiac patches

    NASA Astrophysics Data System (ADS)

    Dvir, Tal; Timko, Brian P.; Brigham, Mark D.; Naik, Shreesh R.; Karajanagi, Sandeep S.; Levy, Oren; Jin, Hongwei; Parker, Kevin K.; Langer, Robert; Kohane, Daniel S.

    2011-11-01

    Engineered cardiac patches for treating damaged heart tissues after a heart attack are normally produced by seeding heart cells within three-dimensional porous biomaterial scaffolds. These biomaterials, which are usually made of either biological polymers such as alginate or synthetic polymers such as poly(lactic acid) (PLA), help cells organize into functioning tissues, but poor conductivity of these materials limits the ability of the patch to contract strongly as a unit. Here, we show that incorporating gold nanowires within alginate scaffolds can bridge the electrically resistant pore walls of alginate and improve electrical communication between adjacent cardiac cells. Tissues grown on these composite matrices were thicker and better aligned than those grown on pristine alginate and when electrically stimulated, the cells in these tissues contracted synchronously. Furthermore, higher levels of the proteins involved in muscle contraction and electrical coupling are detected in the composite matrices. It is expected that the integration of conducting nanowires within three-dimensional scaffolds may improve the therapeutic value of current cardiac patches.

  1. Electrodeposition of Metal on GaAs Nanowires

    NASA Astrophysics Data System (ADS)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  2. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  3. Cathodoluminescence Studies of the Inhomogeneities in Sn-doped Ga2O3 Nanowires

    DTIC Science & Technology

    2009-01-01

    Cathodoluminescence Studies of the Inhomogeneities in Sn-doped Ga2O3 Nanowires S. I. Maximenko, L. Mazeina, Y. N. Picard, J. A. Freitas, Jr., V. M...color imaging and spectroscopy were employed to study the properties of Ga2O3 nanowires grown with different Sn/Ga ratios. The structures grown under...green to red emission correlates with a phase transition of β- Ga2O3 to polycrystalline SnO2. The origin of the green emission band is discussed based

  4. Simultaneous growth of pure hyperbranched Zn3As2 structures and long Ga2O3 nanowires.

    PubMed

    Li, Jianye; Wang, Lung-Shen; Buchholz, D Bruce; Chang, Robert P H

    2009-05-01

    Through a facile and highly repeatable chemical vapor method, pure three-dimensional hyperbranched Zn(3)As(2) structures and ultralong Ga(2)O(3) nanowires were simultaneously grown with controllable locations in the same experiment. The hyperbranched Zn(3)As(2) consists of cone-shaped submicro-/nanowires and has a single-crystalline tetragonal structure. This is the first report of nano Zn(3)As(2) and hyperbranched Zn(3)As(2) structures. The as-grown Ga(2)O(3) nanowires are monoclinic single crystals. A vapor-solid-solid mechanism is suggested for the growth of the Ga(2)O(3) nanowires, and a vapor-solid mechanism, for the Zn(3)As(2) structures.

  5. Computational Analysis of the Optical and Charge Transport Properties of Ultrasonic Spray Pyrolysis-Grown Zinc Oxide/Graphene Hybrid Structures.

    PubMed

    Ali, Amgad Ahmed; Hashim, Abdul Manaf

    2016-12-01

    We demonstrate a systematic computational analysis of the measured optical and charge transport properties of the spray pyrolysis-grown ZnO nanostructures, i.e. nanosphere clusters (NSCs), nanorods (NRs) and nanowires (NWs) for the first time. The calculated absorbance spectra based on the time-dependent density functional theory (TD-DFT) shows very close similarity with the measured behaviours under UV light. The atomic models and energy level diagrams for the grown nanostructures were developed and discussed to explain the structural defects and band gap. The induced stresses in the lattices of ZnO NSCs that formed during the pyrolysis process seem to cause the narrowing of the gap between the energy levels. ZnO NWs and NRs show homogeneous distribution of the LUMO and HOMO orbitals all over the entire heterostructure. Such distribution contributes to the reduction of the band gap down to 2.8 eV, which has been confirmed to be in a good agreement with the experimental results. ZnO NWs and NRs exhibited better emission behaviours under the UV excitation as compared to ZnO NSCs and thin film as their visible range emissions are strongly quenched. Based on the electrochemical impedance measurement, the electrical models and electrostatic potential maps were developed to calculate the electron lifetime and to explain the mobility or diffusion behaviours in the grown nanostructure, respectively.

  6. Computational Analysis of the Optical and Charge Transport Properties of Ultrasonic Spray Pyrolysis-Grown Zinc Oxide/Graphene Hybrid Structures

    NASA Astrophysics Data System (ADS)

    Ali, Amgad Ahmed; Hashim, Abdul Manaf

    2016-05-01

    We demonstrate a systematic computational analysis of the measured optical and charge transport properties of the spray pyrolysis-grown ZnO nanostructures, i.e. nanosphere clusters (NSCs), nanorods (NRs) and nanowires (NWs) for the first time. The calculated absorbance spectra based on the time-dependent density functional theory (TD-DFT) shows very close similarity with the measured behaviours under UV light. The atomic models and energy level diagrams for the grown nanostructures were developed and discussed to explain the structural defects and band gap. The induced stresses in the lattices of ZnO NSCs that formed during the pyrolysis process seem to cause the narrowing of the gap between the energy levels. ZnO NWs and NRs show homogeneous distribution of the LUMO and HOMO orbitals all over the entire heterostructure. Such distribution contributes to the reduction of the band gap down to 2.8 eV, which has been confirmed to be in a good agreement with the experimental results. ZnO NWs and NRs exhibited better emission behaviours under the UV excitation as compared to ZnO NSCs and thin film as their visible range emissions are strongly quenched. Based on the electrochemical impedance measurement, the electrical models and electrostatic potential maps were developed to calculate the electron lifetime and to explain the mobility or diffusion behaviours in the grown nanostructure, respectively.

  7. Phononic properties of superlattices and multi quantum well heterostructures (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Wagner, Markus R.; Reparaz, Juan Sebastian; Callsen, Gordon; Nippert, Felix; Kure, Thomas; Hoffmann, Axel; Hugues, Maxime; Teysseire, Monique; Damilano, Benjamin; Chauveau, Jean-Michel

    2017-03-01

    We address the electronic, phononic, and thermal properties of oxide based superlattices and multi quantum well heterostructures. In the first part, we review the present understanding of phonon coupling and phonon propagation in superlattices and elucidate current research aspects of phonon coherence in these structure. Subsequently, we focus on the experimental study of MBE grown ZnO/ZnMgO multi quantum well heterostructures with varying Mg content, barrier thickness, quantum well thickness, and number of periods. In particular, we discuss how the controlled variation of these parameters affect the phonon dispersion relation and phonon propagation and their impact on the thermal properties.

  8. Majorana zero modes in superconductor-semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Lutchyn, R. M.; Bakkers, E. P. A. M.; Kouwenhoven, L. P.; Krogstrup, P.; Marcus, C. M.; Oreg, Y.

    2018-05-01

    Realizing topological superconductivity and Majorana zero modes in the laboratory is a major goal in condensed-matter physics. In this Review, we survey the current status of this rapidly developing field, focusing on proposals for the realization of topological superconductivity in semiconductor-superconductor heterostructures. We examine materials science progress in growing InAs and InSb semiconductor nanowires and characterizing these systems. We then discuss the observation of robust signatures of Majorana zero modes in recent experiments, paying particular attention to zero-bias tunnelling conduction measurements and Coulomb blockade experiments. We also outline several next-generation experiments probing exotic properties of Majorana zero modes, including fusion rules and non-Abelian exchange statistics. Finally, we discuss prospects for implementing Majorana-based topological quantum computation.

  9. III-Nitride Nanowire Lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Jeremy Benjamin

    2014-07-01

    In recent years there has been a tremendous interest in nanoscale optoelectronic devices. Among these devices are semiconductor nanowires whose diameters range from 10-100 nm. To date, nanowires have been grown using many semiconducting material systems and have been utilized as light emitting diodes, photodetectors, and solar cells. Nanowires possess a relatively large index contrast relative to their dielectric environment and can be used as lasers. A key gure of merit that allows for nanowire lasing is the relatively high optical con nement factor. In this work, I discuss the optical characterization of 3 types of III-nitride nanowire laser devices.more » Two devices were designed to reduce the number of lasing modes to achieve singlemode operation. The third device implements low-group velocity mode lasing with a photonic crystal constructed of an array of nanowires. Single-mode operation is necessary in any application where high beam quality and single frequency operation is required. III-Nitride nanowire lasers typically operate in a combined multi-longitudinal and multi-transverse mode state. Two schemes are introduced here for controlling the optical modes and achieving single-mode op eration. The rst method involves reducing the diameter of individual nanowires to the cut-o condition, where only one optical mode propagates in the wire. The second method employs distributed feedback (DFB) to achieve single-mode lasing by placing individual GaN nanowires onto substrates with etched gratings. The nanowire-grating substrate acted as a distributed feedback mirror producing single mode operation at 370 nm with a mode suppression ratio (MSR) of 17 dB. The usage of lasers for solid state lighting has the potential to further reduce U.S. lighting energy usage through an increase in emitter e ciency. Advances in nanowire fabrication, speci cally a two-step top-down approach, have allowed for the demonstration of a multi-color array of lasers on a single chip that

  10. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  11. A high-temperature single-photon source from nanowire quantum dots.

    PubMed

    Tribu, Adrien; Sallen, Gregory; Aichele, Thomas; André, Régis; Poizat, Jean-Philippe; Bougerol, Catherine; Tatarenko, Serge; Kheng, Kuntheak

    2008-12-01

    We present a high-temperature single-photon source based on a quantum dot inside a nanowire. The nanowires were grown by molecular beam epitaxy in the vapor-liquid-solid growth mode. We utilize a two-step process that allows a thin, defect-free ZnSe nanowire to grow on top of a broader, cone-shaped nanowire. Quantum dots are formed by incorporating a narrow zone of CdSe into the nanowire. We observe intense and highly polarized photoluminescence even from a single emitter. Efficient photon antibunching is observed up to 220 K, while conserving a normalized antibunching dip of at most 36%. This is the highest reported temperature for single-photon emission from a nonblinking quantum-dot source and principally allows compact and cheap operation by using Peltier cooling.

  12. Towards metal chalcogenide nanowire-based colour-sensitive photodetectors

    NASA Astrophysics Data System (ADS)

    Butanovs, Edgars; Butikova, Jelena; Zolotarjovs, Aleksejs; Polyakov, Boris

    2018-01-01

    In recent years, nanowires have been shown to exhibit high photosensitivities, and, therefore are of interest in a variety of optoelectronic applications, for example, colour-sensitive photodetectors. In this study, we fabricated two-terminal PbS, In2S3, CdS and ZnSe single-nanowire photoresistor devices and tested applicability of these materials under the same conditions for colour-sensitive (405 nm, 532 nm and 660 nm) light detection. Nanowires were grown via atmospheric pressure chemical vapour transport method, their structure and morphology were characterized by scanning and transmission electron microscopy (SEM and TEM), X-ray diffraction (XRD), and optical properties were investigated with photoluminescence (PL) measurements. Single-nanowire photoresistors were fabricated via in situ nanomanipulations inside SEM, using focused ion beam (FIB) cutting and electron-beam-assisted platinum welding; their current-voltage characteristics and photoresponse values were measured. Applicability of the tested nanowire materials for colour-sensitive light detection is discussed.

  13. Field emission from in situ-grown vertically aligned SnO2 nanowire arrays

    PubMed Central

    2012-01-01

    Vertically aligned SnO2 nanowire arrays have been in situ fabricated on a silicon substrate via thermal evaporation method in the presence of a Pt catalyst. The field emission properties of the SnO2 nanowire arrays have been investigated. Low turn-on fields of 1.6 to 2.8 V/μm were obtained at anode-cathode separations of 100 to 200 μm. The current density fluctuation was lower than 5% during a 120-min stability test measured at a fixed applied electric field of 5 V/μm. The favorable field-emission performance indicates that the fabricated SnO2 nanowire arrays are promising candidates as field emitters. PMID:22330800

  14. Self-assembled phase-change nanowire for nonvolatile electronic memory

    NASA Astrophysics Data System (ADS)

    Jung, Yeonwoong

    One of the most important subjects in nanosciences is to identify and exploit the relationship between size and structural/physical properties of materials and to explore novel material properties at a small-length scale. Scale-down of materials is not only advantageous in realizing miniaturized devices but nanometer-sized materials often exhibit intriguing physical/chemical properties that greatly differ from their bulk counterparts. This dissertation studies self-assembled phase-change nanowires for future nonvolatile electronic memories, mainly focusing on their size-dependent memory switching properties. Owing to the one-dimensional, unique geometry coupled with the small and tunable sizes, bottom-designed nanowires offer great opportunities in terms for both fundamental science and practical engineering perspectives, which would be difficult to realize in conventional top-down based approaches. We synthesized chalcogenide phase-change nanowires of different compositions and sizes, and studied their electronic memory switching owing to the structural change between crystalline and amorphous phases. In particular, we investigated nanowire size-dependent memory switching parameters, including writing current, power consumption, and data retention times, as well as studying composition-dependent electronic properties. The observed size and composition-dependent switching and recrystallization kinetics are explained based on the heat transport model and heterogeneous nucleation theories, which help to design phase-change materials with better properties. Moreover, we configured unconventional heterostructured phase-change nanowire memories and studied their multiple memory states in single nanowire devices. Finally, by combining in-situ/ex-situ electron microscopy techniques and electrical measurements, we characterized the structural states involved in electrically-driven phase-change in order to understand the atomistic mechanism that governs the electronic

  15. Electroluminescence of ZnO-based semiconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Novodvorskii, O. A.; Lotin, A. A.; Panchenko, Vladislav Ya; Parshina, L. S.; Khaidukov, E. V.; Zuev, D. A.; Khramova, O. D.

    2011-01-01

    Using pulsed laser deposition, we have grown n-ZnO/p-GaN, n-ZnO/i-ZnO/p-GaN and n-ZnO/n-Mg0.2Zn0.8O/i-Cd0.2Zn0.8O/p-GaN light-emitting diode (LED) heterostructures with peak emission wavelengths of 495, 382 and 465 nm and threshold current densities (used in electroluminescence measurements) of 1.35, 2, and 0.48 A cm-2, respectively. Because of the spatial carrier confinement, the n-ZnO/n-Mg0.2Zn0.8O/i-Cd0.2Zn0.8O/p-GaN double heterostructure LED offers a higher electroluminescence intensity and lower electroluminescence threshold in comparison with the n-ZnO/p-GaN and n-ZnO/i-ZnO/p-GaN LEDs.

  16. Effect of in situ Al doping on structure and optical properties of ZnO nanowires grown by MOCVD

    NASA Astrophysics Data System (ADS)

    Souissi, H.; Jabri, S.; Souissi, A.; Lusson, A.; Galtier, P.; Meftah, A.; Sallet, V.; Oueslati, M.

    2018-01-01

    Al-doped ZnO nanowires (NWs) were grown on C-axis oriented sapphire by metal organic chemical vapor deposition using dimethylzinc-triethylamine (DMZn-TEN), nitrogen dioxide (NO2) and TMAl as zinc, oxygen and aluminum doping sources respectively. The NWs morphology has been characterized by scanning electron microscopy and transmission electron microscopy. The photoluminescence (PL) spectra exhibit a strong excitonic transition bond that confirms the Al incorporation in the ZnO NWs. Raman results support PL conclusion by showing additional modes in Al-doped ZnO NWs at nearly 270, 510, 579 and 641 cm-1. The micro-Raman scattering analysis along a single Al-doped ZnO needle-like NW shows an increase of the Al concentration from the basis to the tip of the wire.

  17. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    PubMed

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  18. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  19. Ultrahigh-Density Nanowire Arrays Grown in Self-Assembled Diblock Copolymer Templates

    NASA Astrophysics Data System (ADS)

    Thurn-Albrecht, T.; Schotter, J.; Kästle, G. A.; Emley, N.; Shibauchi, T.; Krusin-Elbaum, L.; Guarini, K.; Black, C. T.; Tuominen, M. T.; Russell, T. P.

    2000-12-01

    We show a simple, robust, chemical route to the fabrication of ultrahigh-density arrays of nanopores with high aspect ratios using the equilibrium self-assembled morphology of asymmetric diblock copolymers. The dimensions and lateral density of the array are determined by segmental interactions and the copolymer molecular weight. Through direct current electrodeposition, we fabricated vertical arrays of nanowires with densities in excess of 1.9 × 1011 wires per square centimeter. We found markedly enhanced coercivities with ferromagnetic cobalt nanowires that point toward a route to ultrahigh-density storage media. The copolymer approach described is practical, parallel, compatible with current lithographic processes, and amenable to multilayered device fabrication.

  20. Ultrahigh-density nanowire arrays grown in self-assembled diblock copolymer templates.

    PubMed

    Thurn-Albrecht, T; Schotter, J; Kästle, G A; Emley, N; Shibauchi, T; Krusin-Elbaum, L; Guarini, K; Black, C T; Tuominen, M T; Russell, T P

    2000-12-15

    We show a simple, robust, chemical route to the fabrication of ultrahigh-density arrays of nanopores with high aspect ratios using the equilibrium self-assembled morphology of asymmetric diblock copolymers. The dimensions and lateral density of the array are determined by segmental interactions and the copolymer molecular weight. Through direct current electrodeposition, we fabricated vertical arrays of nanowires with densities in excess of 1.9 x 10(11) wires per square centimeter. We found markedly enhanced coercivities with ferromagnetic cobalt nanowires that point toward a route to ultrahigh-density storage media. The copolymer approach described is practical, parallel, compatible with current lithographic processes, and amenable to multilayered device fabrication.

  1. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  2. An optimal thermal evaporation synthesis of c-axis oriented ZnO nanowires with excellent UV sensing and emission characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, Tridib, E-mail: tridib.saha@monash.edu; Achath Mohanan, Ajay, E-mail: ajay.mohanan@monash.edu; Swamy, Varghese, E-mail: varghese.swamy@monash.edu

    Highlights: • c-Axis alignment of ZnO nanowires was optimized using self-seeding thermal evaporation method. • Influence of purified air on the morphology and optoelectronic properties were studied. • Nanowires grown under optimal conditions exhibit strong UV emission peak in PL spectrum. • Optimized growth condition establish nanowires of excellent UV sensing characteristics - Abstract: Well-aligned (c-axis oriented) ZnO nanowire arrays were successfully synthesized on Si (1 0 0) substrates through an optimized self-seeding thermal evaporation method. An open-ended chemical vapor deposition (CVD) setup was used in the experiment, with argon and purified air as reaction gases. Epitaxial growth of c-axismore » oriented ZnO nanowires was observed for 5 sccm flow rate of purified air, whereas Zn/Zn suboxide layers and multiple polycrystalline layers of ZnO were obtained for absence and excess of purified air, respectively. Ultraviolet (UV) sensing and emission properties of the as-grown ZnO nanostructures were investigated through the current–voltage (I–V) characteristics of the nanowires under UV (λ = 365 nm) illumination of 8 mW/cm{sup 2} and using photoluminescence spectra. Nanowires grown under optimum flow of air emitted four times higher intensity of 380 nm UV light as well as exhibited 34 times higher UV radiation sensitivity compared to that of other nanostructures synthesized in this study.« less

  3. Band bending at the heterointerface of GaAs/InAs core/shell nanowires monitored by synchrotron X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Khanbabaee, B.; Bussone, G.; Knutsson, J. V.; Geijselaers, I.; Pryor, C. E.; Rieger, T.; Demarina, N.; Grützmacher, D.; Lepsa, M. I.; Timm, R.; Pietsch, U.

    2016-10-01

    Unique electronic properties of semiconductor heterostructured nanowires make them useful for future nano-electronic devices. Here, we present a study of the band bending effect at the heterointerface of GaAs/InAs core/shell nanowires by means of synchrotron based X-ray photoelectron spectroscopy. Different Ga, In, and As core-levels of the nanowire constituents have been monitored prior to and after cleaning from native oxides. The cleaning process mainly affected the As-oxides and was accompanied by an energy shift of the core-level spectra towards lower binding energy, suggesting that the As-oxides turn the nanowire surfaces to n-type. After cleaning, both As and Ga core-levels revealed an energy shift of about -0.3 eV for core/shell compared to core reference nanowires. With respect to depth dependence and in agreement with calculated strain distribution and electron quantum confinement, the observed energy shift is interpreted by band bending of core-levels at the heterointerface between the GaAs nanowire core and the InAs shell.

  4. Single Nanowire Probe for Single Cell Endoscopy and Sensing

    NASA Astrophysics Data System (ADS)

    Yan, Ruoxue

    The ability to manipulate light in subwavelength photonic and plasmonic structures has shown great potentials in revolutionizing how information is generated, transformed and processed. Chemically synthesized nanowires, in particular, offers a unique toolbox not only for highly compact and integrated photonic modules and devices, including coherent and incoherent light sources, waveguides, photodetectors and photovoltaics, but also for new types of nanoscopic bio-probes for spot cargo delivery and in-situ single cell endoscopy and sensing. Such nanowire probes would enable us to carry out intracellular imaging and probing with high spatial resolution, monitor in-vivo biological processes within single living cells and greatly improve our fundamental understanding of cell functions, intracellular physiological processes, and cellular signal pathways. My work is aimed at developing a material and instrumental platform for such single nanowire probe. Successful optical integration of Ag nanowire plasmonic waveguides, which offers deep subwavelength mode confinement, and conventional photonic waveguides was demonstrated on a single nanowire level. The highest plasmonic-photonic coupling efficiency coupling was found at small coupling angles and low input frequencies. The frequency dependent propagation loss was observed in Ag nanowire and was confirmed by quantitative measurement and in agreement with theoretical expectations. Rational integration of dielectric and Ag nanowire waveguide components into hybrid optical-plasmonic routing devices has been demonstrated. This capability is essential for incorporating sub-100nm Ag nanowire waveguides into optical fiber based nanoprobes for single cell endoscopy. The nanoprobe system based on single nanowire waveguides was demonstrated by optically coupling semiconductor or metal nanowire with an optical fiber with tapered tip. This nanoprobe design requires minimal instrumentation which makes it cost efficient and readily

  5. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    PubMed

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  6. Amplified emission and modified spectral features in an opal hetero-structure mediated by passive defect mode localization

    NASA Astrophysics Data System (ADS)

    Rout, Dipak; Kumar, Govind; Vijaya, R.

    2018-01-01

    A photonic crystal hetero-structure consisting of a passive planar defect of SiO2 thin film sandwiched between two identical opals grown by inward growing self-assembly method using Rhodamine-B dye-doped polystyrene microspheres is studied for the characteristics of dye emission. The optical properties and the defect mode characteristics of the hetero-structure are studied from the reflection and transmission measurements. Laser-induced fluorescence from the hetero-structure showed amplified and spectrally narrowed emission compared to the photonic crystal emphasizing the role of the defect mode and distributed feedback. The enhanced emission is also complemented by the reduction in fluorescence decay time in the case of the hetero-structure in comparison to the 3D photonic crystals.

  7. Magnetometory of AlGaN/GaN heterostructure wafers

    NASA Astrophysics Data System (ADS)

    Tsubaki, K.; Maeda, N.; Saitoh, T.; Kobayashi, N.

    2005-06-01

    AlGaN/GaN heterostructure wafers are becoming a key technology for next generation cellar-phone telecommunication system because of their potential for high-performance microwave applications. Therefore, the electronic properties of a 2DEG in AlGaN/GaN heterostructures have recently been discussed. In this paper, we performed the extraordinary Hall effect measurement and the SQUID magnetometory of AlGaN/GaN heterostructure wafer at low temperature. The AlGaN/GaN heterostructures were grown by low-pressure metal-organic chemical vapour phase epitaxy on (0001) SiC substrate using AlN buffers. The electron mobility and electron concentration at 4.2 K are 9,540cm2/V s and 6.6 × 1012cm-2, respectively. In the extraordinary Hall effect measurement of AlGaN/GaN heterostructures, the hysteresis of Hall resistance appeared below 4.5 K and disappeared above 4.5 K. On the other hand, the hysteresis of magnetometric data obtained by SQUID magnetometory appears near zero magnetic field when the temperature is lower than 4.5 K. At the temperature larger than 4.5 K, the hysteresis of magnetometric data disappears. And the slopes of magnetometric data with respect to magnetic field become lower as obeying Currie-Weiss law and the Curie temperature TC is 4.5 K. Agreement of TC measured by the extraordinary Hall effect and the SQUID magnetometory implies the ferromagnetism at the AlGaN/GaN heterojunction. However, the conformation of the ferromagnetism of AlGaN/GaN heterostructure is still difficult and the detailed physical mechanism is still unclear.

  8. Comparison of GaP nanowires grown from Au and Sn vapor-liquid-solid catalysts as photoelectrode materials

    NASA Astrophysics Data System (ADS)

    Lee, Sudarat; Wen, Wen; Cheek, Quintin; Maldonado, Stephen

    2018-01-01

    Gallium phosphide (GaP) nanowire film electrodes have been prepared via solid sublimation of GaP powder using both gold (Au) and tin (Sn) nanoparticles as the vapor-liquid-solid (VLS) catalysts on Si(1 1 1) and GaP(1 1 1)B substrates. The resultant GaP nanowires are compared and contrasted in terms of structures and photoactivity in photoelectrochemical half cells. Raman spectra implicated a difference in the surface condition of the two types of nanowires. Complete wet etching removal of metallic VLS catalysts from the as-prepared GaP nanowires was possible with Sn catalysts but not with Au catalysts. The photoresponses of both Sn- and Au-seeded GaP nanowire films were collected and examined under 100 mW cm-2 white light illumination. Au-seeded nanowire films exhibited strong n-type characteristics when measured in nonaqueous electrolyte with ferrocene/ferricenium as the redox species while Sn-seeded nanowires showed behavior consistent with degenerate n-type doping.

  9. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  10. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  11. Multilayer heterostructures for quantum-cascade lasers operating in the terahertz frequency range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhukov, A. E., E-mail: Zhukale@gmail.com; Cirlin, G. E.; Reznik, R. R.

    2016-05-15

    The results obtained in a study of the structural and optical properties of GaAs/AlGaAs heterostructures with 228 quantum cascades, grown by molecular-beam epitaxy, and in a simulation of interband optical transitions and transitions between the energy levels of a cascade are presented.

  12. A generic approach for vertical integration of nanowires.

    PubMed

    Latu-Romain, E; Gilet, P; Noel, P; Garcia, J; Ferret, P; Rosina, M; Feuillet, G; Lévy, F; Chelnokov, A

    2008-08-27

    We report on the collective integration technology of vertically aligned nanowires (NWs). Si and ZnO NWs have been used in order to develop a generic technological process. Both mineral and organic planarizations of the as-grown nanowires have been achieved. Chemical vapour deposition (CVD) oxides, spin on glass (SOG), and polymer have been investigated as filling materials. Polishing and/or etching of the composite structures have been set up so as to obtain a suitable morphology for the top and bottom electrical contacts. Electrical and optical characterizations of the integrated NWs have been performed. Contacts ohmicity has been demonstrated and specific contact resistances have been reported. The photoconducting properties of polymer-integrated ZnO NWs have also been investigated in the UV-visible range through collective electrical contacts. A small increase of the resistivity in the ZnO NWs under sub-bandgap illumination has been observed and discussed. A comparison of the photoluminescence (PL) spectra at 300 K of the as-grown and SOG-integrated ZnO nanowires has shown no significant impact of the integration process on the crystal quality of the NWs.

  13. Poole-Frenkel effect and phonon-assisted tunneling in GaAs nanowires.

    PubMed

    Katzenmeyer, Aaron M; Léonard, François; Talin, A Alec; Wong, Ping-Show; Huffaker, Diana L

    2010-12-08

    We present electronic transport measurements of GaAs nanowires grown by catalyst-free metal-organic chemical vapor deposition. Despite the nanowires being doped with a relatively high concentration of substitutional impurities, we find them inordinately resistive. By measuring sufficiently high aspect ratio nanowires individually in situ, we decouple the role of the contacts and show that this semi-insulating electrical behavior is the result of trap-mediated carrier transport. We observe Poole-Frenkel transport that crosses over to phonon-assisted tunneling at higher fields, with a tunneling time found to depend predominantly on fundamental physical constants as predicted by theory. By using in situ electron beam irradiation of individual nanowires, we probe the nanowire electronic transport when free carriers are made available, thus revealing the nature of the contacts.

  14. Evaluating focused ion beam patterning for position-controlled nanowire growth using computer vision

    NASA Astrophysics Data System (ADS)

    Mosberg, A. B.; Myklebost, S.; Ren, D.; Weman, H.; Fimland, B. O.; van Helvoort, A. T. J.

    2017-09-01

    To efficiently evaluate the novel approach of focused ion beam (FIB) direct patterning of substrates for nanowire growth, a reference matrix of hole arrays has been used to study the effect of ion fluence and hole diameter on nanowire growth. Self-catalyzed GaAsSb nanowires were grown using molecular beam epitaxy and studied by scanning electron microscopy (SEM). To ensure an objective analysis, SEM images were analyzed with computer vision to automatically identify nanowires and characterize each array. It is shown that FIB milling parameters can be used to control the nanowire growth. Lower ion fluence and smaller diameter holes result in a higher yield (up to 83%) of single vertical nanowires, while higher fluence and hole diameter exhibit a regime of multiple nanowires. The catalyst size distribution and placement uniformity of vertical nanowires is best for low-value parameter combinations, indicating how to improve the FIB parameters for positioned-controlled nanowire growth.

  15. Electroluminescence of ZnO-based semiconductor heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Novodvorskii, O A; Lotin, A A; Panchenko, Vladislav Ya

    2011-01-31

    Using pulsed laser deposition, we have grown n-ZnO/p-GaN, n-ZnO/i-ZnO/p-GaN and n-ZnO/n-Mg{sub 0.2}Zn{sub 0.8}O/i-Cd{sub 0.2}Zn{sub 0.8}O/p-GaN light-emitting diode (LED) heterostructures with peak emission wavelengths of 495, 382 and 465 nm and threshold current densities (used in electroluminescence measurements) of 1.35, 2, and 0.48 A cm{sup -2}, respectively. Because of the spatial carrier confinement, the n-ZnO/n-Mg{sub 0.2}Zn{sub 0.8}O/i-Cd{sub 0.2}Zn{sub 0.8}O/p-GaN double heterostructure LED offers a higher electroluminescence intensity and lower electroluminescence threshold in comparison with the n-ZnO/p-GaN and n-ZnO/i-ZnO/p-GaN LEDs. (lasers)

  16. Preparation and electrical properties of ultrafine Ga2O3 nanowires.

    PubMed

    Huang, Yang; Yue, Shuanglin; Wang, Zhongli; Wang, Qiang; Shi, Chengying; Xu, Z; Bai, X D; Tang, Chengcun; Gu, Changzhi

    2006-01-19

    Uniform and well-crystallized beta-Ga2O3 nanowires are prepared by reacting metal Ga with water vapor based on the vapor-liquid-solid (VLS) mechanism. Electron microscopy studies show that the nanowires have diameters ranging from 10 to 40 nm and lengths up to tens of micrometers. The contact properties of individual Ga2O3 nanowires with Pt or Au/Ti electrodes are studied, respectively, finding that Pt can form Schottky-barrier junctions and Au/Ti is advantageous to fabricate ohmic contacts with individual Ga2O3 nanowires. In ambient air, the conductivity of the Ga2O3 nanowires is about 1 (Omega.m)-1, while with adsorption of NH3 (or NO2) molecules, the conductivity can increase (or decrease) dramatically at room temperature. The as-grown Ga2O3 nanowires have the properties of an n-type semiconductor.

  17. High density group IV semiconductor nanowire arrays fabricated in nanoporous alumina templates

    NASA Astrophysics Data System (ADS)

    Redwing, Joan M.; Dilts, Sarah M.; Lew, Kok-Keong; Cranmer, Alexana E.; Mohney, Suzanne E.

    2005-11-01

    The fabrication of high density arrays of semiconductor nanowires is of interest for nanoscale electronics, chemical and biological sensing and energy conversion applications. We have investigated the synthesis, intentional doping and electrical characterization of Si and Ge nanowires grown by the vapor-liquid-solid (VLS) method in nanoporous alumina membranes. Nanoporous membranes provide a convenient platform for nanowire growth and processing, enabling control of wire diameter via pore size and the integration of contact metals for electrical testing. For VLS growth in nanoporous materials, reduced pressures and temperatures are required in order to promote the diffusion of reactants into the pore without premature decomposition on the membrane surface or pore walls. The effect of growth conditions on the growth rate of Si and Ge nanowires from SiH 4 and GeH 4 sources, respectively, was investigated and compared. In both cases, the measured activation energies for nanowire growth were substantially lower than activation energies typically reported for Si and Ge thin film deposition under similar growth conditions, suggesting that gold plays a catalytic role in the VLS growth process. Intentionally doped SiNW arrays were also prepared using trimethylboron (TMB) and phosphine (PH 3) as p-type and n-type dopant sources, respectively. Nanowire resistivities were calculated from plots of the array resistance as a function of nanowire length. A decrease in resistivity was observed for both n-type and p-type doped SiNW arrays compared to those grown without the addition of a dopant source.

  18. Nanowire-Based Electrode for Acute In Vivo Neural Recordings in the Brain

    PubMed Central

    Suyatin, Dmitry B.; Wallman, Lars; Thelin, Jonas; Prinz, Christelle N.; Jörntell, Henrik; Samuelson, Lars; Montelius, Lars; Schouenborg, Jens

    2013-01-01

    We present an electrode, based on structurally controlled nanowires, as a first step towards developing a useful nanostructured device for neurophysiological measurements in vivo. The sensing part of the electrode is made of a metal film deposited on top of an array of epitaxially grown gallium phosphide nanowires. We achieved the first functional testing of the nanowire-based electrode by performing acute in vivo recordings in the rat cerebral cortex and withstanding multiple brain implantations. Due to the controllable geometry of the nanowires, this type of electrode can be used as a model system for further analysis of the functional properties of nanostructured neuronal interfaces in vivo. PMID:23431387

  19. Single nanowire thermal conductivity measurements by Raman thermography.

    PubMed

    Doerk, Gregory S; Carraro, Carlo; Maboudian, Roya

    2010-08-24

    A facile, rapid, and nondestructive technique for determining the thermal conductivity of individual nanowires based on Raman temperature mapping has been demonstrated. Using calculated absorption efficiencies, the thermal conductivities of single cantilevered Si nanowires grown by the vapor-liquid-solid method are measured and the results agree well with values predicted by diffuse phonon boundary scattering. As a measurement performed on the wire, thermal contact effects are avoided and ambient air convection is found to be negligible for the range of diameters measured. The method's versatility is further exemplified in the reverse measurement of a single nanowire absorption efficiency assuming diffuse phonon boundary scattering. The results presented here outline the broad utility that Raman thermography may have for future thermoelectric and photovoltaic characterization of nanostructures.

  20. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    PubMed

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  1. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    NASA Astrophysics Data System (ADS)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  2. FAST TRACK COMMUNICATION: Electronic structure of a graphene/hexagonal-BN heterostructure grown on Ru(0001) by chemical vapor deposition and atomic layer deposition: extrinsically doped graphene

    NASA Astrophysics Data System (ADS)

    Bjelkevig, Cameron; Mi, Zhou; Xiao, Jie; Dowben, P. A.; Wang, Lu; Mei, Wai-Ning; Kelber, Jeffry A.

    2010-08-01

    A significant BN-to-graphene charge donation is evident in the electronic structure of a graphene/h-BN(0001) heterojunction grown by chemical vapor deposition and atomic layer deposition directly on Ru(0001), consistent with density functional theory. This filling of the lowest unoccupied state near the Brillouin zone center has been characterized by combined photoemission/k vector resolved inverse photoemission spectroscopies, and Raman and scanning tunneling microscopy/spectroscopy. The unoccupied σ*(Γ1 +) band dispersion yields an effective mass of 0.05 me for graphene in the graphene/h-BN(0001) heterostructure, in spite of strong perturbations to the graphene conduction band edge placement.

  3. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, R. Rakesh, E-mail: rakesh.rajaboina@gmail.com; Department of Physics, Indian Institute of Science Education and Research, Bhopal 462066; Rao, K. Narasimha

    2014-04-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400more » °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices.« less

  4. Structural and photoluminescence studies on catalytic growth of silicon/zinc oxide heterostructure nanowires

    PubMed Central

    2013-01-01

    Silicon/zinc oxide (Si/ZnO) core-shell nanowires (NWs) were prepared on a p-type Si(111) substrate using a two-step growth process. First, indium seed-coated Si NWs (In/Si NWs) were synthesized using a plasma-assisted hot-wire chemical vapor deposition technique. This was then followed by the growth of a ZnO nanostructure shell layer using a vapor transport and condensation method. By varying the ZnO growth time from 0.5 to 2 h, different morphologies of ZnO nanostructures, such as ZnO nanoparticles, ZnO shell layer, and ZnO nanorods were grown on the In/Si NWs. The In seeds were believed to act as centers to attract the ZnO molecule vapors, further inducing the lateral growth of ZnO nanorods from the Si/ZnO core-shell NWs via a vapor-liquid-solid mechanism. The ZnO nanorods had a tendency to grow in the direction of [0001] as indicated by X-ray diffraction and high resolution transmission electron microscopy analyses. We showed that the Si/ZnO core-shell NWs exhibit a broad visible emission ranging from 400 to 750 nm due to the combination of emissions from oxygen vacancies in ZnO and In2O3 structures and nanocrystallite Si on the Si NWs. The hierarchical growth of straight ZnO nanorods on the core-shell NWs eventually reduced the defect (green) emission and enhanced the near band edge (ultraviolet) emission of the ZnO. PMID:23590803

  5. Bandgap Tuning of GaAs/GaAsSb Core-Shell Nanowires Grown by Molecular Beam Epitaxy

    DTIC Science & Technology

    2015-09-21

    SECURITY CLASSIFICATION OF: Semiconductor nanowires have been identified as a viable technology for next-generation infrared (IR) photodetectors with... nanowires , by varying the Sb content using Ga-assisted molecular beam epitaxy. An increase in Sb content leads to strain accumulation in shell...manifesting in rough surface morphology, multifaceted growths, curved nanowires , and deterioration in the 1. REPORT DATE (DD-MM-YYYY) 4. TITLE AND SUBTITLE

  6. Optical study of hydrogen-irradiated GaAsN/GaAs heterostructures

    NASA Astrophysics Data System (ADS)

    Geddo, M.; Patrini, M.; Guizzetti, G.; Galli, M.; Trotta, R.; Polimeni, A.; Capizzi, M.; Martelli, F.; Rubini, S.

    2011-06-01

    The effect of hydrogen irradiation on the optical properties of GaAs1-xNx/GaAs heterostructures was investigated using photoreflectance and reflectance techniques. Systematic measurements performed on both as-grown and hydrogenated samples for N-concentrations ranging from 0.0% to 3.5% and for H-implanted doses from 3 × 1018 to 6 × 1018 ions/cm2 have shown that (a) the H-induced widening of the energy gap is accompanied by a decrease of the refractive index of the H-treated samples with respect to the as-grown ones, resulting in an index mismatch that can be as large as 2% in the subgap spectral region; and (b) the presence of compressive strain in fully passivated GaAsN determines a decrease of the refractive index even below that of GaAs that can be eliminated via moderate thermal annealing. These findings are promising for the development of heterostructures with planar geometry, in which the simultaneous confinement of both carriers and photons, even on a nanometric scale, can be obtained in a single step process.

  7. Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires.

    PubMed

    Mankin, Max N; Day, Robert W; Gao, Ruixuan; No, You-Shin; Kim, Sun-Kyung; McClelland, Arthur A; Bell, David C; Park, Hong-Gyu; Lieber, Charles M

    2015-07-08

    Integration of compound semiconductors with silicon (Si) has been a long-standing goal for the semiconductor industry, as direct band gap compound semiconductors offer, for example, attractive photonic properties not possible with Si devices. However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures challenging. Nanowires (NWs) are a promising platform for the integration of Si and compound semiconductors since their limited surface area can alleviate such material mismatch issues. Here, we demonstrate facet-selective growth of cadmium sulfide (CdS) on Si NWs. Aberration-corrected transmission electron microscopy analysis shows that crystalline CdS is grown epitaxially on the {111} and {110} surface facets of the Si NWs but that the Si{113} facets remain bare. Further analysis of CdS on Si NWs grown at higher deposition rates to yield a conformal shell reveals a thin oxide layer on the Si{113} facet. This observation and control experiments suggest that facet-selective growth is enabled by the formation of an oxide, which prevents subsequent shell growth on the Si{113} NW facets. Further studies of facet-selective epitaxial growth of CdS shells on micro-to-mesoscale wires, which allows tuning of the lateral width of the compound semiconductor layer without lithographic patterning, and InP shell growth on Si NWs demonstrate the generality of our growth technique. In addition, photoluminescence imaging and spectroscopy show that the epitaxial shells display strong and clean band edge emission, confirming their high photonic quality, and thus suggesting that facet-selective epitaxy on NW substrates represents a promising route to integration of compound semiconductors on Si.

  8. Water-vapor-enhanced growth of Ge GeOx core shell nanowires and Si1-xGexOy nanowires

    NASA Astrophysics Data System (ADS)

    Hsu, Ting-Jui; Ko, Chih-Yuan; Lin, Wen-Tai

    2007-09-01

    The effects of moist Ar on the growth of Ge-GeOx core-shell nanowires (Ge-GeOx NWs) and Si1-xGexOy nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO2 powders at 1100 °C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeOx NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 °C in enhancing the growth of SiGeONWs and Ge-GeOx NWs, respectively. The growth mechanisms of Ge-GeOx NWs and SiGeONWs are also discussed.

  9. Studies on the InAlN/InGaN/InAlN/InGaN double channel heterostructures with low sheet resistance

    NASA Astrophysics Data System (ADS)

    Zhang, Yachao; Wang, Zhizhe; Xu, Shengrui; Chen, Dazheng; Bao, Weimin; Zhang, Jinfeng; Zhang, Jincheng; Hao, Yue

    2017-11-01

    High quality InAlN/InGaN/InAlN/InGaN double channel heterostructures were proposed and grown by metal organic chemical vapor deposition. Benefiting from the adoption of the pulsed growth method and Two-Step AlN interlayer, the material quality and interface characteristics of the double channel heterostructures are satisfactory. The results of the temperature-dependent Hall effect measurement indicated that the transport properties of the double channel heterostructures were superior to those of the traditional single channel heterostructures in the whole test temperature range. Meanwhile, the sheet resistance of the double channel heterostructures reached 218.5 Ω/□ at 300 K, which is the record of InGaN-based heterostructures. The good transport properties of the InGaN double channel heterostructures are beneficial to improve the performance of the microwave power devices based on nitride semiconductors.

  10. Characterization of high-{kappa} LaLuO{sub 3} thin film grown on AlGaN/GaN heterostructure by molecular beam deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang Shu; Huang Sen; Chen Hongwei

    2011-10-31

    We report the study of high-dielectric-constant (high-{kappa}) dielectric LaLuO{sub 3} (LLO) thin film that is grown on AlGaN/GaN heterostructure by molecular beam deposition (MBD). The physical properties of LLO on AlGaN/GaN heterostrucure have been investigated with atomic force microscopy, x-ray photoelectron spectroscopy, and TEM. It is revealed that the MBD-grown 16 nm-thick LLO film is polycrystalline with a thin ({approx}2 nm) amorphous transition layer at the LLO/GaN interface. The bandgap of LLO is derived as 5.3 {+-} 0.04 eV from O1s energy loss spectrum. Capacitance-voltage (C-V) characteristics of a Ni-Au/LLO/III-nitride metal-insulator-semiconductor diode exhibit small frequency dispersion (<2%) and reveal amore » high effective dielectric constant of {approx}28 for the LLO film. The LLO layer is shown to be effective in suppressing the reverse and forward leakage current in the MIS diode. In particular, the MIS diode forward current is reduced by 7 orders of magnitude at a forward bias of 1 V compared to a conventional Ni-Au/III-nitride Schottky diode.« less

  11. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  12. Thermoelectric Properties and Thermal Tolerance of Indium Tin Oxide Nanowires.

    PubMed

    Hernandez, Jose A; Carpena Nunez, Jennifer; Fonseca, Luis F; Pettes, Michael Thompson; Yacaman, Miguel Jose; Benitez, Alfredo

    2018-06-14

    Single-crystalline indium tin oxide (ITO) nanowires were grown via a vapor-liquid-solid (VLS) method, with thermal tolerance up to ~1300°C. We report the electric and thermoelectric properties of the ITO nanowires before and after heat treatments and draw conclusions about their applicability as thermoelectric building blocks in nanodevices that can operate in high temperature conditions. The Seebeck coefficient and the thermal and electrical conductivities were measured in each individual nanowire by means of specialized micro-bridge thermometry devices. Measured data was analyzed and explained in terms of changes in charge carrier density, impurities and vacancies due to the thermal treatments. © 2018 IOP Publishing Ltd.

  13. In situ catalytic growth of large-area multilayered graphene/MoS2 heterostructures.

    PubMed

    Fu, Wei; Du, Fei-Hu; Su, Juan; Li, Xin-Hao; Wei, Xiao; Ye, Tian-Nan; Wang, Kai-Xue; Chen, Jie-Sheng

    2014-04-14

    Stacking various two-dimensional atomic crystals on top of each other is a feasible approach to create unique multilayered heterostructures with desired properties. Herein for the first time, we present a controlled preparation of large-area graphene/MoS2 heterostructures via a simple heating procedure on Mo-oleate complex coated sodium sulfate under N2 atmosphere. Through a direct in situ catalytic reaction, graphene layer has been uniformly grown on the MoS2 film formed by the reaction of Mo species with Species, which is from the carbothermal reduction of sodium sulfate. Due to the excellent graphene "painting" on MoS2 atomic layers, the significantly shortened lithium ion diffusion distance and the markedly enhanced electronic conductivity, these multilayered graphene/MoS2 heterostructures exhibit high specific capacity, unprecedented rate performance and outstanding cycling stability, especially at a high current density, when used as an anode material for lithium batteries. This work provides a simple but efficient route for the controlled fabrication of large-area multilayered graphene/metal sulfide heterostructures with promising applications in battery manufacture, electronics or catalysis.

  14. In situ catalytic growth of large-area multilayered graphene/MoS2 heterostructures

    NASA Astrophysics Data System (ADS)

    Fu, Wei; Du, Fei-Hu; Su, Juan; Li, Xin-Hao; Wei, Xiao; Ye, Tian-Nan; Wang, Kai-Xue; Chen, Jie-Sheng

    2014-04-01

    Stacking various two-dimensional atomic crystals on top of each other is a feasible approach to create unique multilayered heterostructures with desired properties. Herein for the first time, we present a controlled preparation of large-area graphene/MoS2 heterostructures via a simple heating procedure on Mo-oleate complex coated sodium sulfate under N2 atmosphere. Through a direct in situ catalytic reaction, graphene layer has been uniformly grown on the MoS2 film formed by the reaction of Mo species with S pecies, which is from the carbothermal reduction of sodium sulfate. Due to the excellent graphene ``painting'' on MoS2 atomic layers, the significantly shortened lithium ion diffusion distance and the markedly enhanced electronic conductivity, these multilayered graphene/MoS2 heterostructures exhibit high specific capacity, unprecedented rate performance and outstanding cycling stability, especially at a high current density, when used as an anode material for lithium batteries. This work provides a simple but efficient route for the controlled fabrication of large-area multilayered graphene/metal sulfide heterostructures with promising applications in battery manufacture, electronics or catalysis.

  15. CdS nanowires formed by chemical synthesis using conjugated single-stranded DNA molecules

    NASA Astrophysics Data System (ADS)

    Sarangi, S. N.; Sahu, S. N.; Nozaki, S.

    2018-03-01

    CdS nanowires were successfully grown by chemical synthesis using two conjugated single-stranded (ss) DNA molecules, poly G (30) and poly C (30), as templates. During the early stage of the synthesis with the DNA molecules, the Cd 2+ interacts with Poly G and Poly C and produces the (Cd 2+)-Poly GC complex. As the growth proceeds, it results in nanowires. The structural analysis by grazing angle x-ray diffraction and transmission electron microscopy confirmed the zinc-blende CdS nanowires with the growth direction of <220>. Although the nanowires are well surface-passivated with the DNA molecules, the photoluminescence quenching was caused by the electron transfer from the nanowires to the DNA molecules. The quenching can be used to detect and label the DNAs.

  16. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  17. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  18. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    NASA Astrophysics Data System (ADS)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  19. Charge transfer in crystalline germanium/monolayer MoS 2 heterostructures prepared by chemical vapor deposition

    DOE PAGES

    Lin, Yung-Chen; Bilgin, Ismail; Ahmed, Towfiq; ...

    2016-09-21

    Heterostructuring provides novel opportunities for exploring emergent phenomena and applications by developing designed properties beyond those of homogeneous materials. Advances in nanoscience enable the preparation of heterostructures formed incommensurate materials. Two-dimensional (2D) materials, such as graphene and transition metal dichalcogenides, are of particular interest due to their distinct physical characteristics. There have been recent changes in new research areas related to 2D/2D heterostructures. But, other heterostructures such as 2D/three-dimensional (3D) materials have not been thoroughly studied yet although the growth of 3D materials on 2D materials creating 2D/3D heterostructures with exceptional carrier transport properties has been reported. Here also wemore » report a novel heterostructure composed of Ge and monolayer MoS 2, prepared by chemical vapor deposition. A single crystalline Ge (110) thin film was grown on monolayer MoS 2. The electrical characteristics of Ge and MoS 2 in the Ge/MoS 2 heterostructure were remarkably different from those of isolated Ge and MoS 2. The field-effect conductivity type of the monolayer MoS 2 is converted from n-type to p-type by growth of the Ge thin film on top of it. Undoped Ge on MoS 2 is highly conducting. The observations can be explained by charge transfer in the heterostructure as opposed to chemical doping via the incorporation of impurities, based on our first-principles calculations.« less

  20. Light-Emitting GaAs Nanowires on a Flexible Substrate.

    PubMed

    Valente, João; Godde, Tillmann; Zhang, Yunyan; Mowbray, David J; Liu, Huiyun

    2018-06-18

    Semiconductor nanowire-based devices are among the most promising structures used to meet the current challenges of electronics, optics and photonics. Due to their high surface-to-volume ratio and excellent optical and electrical properties, devices with low power, high efficiency and high density can be created. This is of major importance for environmental issues and economic impact. Semiconductor nanowires have been used to fabricate high performance devices, including detectors, solar cells and transistors. Here, we demonstrate a technique for transferring large-area nanowire arrays to flexible substrates while retaining their excellent quantum efficiency in emission. Starting with a defect-free self-catalyzed molecular beam epitaxy (MBE) sample grown on a Si substrate, GaAs core-shell nanowires are embedded in a dielectric, removed by reactive ion etching and transferred to a plastic substrate. The original structural and optical properties, including the vertical orientation, of the nanowires are retained in the final plastic substrate structure. Nanowire emission is observed for all stages of the fabrication process, with a higher emission intensity observed for the final transferred structure, consistent with a reduction in nonradiative recombination via the modification of surface states. This transfer process could form the first critical step in the development of flexible nanowire-based light-emitting devices.

  1. Stability of the tungsten diselenide and silicon carbide heterostructure against high energy proton exposure

    NASA Astrophysics Data System (ADS)

    Walker, Roger C.; Shi, Tan; Jariwala, Bhakti; Jovanovic, Igor; Robinson, Joshua A.

    2017-10-01

    Single layers of tungsten diselenide (WSe2) can be used to construct ultra-thin, high-performance electronics. Additionally, there has been considerable progress in controlled and direct growth of single layers on various substrates. Based on these results, high-quality WSe2-based devices that approach the limit of physical thickness are now possible. Such devices could be useful for space applications, but understanding how high-energy radiation impacts the properties of WSe2 and the WSe2/substrate interface has been lacking. In this work, we compare the stability against high energy proton radiation of WSe2 and silicon carbide (SiC) heterostructures generated by mechanical exfoliation of WSe2 flakes and by direct growth of WSe2 via metal-organic chemical vapor deposition (MOCVD). These two techniques produce WSe2/SiC heterostructures with distinct differences due to interface states generated during the MOCVD growth process. This difference carries over to differences in band alignment from interface states and the ultra-thin nature of the MOCVD-grown material. Both heterostructures are not susceptible to proton-induced charging up to a dose of 1016 protons/cm2, as measured via shifts in the binding energy of core shell electrons and a decrease in the valence band offset. Furthermore, the MOCVD-grown material is less affected by the proton exposure due to its ultra-thin nature and a greater interaction with the substrate. These combined effects show that the directly grown material is suitable for multi-year use in space, provided that high quality devices can be fabricated from it.

  2. Misfit stresses in a composite core-shell nanowire with an eccentric parallelepipedal core subjected to one-dimensional cross dilatation eigenstrain

    NASA Astrophysics Data System (ADS)

    Krasnitckii, S. A.; Kolomoetc, D. R.; Smirnov, A. M.; Gutkin, M. Yu

    2017-05-01

    The boundary-value problem in the classical theory of elasticity for a core-shell nanowire with an eccentric parallelepipedal core of an arbitrary rectangular cross section is solved. The core is subjected to one-dimensional cross dilatation eigenstrain. The misfit stresses are given in a closed analytical form suitable for theoretical modeling of misfit accommodation in relevant heterostructures.

  3. Lasing in robust cesium lead halide perovskite nanowires

    PubMed Central

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; Wong, Andrew B.; Dou, Letian; Ma, Jie; Wang, Lin-Wang; Leone, Stephen R.; Yang, Peidong

    2016-01-01

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic–inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored and handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry–Pérot lasing occurs in CsPbBr3 nanowires with an onset of 5 μJ cm−2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 109 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication. PMID:26862172

  4. Lasing in robust cesium lead halide perovskite nanowires

    DOE PAGES

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; ...

    2016-02-09

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic-inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored andmore » handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry-Pérot lasing occurs in CsPbBr 3 nanowires with an onset of 5 μJ cm -2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 10 9 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication.« less

  5. Ag-bridged Ag2O nanowire network/TiO2 nanotube array p-n heterojunction as a highly efficient and stable visible light photocatalyst.

    PubMed

    Liu, Chengbin; Cao, Chenghao; Luo, Xubiao; Luo, Shenglian

    2015-03-21

    A unique Ag-bridged Ag2O nanowire network/TiO2 nanotube array p-n heterojunction (Ag-Ag2O/TiO2 NT) was fabricated by simple electrochemical method. Ag nanoparticles were firstly electrochemically deposited onto the surface of TiO2 NT and then were partly oxidized to Ag2O nanowires while the rest of Ag mother nanoparticles were located at the junctions of Ag2O nanowire network. The Ag-Ag2O/TiO2 NT heterostructure exhibited strong visible-light response, effective separation of photogenerated carriers, and high adsorption capacity. The integration of Ag-Ag2O self-stability structure and p-n heterojunction permitted high and stable photocatalytic activity of Ag-Ag2O/TiO2 NT heterostructure photocatalyst. Under 140-min visible light irradiation, the photocatalytic removal efficiency of both dye acid orange 7 (AO7) and industrial chemical p-nitrophenol (PNP) over Ag-Ag2O/TiO2 NT reached nearly 100% much higher than 17% for AO7 or 13% for PNP over bare TiO2 NT. After 5 successive cycles under 600-min simulated solar light irradiation, Ag-Ag2O/TiO2 NT remained highly stable photocatalytic activity. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. Growth Evolution and Characterization of PLD Zn(Mg)O Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Rahm, Andreas; Nobis, Thomas; Lorenz, Michael; Zimmermann, Gregor; Boukos, Nikos; Travlos, Anastasios; Grundmann, Marius

    ZnO and Zn0.98Mg0.02O nanowires have been grown by high-pressure pulsed laser deposition on sapphire substrates covered with gold colloidal particles as nucleation sites. We present a detailed study of the nanowire size and length distribution and of the growth evolution. We find that the aspect ratio varies linearly with deposition time. The linearity coefficient is independent of the catalytic gold particle size and lateral nanowire density. The superior structural quality of the whiskers is proven by X-ray diffraction and transmission electron microscopy. The defect-free ZnO nanowires exhibit a FWHM(2θ-ω) of the ZnO(0002) reflection of 22 arcsec. We show (0-11) step habit planes on the side faces of the nanowires that are a few atomic steps in height. The microscopic homogeneity of the optical properties is confirmed by temperature-dependent cathodoluminescence.

  7. Analysis of magic lengths in growth of supported metallic nanowires

    NASA Astrophysics Data System (ADS)

    Han, Yong

    2014-12-01

    Metallic nanowires can exhibit fascinating physical properties. These unique properties often originate primarily from the quantum confinement of free electrons in a potential well, while electron-electron interactions do not play a decisive role. A recent experimental study shows that self-assembled Ir nanowires grown on Ge(001) surface have a strong length preference: the nanowire lengths are an integer multiple of 4.8 nm. In this paper, a free-electron-gas model for geometries corresponding to the nanowires is used to analyze the selection of these preferred or magic lengths. The model shows that the inclusion of even numbers of free electrons in an Ir nanowire produces these magic lengths once an electron spillage effect is taken into account. The model also shows that the stability of the nanowire diminishes with its increasing length, and consequently suggests why no long nanowires are observed in experiments. It is also shown that applying generic results for quantum size effects in a nanofilm geometry is not adequate to accurately describe the length selection in the rather different nanowire geometry, where the transverse dimensions are smaller than the electron Fermi wavelength. Finally, monatomic Au chain growth on Ge(001) surface is also analyzed. In contrast to Ir nanowires, the model shows that the stability of an Au chain depends strongly on the extent of electron spillage.

  8. Surface diffusion effects on growth of nanowires by chemical beam epitaxy

    NASA Astrophysics Data System (ADS)

    Persson, A. I.; Fröberg, L. E.; Jeppesen, S.; Björk, M. T.; Samuelson, L.

    2007-02-01

    Surface processes play a large role in the growth of semiconductor nanowires by chemical beam epitaxy. In particular, for III-V nanowires the surface diffusion of group-III species is important to understand in order to control the nanowire growth. In this paper, we have grown InAs-based nanowires positioned by electron beam lithography and have investigated the dependence of the diffusion of In species on temperature, group-III and -V source pressure and group-V source combinations by measuring nanowire growth rate for different nanowire spacings. We present a model which relates the nanowire growth rate to the migration length of In species. The model is fitted to the experimental data for different growth conditions, using the migration length as fitting parameter. The results show that the migration length increases with decreasing temperature and increasing group-V/group-III source pressure ratio. This will most often lead to an increase in growth rate, but deviations will occur due to incomplete decomposition and changes in sticking coefficient for group-III species. The results also show that the introduction of phosphorous precursor for growth of InAs1-xPx nanowires decreases the migration length of the In species followed by a decrease in nanowire growth rate.

  9. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  10. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  11. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  12. Ultrafast and sensitive photodetector based on a PtSe2/silicon nanowire array heterojunction with a multiband spectral response from 200 to 1550 nm

    NASA Astrophysics Data System (ADS)

    Zeng, Longhui; Lin, Shenghuang; Lou, Zhenhua; Yuan, Huiyu; Long, Hui; Li, Yanyong; Lu, Wei; Lau, Shu Ping; Wu, Di; Tsang, Yuen Hong

    2018-04-01

    The newly discovered Group-10 transition metal dichalcogenides (TMDs) like PtSe2 have promising applications in high-performance microelectronic and optoelectronic devices due to their high carrier mobilities, widely tunable bandages and ultrastabilities. However, the optoelectronic performance of broadband PtSe2 photodetectors integrated with silicon remains undiscovered. Here, we report the successful preparation of large-scale, uniform and vertically grown PtSe2 films by simple selenization method for the design of a PtSe2/Si nanowire array heterostructure, which exhibited a very good photoresponsivity of 12.65 A/W, a high specific detectivity of 2.5 × 1013 Jones at -5 V and fast rise/fall times of 10.1/19.5 μs at 10 kHz without degradation while being capable of responding to high frequencies of up to 120 kHz. Our work has demonstrated the compatibility of PtSe2 with the existing silicon technology and ultrabroad band detection ranging from deep ultraviolet to optical telecommunication wavelengths, which can largely cover the limitations of silicon detectors. Further investigation of the device revealed pronounced photovoltaic behavior at 0 V, making it capable of operating as a self-powered photodetector. Overall, this representative PtSe2/Si nanowire array-based photodetector offers great potential for applications in next-generation optoelectronic and electronic devices.

  13. Color-Tunable ZnO/GaN Heterojunction LEDs Achieved by Coupling with Ag Nanowire Surface Plasmons.

    PubMed

    Yang, Liu; Wang, Yue; Xu, Haiyang; Liu, Weizhen; Zhang, Cen; Wang, Chunliang; Wang, Zhongqiang; Ma, Jiangang; Liu, Yichun

    2018-05-09

    Color-tunable light-emitting devices (LEDs) have a great impact on our daily life. Herein, LEDs with tunable electroluminescence (EL) color were achieved via introducing Ag nanowires surface plasmons into p-GaN/n-ZnO film heterostructures. By optimizing the surface coverage density of coated Ag nanowires, the EL color was changed continuously from yellow-green to blue-violet. Transient-state and temperature-variable fluorescence emission characterizations uncovered that the spontaneous emission rate and the internal quantum efficiency of the near-UV emission were increased as a consequence of the resonance coupling interaction between Ag nanowires surface plasmons and ZnO excitons. This effect induces the selective enhancement of the blue-violet EL component but suppresses the defect-related yellow-green emission, leading to the observed tunable EL color. The proposed strategy of introducing surface plasmons can be further applied to many other kinds of LEDs for their selective enhancement of EL intensity and effective adjustment of the emission color.

  14. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  15. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    PubMed

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  16. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  17. GaN/NbN epitaxial semiconductor/superconductor heterostructures.

    PubMed

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D Scott; Nepal, Neeraj; Downey, Brian P; Muller, David A; Xing, Huili G; Meyer, David J; Jena, Debdeep

    2018-03-07

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors-silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor-an electronic gain element-to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance-a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  18. Fabrication of nanoscale heterostructures comprised of graphene-encapsulated gold nanoparticles and semiconducting quantum dots for photocatalysis.

    PubMed

    Li, Yuan; Chopra, Nitin

    2015-05-21

    Patterned growth of multilayer graphene shell encapsulated gold nanoparticles (GNPs) and their covalent linking with inorganic quantum dots are demonstrated. GNPs were grown using a xylene chemical vapor deposition process, where the surface oxidized gold nanoparticles catalyze the multilayer graphene shell growth in a single step process. The graphene shell encapsulating gold nanoparticles could be further functionalized with carboxylic groups, which were covalently linked to amine-terminated quantum dots resulting in GNP-quantum dot heterostructures. The compositions, morphologies, crystallinity, and surface functionalization of GNPs and their heterostructures with quantum dots were evaluated using microscopic, spectroscopic, and analytical methods. Furthermore, optical properties of the derived architectures were studied using both experimental methods and simulations. Finally, GNP-quantum dot heterostructures were studied for photocatalytic degradation of phenol.

  19. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  20. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  1. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along theirmore » edges.« less

  2. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S.; Ermakova, M. A.

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for themore » 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.« less

  3. Hierarchical Ni0.54Co0.46O2 nanowire and nanosheet arrays grown on carbon fiber cloth for high-performance supercapacitors

    NASA Astrophysics Data System (ADS)

    Jiang, Yuanzhi; Zhang, Lijuan; Zhang, Hang; Zhang, Cui; Liu, Shuangxi

    2016-10-01

    Hierarchical Ni0.54Co0.46O2 architectures composed by nanowires or nanosheets were successfully grown on bio-mass carbon fiber cloth (CFC) by hydrothermal method. The morphology of Ni0.54Co0.46O2 can be effectively controlled by using different precipitators. The structural effects of the two kinds of morphologies were researched. the results suggest that the Ni0.54Co0.46O2 nanosheet arrays grown on CFC (NCO-NSs/CFC) shows a higher Faradaic areal capacity of 438 μAh cm-2 (238.1 mAh g-1) at a current density of 1 mA cm-2 and still about 90.3% initial capacity retention even at the high current density of 50 mA cm-2. Moreover, an all-solid-state flexible symmetric supercapacitor device has been successfully assembled. The optimized device delivers superior electrochemical performance with an outstanding energy density of 92.4 Wh kg-1 at a power density of 207.2 W kg-1. Such hierarchical nanostructure composed by well-aligned uniform Ni0.54Co0.46O2 nanosheet arrays grown on bio-mass carbon fiber cloth might hold great promise as battery-type electrode material for high-performance supercapacitor.

  4. Induced Superconductivity and Engineered Josephson Tunneling Devices in Epitaxial (111)-Oriented Gold/Vanadium Heterostructures.

    PubMed

    Wei, Peng; Katmis, Ferhat; Chang, Cui-Zu; Moodera, Jagadeesh S

    2016-04-13

    We report a unique experimental approach to create topological superconductors by inducing superconductivity into epitaxial metallic thin film with strong spin-orbit coupling. Utilizing molecular beam epitaxy technique under ultrahigh vacuum conditions, we are able to achieve (111) oriented single phase of gold (Au) thin film grown on a well-oriented vanadium (V) s-wave superconductor film with clean interface. We obtained atomically smooth Au thin films with thicknesses even down to below a nanometer showing near-ideal surface quality. The as-grown V/Au bilayer heterostructure exhibits superconducting transition at around 3.9 K. Clear Josephson tunneling and Andreev reflection are observed in S-I-S tunnel junctions fabricated from the epitaxial bilayers. The barrier thickness dependent tunneling and the associated subharmonic gap structures (SGS) confirmed the induced superconductivity in Au (111), paving the way for engineering thin film heterostructures based on p-wave superconductivity and nano devices exploiting Majorana Fermions for quantum computing.

  5. Prevalence of information stored in arrays of magnetic nanowires against external fields

    NASA Astrophysics Data System (ADS)

    Ceballos, D.; Cisternas, E.; Vogel, E. E.; Allende, S.

    2018-04-01

    Arrays of magnetic nanowires in porous alumina can be used to store information inscribed on the system by orienting the magnetization of selected wires pointing in a desired direction, so symbols can be read as ferromagnetic sectors. However, this information is subject to aging and the stored information could be gradually lost. We investigate here two mechanisms proposed to improve the prevalence of the stored information: opposite ferromagnetic band at the center of the symbol and bi-segmented nanowires acting as two layers of nanowires storing the same information. Both mechanisms prove to increase resistance to the action of external magnetic fields for the case of Ni wires in a geometry compatible with actually grown nanowires. Advantages and disadvantages of these mechanisms are discussed.

  6. Chemical synthesis of oriented ferromagnetic LaSr-2 × 4 manganese oxide molecular sieve nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carretero-Genevrier, Adrián; Gazquez, Jaume; Magen, Cesar

    2012-04-25

    Here we report a chemical solution based method using nanoporous track-etched polymer templates for producing long and oriented LaSr-2 × 4 manganese oxide molecular sieve nanowires. Scanning transmission electron microscopy and electron energy loss spectroscopy analyses show that the nanowires are ferromagnetic at room temperature, single crystalline, epitaxially grown and self-aligned.

  7. Directional and magnetic field enhanced emission of Cu-doped ZnO nanowires/p-GaN heterojunction light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Viana, Bruno; Lupan, Oleg; Pauporté, Thierry

    2011-01-01

    The electrochemical deposition technique was used for the preparation of Cu-doped ZnO-nanowire-based emitters. Nanowires of high structural and optical quality were epitaxially grown on p-GaN single crystalline film substrates. We found that the emission is directional with a wavelength that is tuned and redshifted toward the visible region by doping with Cu in nanowires. Furthermore, Cu-doped ZnO-nanowires show an enhancement of the transition probability under magnetic field.

  8. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission.

    PubMed

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO(2) and In(2)O(3) are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications. This journal is © The Royal Society of Chemistry 2011

  9. Approaching the ideal elastic strain limit in silicon nanowires

    PubMed Central

    Zhang, Hongti; Tersoff, Jerry; Xu, Shang; Chen, Huixin; Zhang, Qiaobao; Zhang, Kaili; Yang, Yong; Lee, Chun-Sing; Tu, King-Ning; Li, Ju; Lu, Yang

    2016-01-01

    Achieving high elasticity for silicon (Si) nanowires, one of the most important and versatile building blocks in nanoelectronics, would enable their application in flexible electronics and bio-nano interfaces. We show that vapor-liquid-solid–grown single-crystalline Si nanowires with diameters of ~100 nm can be repeatedly stretched above 10% elastic strain at room temperature, approaching the theoretical elastic limit of silicon (17 to 20%). A few samples even reached ~16% tensile strain, with estimated fracture stress up to ~20 GPa. The deformations were fully reversible and hysteresis-free under loading-unloading tests with varied strain rates, and the failures still occurred in brittle fracture, with no visible sign of plasticity. The ability to achieve this “deep ultra-strength” for Si nanowires can be attributed mainly to their pristine, defect-scarce, nanosized single-crystalline structure and atomically smooth surfaces. This result indicates that semiconductor nanowires could have ultra-large elasticity with tunable band structures for promising “elastic strain engineering” applications. PMID:27540586

  10. Hydrothermal growth of ZnO nanowires on flexible fabric substrates

    NASA Astrophysics Data System (ADS)

    Hong, Gwang-Wook; Yun, Sang-Ho; Kim, Joo-Hyung

    2016-04-01

    ZnO nanowires (NWs) would provide significant enhancement in sensitivity due to high surface to volume ratio. We investigated the first methodical study on the quantitative relationship between the process parameters of solution concentration ratio, structure, and physical and properties of ZnO NWs grown on different flexible fabric surfaces. To develop a fundamental following concerning various substrates, we controlled the growth speed of ZnO NWs and nanowires on cotton surface with easy and moderate cost fabrication method. Using ammonium hydroxide as the reactant with zinc nitrate hexahydrate, ZnO NWs layer have been grown on metal layers, instead of seed layer. ZnO NWs fabrication was done on different fabric substrates such as wool, nylon and polypropylene (PP). After the ZnO NWs grown to each substrates, we coated insulating layer with polyurethane (PU) and ethyl cellulose for prevent external intervention. Detailed electrical characterization was subsequently performed to reveal the working characteristics of the hybrid fabric. For electrical verification of fabricated ZnO NWs, we implemented measurement impact test and material properties with FFT analyzer and LCR meter.

  11. In2O3-ZnO heterostructure development in electrical and photoluminescence properties of In2O3 1-D nanostructures

    NASA Astrophysics Data System (ADS)

    Shariati, M.; Ghafouri, V.

    2014-05-01

    Indium Oxide quasi one-dimensional (1D) nanostructures known as nanowires and nanorods synthesis using the thermal evaporation method, has been articulated. To nucleate growth sites, substrate seeding promoted 1D nanostructures growth. The catalyst-mediated growth mechanism showed more favorable morphologies and physical properties in under vacuum conditions associated with bottom-up technique. Scanning electron microscopy (SEM) results showed that the Zn-doped 1D nanostructures had spherical caps. The X-ray diffraction (XRD) pattern and energy-dispersive X-ray (EDX) spectrum indicated that these caps intensively associated with ZnO. Therefore, it was reasonable that the vapor-liquid-solid mechanism (VLS) was responsible for the growth of the In2O3-ZnO heterostructure nanowires. This technique enhances optical and electrical properties in nanostructures. The photoluminescence (PL) analysis in Zn-doped In2O3 nanowires and nanorods shows that the intensity of the visible and UV-region emissions overwhelmingly increases and resistance measurement professes the improvement of linear conductance in VLS growth mechanism.

  12. Suspended tungsten-based nanowires with enhanced mechanical properties grown by focused ion beam induced deposition

    NASA Astrophysics Data System (ADS)

    Córdoba, Rosa; Lorenzoni, Matteo; Pablo-Navarro, Javier; Magén, César; Pérez-Murano, Francesc; María De Teresa, José

    2017-11-01

    The implementation of three-dimensional (3D) nano-objects as building blocks for the next generation of electro-mechanical, memory and sensing nano-devices is at the forefront of technology. The direct writing of functional 3D nanostructures is made feasible by using a method based on focused ion beam induced deposition (FIBID). We use this technique to grow horizontally suspended tungsten nanowires and then study their nano-mechanical properties by three-point bending method with atomic force microscopy. These measurements reveal that these nanowires exhibit a yield strength up to 12 times higher than that of the bulk tungsten, and near the theoretical value of 0.1 times the Young’s modulus (E). We find a size dependence of E that is adequately described by a core-shell model, which has been confirmed by transmission electron microscopy and compositional analysis at the nanoscale. Additionally, we show that experimental resonance frequencies of suspended nanowires (in the MHz range) are in good agreement with theoretical values. These extraordinary mechanical properties are key to designing electro-mechanically robust nanodevices based on FIBID tungsten nanowires.

  13. In situ electronic probing of semiconducting nanowires in an electron microscope.

    PubMed

    Fauske, V T; Erlbeck, M B; Huh, J; Kim, D C; Munshi, A M; Dheeraj, D L; Weman, H; Fimland, B O; Van Helvoort, A T J

    2016-05-01

    For the development of electronic nanoscale structures, feedback on its electronic properties is crucial, but challenging. Here, we present a comparison of various in situ methods for electronically probing single, p-doped GaAs nanowires inside a scanning electron microscope. The methods used include (i) directly probing individual as-grown nanowires with a sharp nano-manipulator, (ii) contacting dispersed nanowires with two metal contacts and (iii) contacting dispersed nanowires with four metal contacts. For the last two cases, we compare the results obtained using conventional ex situ litho-graphy contacting techniques and by in situ, direct-write electron beam induced deposition of a metal (Pt). The comparison shows that 2-probe measurements gives consistent results also with contacts made by electron beam induced deposition, but that for 4-probe, stray deposition can be a problem for shorter nanowires. This comparative study demonstrates that the preferred in situ method depends on the required throughput and reliability. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  14. Ultrafast carrier dynamics in band edge and broad deep defect emission ZnSe nanowires

    NASA Astrophysics Data System (ADS)

    Othonos, Andreas; Lioudakis, Emmanouil; Philipose, U.; Ruda, Harry E.

    2007-12-01

    Ultrafast carrier dynamics of ZnSe nanowires grown under different growth conditions have been studied. Transient absorption measurements reveal the dependence of the competing effects of state filling and photoinduced absorption on the probed energy states. The relaxation of the photogenerated carriers occupying defect states in the stoichiometric and Se-rich samples are single exponentials with time constants of 3-4ps. State filling is the main contribution for probe energies below 1.85eV in the Zn-rich grown sample. This ultrafast carrier dynamics study provides an important insight into the role that intrinsic point defects play in the observed photoluminescence from ZnSe nanowires.

  15. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  16. Fabrication of p-n heterostructure ZnO/Si moth-eye structures: Antireflection, enhanced charge separation and photocatalytic properties

    NASA Astrophysics Data System (ADS)

    Zeng, Yu; Chen, XiFang; Yi, Zao; Yi, Yougen; Xu, Xibin

    2018-05-01

    The pyramidal silicon substrate is formed by wet etching, then ZnO nanorods are grown on the surface of the pyramidal microstructure by a hydrothermal method to form a moth-eye composite heterostructure. The composite heterostructure of this material determines its excellent anti-reflection properties and ability to absorb light from all angles. In addition, due to the effective heterojunction binding area, the composite micro/nano structure has excellent photoelectric conversion performance. Its surface structure and the large specific surface area gives the material super hydrophilicity, excellent gas sensing characteristic, and photocatalytic properties. Based on the above characteristics, the micro/nano heterostructure can be used in solar cells, sensors, light-emitting devices, and photocatalytic fields.

  17. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  18. Spin-orbit coupling effects in zinc-blende InSb and wurtzite InAs nanowires: Realistic calculations with multiband k .p method

    NASA Astrophysics Data System (ADS)

    Campos, Tiago; Faria Junior, Paulo E.; Gmitra, Martin; Sipahi, Guilherme M.; Fabian, Jaroslav

    2018-06-01

    A systematic numerical investigation of spin-orbit fields in the conduction bands of III-V semiconductor nanowires is performed. Zinc-blende (ZB) InSb nanowires are considered along [001], [011], and [111] directions, while wurtzite (WZ) InAs nanowires are studied along [0001] and [10 1 ¯0 ] or [11 2 ¯0 ] directions. Robust multiband k .p Hamiltonians are solved by using plane-wave expansions of real-space parameters. In all cases, the linear and cubic spin-orbit coupling parameters are extracted for nanowire widths from 30 to 100 nm. Typical spin-orbit energies are on the μ eV scale, except for WZ InAs nanowires grown along [10 1 ¯0 ] or [11 2 ¯0 ] , in which the spin-orbit energy is about meV, largely independent of the wire diameter. Significant spin-orbit coupling is obtained by applying a transverse electric field, causing the Rashba effect. For an electric field of about 4 mV/nm, the obtained spin-orbit energies are about 1 meV for both materials in all investigated growth directions. The most favorable system, in which the spin-orbit effects are maximal, are WZ InAs nanowires grown along [1010] or [11 2 ¯0 ] since here spin-orbit energies are giant (meV) already in the absence of electric field. The least favorable are InAs WZ nanowires grown along [0001] since here even the electric field does not increase the spin-orbit energies beyond 0.1 meV. The presented results should be useful for investigations of optical orientation, spin transport, weak localization, and superconducting proximity effects in semiconductor nanowires.

  19. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  20. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  1. Uninterrupted and reusable source for the controlled growth of nanowires

    PubMed Central

    Sugavaneshwar, R. P.; Nanda, Karuna Kar

    2013-01-01

    Generally, the length of the oxide nanowires grown by vapor phase transport is limited by the degradation of the source materials. Furthermore, the source material is used once for the nanowires growth. By exploiting the Si-Zn phase diagram, we have developed a simple methodology for the non-catalytic growth of ultralong ZnO nanowires in large area with controllable aspect ratio and branched structures. The insolubility of Zn in Si and the use of a Si cap on the Zn source to prevent local source oxidation of Zn (i. e. prevents the degradation of the source) are the keys to grow longer nanowires without limitations. It has been shown that the aspect ratio can be controlled by thermodynamically (temperature) and more importantly by kinetically (vapor flux). One of the interesting findings is that the same source material can be used for several depositions of oxide nanostructured materials. PMID:23412010

  2. III-V heterostructure tunnel field-effect transistor.

    PubMed

    Convertino, C; Zota, C B; Schmid, H; Ionescu, A M; Moselund, K E

    2018-07-04

    The tunnel field-effect transistor (TFET) is regarded as one of the most promising solid-state switches to overcome the power dissipation challenge in ultra-low power integrated circuits. TFETs take advantage of quantum mechanical tunneling hence exploit a different current control mechanism compared to standard MOSFETs. In this review, we describe state-of-the-art development of TFET both in terms of performances and of materials integration and we identify the main remaining technological challenges such as heterojunction defects and oxide/channel interface traps causing trap-assisted-tunneling (TAT). Mesa-structures, planar as well as vertical geometries are examined. Conductance slope analysis on InAs/GaSb nanowire tunnel diodes are reported, these two-terminal measurements can be relevant to investigate the tunneling behavior. A special focus is dedicated to III-V heterostructure TFET, as different groups have recently shown encouraging results achieving the predicted sub-thermionic low-voltage operation.

  3. III–V heterostructure tunnel field-effect transistor

    NASA Astrophysics Data System (ADS)

    Convertino, C.; Zota, C. B.; Schmid, H.; Ionescu, A. M.; Moselund, K. E.

    2018-07-01

    The tunnel field-effect transistor (TFET) is regarded as one of the most promising solid-state switches to overcome the power dissipation challenge in ultra-low power integrated circuits. TFETs take advantage of quantum mechanical tunneling hence exploit a different current control mechanism compared to standard MOSFETs. In this review, we describe state-of-the-art development of TFET both in terms of performances and of materials integration and we identify the main remaining technological challenges such as heterojunction defects and oxide/channel interface traps causing trap-assisted-tunneling (TAT). Mesa-structures, planar as well as vertical geometries are examined. Conductance slope analysis on InAs/GaSb nanowire tunnel diodes are reported, these two-terminal measurements can be relevant to investigate the tunneling behavior. A special focus is dedicated to III–V heterostructure TFET, as different groups have recently shown encouraging results achieving the predicted sub-thermionic low-voltage operation.

  4. Initial Growth of Single-Crystalline Nanowires: From 3D Nucleation to 2D Growth.

    PubMed

    Huang, Xh; Li, Gh; Sun, Gz; Dou, Xc; Li, L; Zheng, Lx

    2010-04-17

    The initial growth stage of the single-crystalline Sb and Co nanowires with preferential orientation was studied, which were synthesized in porous anodic alumina membranes by the pulsed electrodeposition technique. It was revealed that the initial growth of the nanowires is a three-dimensional nucleation process, and then gradually transforms to two-dimensional growth via progressive nucleation mechanism, which resulting in a structure transition from polycrystalline to single crystalline. The competition among the nuclei inside the nanoscaled-confined channel and the growth kinetics is responsible for the structure transition of the initial grown nanowires.

  5. In situ catalytic growth of large-area multilayered graphene/MoS2 heterostructures

    PubMed Central

    Fu, Wei; Du, Fei-Hu; Su, Juan; Li, Xin-Hao; Wei, Xiao; Ye, Tian-Nan; Wang, Kai-Xue; Chen, Jie-Sheng

    2014-01-01

    Stacking various two-dimensional atomic crystals on top of each other is a feasible approach to create unique multilayered heterostructures with desired properties. Herein for the first time, we present a controlled preparation of large-area graphene/MoS2 heterostructures via a simple heating procedure on Mo-oleate complex coated sodium sulfate under N2 atmosphere. Through a direct in situ catalytic reaction, graphene layer has been uniformly grown on the MoS2 film formed by the reaction of Mo species with S pecies, which is from the carbothermal reduction of sodium sulfate. Due to the excellent graphene “painting” on MoS2 atomic layers, the significantly shortened lithium ion diffusion distance and the markedly enhanced electronic conductivity, these multilayered graphene/MoS2 heterostructures exhibit high specific capacity, unprecedented rate performance and outstanding cycling stability, especially at a high current density, when used as an anode material for lithium batteries. This work provides a simple but efficient route for the controlled fabrication of large-area multilayered graphene/metal sulfide heterostructures with promising applications in battery manufacture, electronics or catalysis. PMID:24728289

  6. Low-Temperature Preparation of Ag-Doped ZnO Nanowire Arrays, DFT Study, and Application to Light-Emitting Diode.

    PubMed

    Pauporté, Thierry; Lupan, Oleg; Zhang, Jie; Tugsuz, Tugba; Ciofini, Ilaria; Labat, Frédéric; Viana, Bruno

    2015-06-10

    Doping ZnO nanowires (NWs) by group IB elements is an important challenge for integrating nanostructures into functional devices with better and tuned performances. The growth of Ag-doped ZnO NWs by electrodeposition at 90 °C using a chloride bath and molecular oxygen precursor is reported. Ag acts as an electrocatalyst for the deposition and influences the nucleation and growth of the structures. The silver atomic concentration in the wires is controlled by the additive concentration in the deposition bath and a content up to 3.7 atomic % is reported. XRD analysis shows that the integration of silver enlarges the lattice parameters of ZnO. The optical measurements also show that the direct optical bandgap of ZnO is reduced by silver doping. The bandgap shift and lattice expansion are explained by first principle calculations using the density functional theory (DFT) on the silver impurity integration as an interstitial (Ag(i)) and as a substitute of zinc atom (Ag(Zn)) in the crystal lattice. They notably indicate that Ag(Zn) doping forms an impurity band because of Ag 4d and O 2p orbital interactions, shifting the Fermi level toward the valence band. At least, Ag-doped ZnO vertically aligned nanowire arrays have been epitaxially grown on GaN(001) substrate. The heterostructure has been inserted in a light emitting device. UV-blue light emission has been achieved with a low emission threshold of 5 V and a tunable red-shifted emission spectrum related to the bandgap reduction induced by silver doping of the ZnO emitter material.

  7. Copper Nanowire Production for Interconnect Applications

    NASA Technical Reports Server (NTRS)

    Han, Jin-Woo (Inventor); Meyyappan, Meyya (Inventor)

    2014-01-01

    A method of fabricating metallic Cu nanowires with lengths up to about 25 micrometers and diameters in a range 20-100 nanometers, or greater if desired. Vertically oriented or laterally oriented copper oxide structures (CuO and/or Cu2O) are grown on a Cu substrate. The copper oxide structures are reduced with 99+ percent H or H2, and in this reduction process the lengths decrease (to no more than about 25 micrometers), the density of surviving nanostructures on a substrate decreases, and the diameters of the surviving nanostructures have a range, of about 20-100 nanometers. The resulting nanowires are substantially pure Cu and can be oriented laterally (for local or global interconnects) or can be oriented vertically (for standard vertical interconnects).

  8. Photosensitization of ZnO nanowires with CdSe quantum dots for photovoltaic devices.

    PubMed

    Leschkies, Kurtis S; Divakar, Ramachandran; Basu, Joysurya; Enache-Pommer, Emil; Boercker, Janice E; Carter, C Barry; Kortshagen, Uwe R; Norris, David J; Aydil, Eray S

    2007-06-01

    We combine CdSe semiconductor nanocrystals (or quantum dots) and single-crystal ZnO nanowires to demonstrate a new type of quantum-dot-sensitized solar cell. An array of ZnO nanowires was grown vertically from a fluorine-doped tin oxide conducting substrate. CdSe quantum dots, capped with mercaptopropionic acid, were attached to the surface of the nanowires. When illuminated with visible light, the excited CdSe quantum dots injected electrons across the quantum dot-nanowire interface. The morphology of the nanowires then provided the photoinjected electrons with a direct electrical pathway to the photoanode. With a liquid electrolyte as the hole transport medium, quantum-dot-sensitized nanowire solar cells exhibited short-circuit currents ranging from 1 to 2 mA/cm2 and open-circuit voltages of 0.5-0.6 V when illuminated with 100 mW/cm2 simulated AM1.5 spectrum. Internal quantum efficiencies as high as 50-60% were also obtained.

  9. Single nanowire light-emitting diodes using uniaxial and coaxial InGaN/GaN multiple quantum wells synthesized by metalorganic chemical vapor deposition.

    PubMed

    Ra, Yong-Ho; Navamathavan, Rangaswamy; Yoo, Hee-Il; Lee, Cheul-Ro

    2014-03-12

    We report the controlled synthesis of InGaN/GaN multiple quantum well (MQW) uniaxial (c-plane) and coaxial (m-plane) nanowire (NW) heterostructures by metalorganic chemical vapor deposition. Two kinds of heterostructure NW light-emitting diodes (LEDs) have been fabricated: (1) 10 pairs of InGaN/GaN MQW layers in the c-plane on the top of n-GaN NWs where Mg-doped p-GaN NW is axially grown (2) p-GaN/10 pairs of InGaN/GaN shell structure were surrounded by n-GaN core. Here, we discuss a comparative analysis based on the m-plane and the c-plane oriented InGaN/GaN MQW NW arrays. High-resolution transmission electron microscopy studies revealed that the barrier and the well structures of MQW were observed to be substantially clear with regular intervals while the interface regions were extremely sharp. The c-plane and m-plane oriented MQW single NW was utilized for the parallel assembly fabrication of the LEDs via a focused ion beam. The polarization induced effects on the c-plane and m-plane oriented MQW NWs were precisely compared via power dependence electroluminescence. The electrical properties of m-plane NWs exhibited superior characteristics than that of c-plane NWs owing to the absence of piezoelectric polarization fields. According to this study, high-quality m-plane coaxial NWs can be utilized for the realization of high-brightness LEDs.

  10. Bi nanowire-based thermal biosensor for the detection of salivary cortisol using the Thomson effect

    NASA Astrophysics Data System (ADS)

    Lee, Seunghyun; Hyun Lee, Jung; Kim, MinGin; Kim, Jeongmin; Song, Min-Jung; Jung, Hyo-Il; Lee, Wooyoung

    2013-09-01

    We present a study of a thermal biosensor based on bismuth nanowire that is fabricated for the detection of the human stress hormone cortisol using the Thomson effect. The Bi nanowire was grown using the On-Film Formation of Nanowires (OFF-ON) method. The thermal device was fabricated using photolithography, and the sensing area was modified with immobilized anti-cortisol antibodies conjugated with protein G for the detection of cortisol. The voltages were measured with two probe tips during surface modification to investigate the biochemical reactions in the fabricated thermal biosensor. The Bi nanowire-based thermal biosensor exhibited low detection limit and good selectivity for the detection of cortisol.

  11. Selectivity shifting behavior of Pd nanoparticles loaded zinc stannate/zinc oxide (Zn2SnO4/ZnO) nanowires sensors

    NASA Astrophysics Data System (ADS)

    Arafat, M. M.; Ong, J. Y.; Haseeb, A. S. M. A.

    2018-03-01

    In this research, the gas sensing behavior of Pd nanoparticles loaded zinc stannate/zinc oxide (Zn2SnO4/ZnO) nanowires were investigated. The Zn2SnO4/ZnO nanowires were grown on Au interdigitated alumina substrate by carbon assisted thermal evaporation process. Pd nanoparticles were loaded on the Zn2SnO4/ZnO nanowires by wet reduction process. The nanowires were characterized by X-ray diffractometer, field emission scanning electron microscope and energy dispersive X-ray spectroscope. The Zn2SnO4/ZnO and Pd nanoparticles loaded Zn2SnO4/ZnO nanowires were investigated for detecting H2, H2S and C2H5OH gases in N2 background. Results revealed that the average diameter and length of as-grown Zn2SnO4/ZnO nanowires were 74 nm and 30 μm, respectively. During wet reduction process,Pd particles having size of 20-60 nm were evenly distributed on the Zn2SnO4/ZnO nanowires. The Zn2SnO4/ZnO nanowires based sensors showed selective response towards C2H5OH whereas Pd nanoparticles loaded Zn2SnO4/ZnO nanowires showed selective response towards H2. The recovery time of the sensors reduced with Pd loading on Zn2SnO4/ZnO nanowires. A mechanism is proposed to elucidate the gas sensing mechanism of Pd nanoparticles loaded Zn2SnO4/ZnO nanowires.

  12. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE PAGES

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin; ...

    2015-04-06

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  13. A possible oriented attachment growth mechanism for silver nanowire formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Murph, Simona E. Hunyadi; Murphy, Catherine J.; Leach, Austin

    Electron microscopy studies suggest that silver nanowires prepared by an approach reported earlier by us (Caswell, K. K., Bender, C. M., Murphy, C. J. Nano Lett.,2003, 3, 667–669) form through a coarsening process via an oriented attachment mechanism. Initially, silver nucleation centers were produced by chemical reduction of silver ions in boiling water, with sodium citrate and sodium hydroxide as additives in solution. These nucleation centers, with a twinned crystallographic orientation, ultimately merge into fully grown silver nanowires. This is a completely different mechanism from the seed-mediated growth approach, which has also been used to produce silver nanowires. Furthermore, companionmore » molecular dynamics performed with the embedded atom method are in agreement with our experimental data.« less

  14. PbSe-Based Colloidal Core/Shell Heterostructures for Optoelectronic Applications

    PubMed Central

    Zaiats, Gary; Yanover, Diana; Vaxenburg, Roman; Tilchin, Jenya; Sashchiuk, Aldona; Lifshitz, Efrat

    2014-01-01

    Lead-based (IV–VI) colloidal quantum dots (QDs) are of widespread scientific and technological interest owing to their size-tunable band-gap energy in the near-infrared optical region. This article reviews the synthesis of PbSe-based heterostructures and their structural and optical investigations at various temperatures. The review focuses on the structures consisting of a PbSe core coated with a PbSexS1–x (0 ≤ x ≤ 1) or CdSe shell. The former-type shells were epitaxially grown on the PbSe core, while the latter-type shells were synthesized using partial cation-exchange. The influence of the QD composition and the ambient conditions, i.e., exposure to oxygen, on the QD optical properties, such as radiative lifetime, Stokes shift, and other temperature-dependent characteristics, was investigated. The study revealed unique properties of core/shell heterostructures of various compositions, which offer the opportunity of fine-tuning the QD electronic structure by changing their architecture. A theoretical model of the QD electronic band structure was developed and correlated with the results of the optical studies. The review also outlines the challenges related to potential applications of colloidal PbSe-based heterostructures. PMID:28788244

  15. A high density two-dimensional electron gas in an oxide heterostructure on Si (001)

    NASA Astrophysics Data System (ADS)

    Jin, E. N.; Kornblum, L.; Kumah, D. P.; Zou, K.; Broadbridge, C. C.; Ngai, J. H.; Ahn, C. H.; Walker, F. J.

    2014-11-01

    We present the growth and characterization of layered heterostructures comprised of LaTiO3 and SrTiO3 epitaxially grown on Si (001). Magnetotransport measurements show that the sheet carrier densities of the heterostructures scale with the number of LaTiO3/SrTiO3 interfaces, consistent with the presence of an interfacial 2-dimensional electron gas (2DEG) at each interface. Sheet carrier densities of 8.9 × 1014 cm-2 per interface are observed. Integration of such high density oxide 2DEGs on silicon provides a bridge between the exceptional properties and functionalities of oxide 2DEGs and microelectronic technologies.

  16. Positioning growth of NPB crystalline nanowires on the PTCDA nanocrystal template.

    PubMed

    Wang, Hong; Lin, Haiping; Fan, Xing; Ostendorp, Stefan; Wang, Yandong; Huang, Lizhen; Jiang, Lin; Li, Youyong; Wilde, Gerhard; Fuchs, Harald; Wang, Wenchong; Chi, Lifeng

    2018-05-31

    Non-planar organic molecules often form amorphous films via vapor phase deposition on surfaces. In this study, we demonstrate for the first time that direct crystalline growth of non-planar NPB is possible when the orientation of initially deposited molecules on a PTCDA nanocrystal template is controlled to make it analogous to the structure of the molecular crystal. The crystalline NPB nanowires can be further positioned by controlling the site-selective growth of PTCDA nanocrystal templates at pre-determined locations. Short channel bottom contact OFET array with the NPB nanowires directly grown on electrodes were subsequently fabricated. The hole mobility of NPB nanowires is improved by 40-fold in comparison to that of the amorphous films.

  17. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  18. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    PubMed

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  19. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  20. Development of Highly Ordered Heterostructured Semiconductor Nanowire Arrays for Sub-Wavelength Optical Devices

    DTIC Science & Technology

    2007-06-01

    properties of nanowires" J. Appl. Phys 98, 094306 (2005) 9. Harry E. Ruda and Alexander Shik, "Polarization-sensitive optical properties of metallic and...34Biexcitons in parabolic quantum dots", Phys. Rev. B. 73, 125321 (2006). 11. M. Blumin, H.E. Ruda, I. Savelyev , A Shik and H. Wang, "Self-assembled InAs

  1. Optimizing "self-wicking" nanowire grids.

    PubMed

    Wei, Hui; Dandey, Venkata P; Zhang, Zhening; Raczkowski, Ashleigh; Rice, Willam J; Carragher, Bridget; Potter, Clinton S

    2018-05-01

    We have developed a self-blotting TEM grid for use with a novel instrument for vitrifying samples for cryo-electron microscopy (cryoEM). Nanowires are grown on the copper surface of the grid using a simple chemical reaction and the opposite smooth side is used to adhere to a holey sample substrate support, for example carbon or gold. When small volumes of sample are applied to the nanowire grids the wires effectively act as blotting paper to rapidly wick away the liquid, leaving behind a thin film. In this technical note, we present a detailed description of how we make these grids using a variety of substrates fenestrated with either lacey or regularly spaced holes. We explain how we characterize the quality of the grids and we describe their behavior under a variety of conditions. Copyright © 2018 Elsevier Inc. All rights reserved.

  2. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  3. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  4. Cobalt silicide nanocables grown on Co films: synthesis and physical properties.

    PubMed

    Hsin, Cheng-Lun; Yu, Shih-Ying; Wu, Wen-Wei

    2010-12-03

    Single-crystalline cobalt silicide/SiO(x) nanocables have been grown on Co thin films on an SiO(2) layer by a self-catalysis process via vapor-liquid-solid mechanism. The nanocables consist of a core of CoSi nanowires and a silicon oxide shell with a length of several tens of micrometers. In the confined space in the oxide shell, the CoSi phase is stable and free from agglomeration in samples annealed in air ambient at 900 °C for 1 h. The nanocable structure came to a clear conclusion that the thermal stability of the silicide nanowires can be resolved by the shell encapsulation. Cobalt silicide nanowires were obtained from the nanocable structure. The electrical properties of the CoSi nanowires have been found to be compatible with their thin film counterpart and a high maximum current density of the nanowires has been measured. One way to obtain silicate nanowires has been demonstrated. The silicate compound, which is composed of cobalt, silicon and oxygen, was achieved. The Co silicide/oxide nanocables are potentially useful as a key component of silicate nanowires, interconnects and magnetic units in nanoelectronics.

  5. Plasmonic Nanowires for Wide Wavelength Range Molecular Sensing.

    PubMed

    Marinaro, Giovanni; Das, Gobind; Giugni, Andrea; Allione, Marco; Torre, Bruno; Candeloro, Patrizio; Kosel, Jurgen; Di Fabrizio, Enzo

    2018-05-17

    In this paper, we propose the use of a standing nanowires array, constituted by plasmonic active gold wires grown on iron disks, and partially immersed in a supporting alumina matrix, for surface-enhanced Raman spectroscopy applications. The galvanic process was used to fabricate nanowires in pores of anodized alumina template, making this device cost-effective. This fabrication method allows for the selection of size, diameter, and spatial arrangement of nanowires. The proposed device, thanks to a detailed design analysis, demonstrates a broadband plasmonic enhancement effect useful for many standard excitation wavelengths in the visible and NIR. The trigonal pores arrangement gives an efficiency weakly dependent on polarization. The devices, tested with 633 and 830 nm laser lines, show a significant Raman enhancement factor, up to around 6 × 10⁴, with respect to the flat gold surface, used as a reference for the measurements of the investigated molecules.

  6. Low-Temperature Selective Growth of Tungsten Oxide Nanowires by Controlled Nanoscale Stress Induction

    PubMed Central

    Na, Hyungjoo; Eun, Youngkee; Kim, Min-Ook; Choi, Jungwook; Kim, Jongbaeg

    2015-01-01

    We report a unique approach for the patterned growth of single-crystalline tungsten oxide (WOx) nanowires based on localized stress-induction. Ions implanted into the desired growth area of WOx thin films lead to a local increase in the compressive stress, leading to the growth of nanowire at lower temperatures (600 °C vs. 750–900 °C) than for equivalent non-implanted samples. Nanowires were successfully grown on the microscale patterns using wafer-level ion implantation and on the nanometer scale patterns using a focused ion beam (FIB). Experimental results show that nanowire growth is influenced by a number of factors including the dose of the implanted ions and their atomic radius. The implanted-ion-assisted, stress-induced method proposed here for the patterned growth of WOx nanowires is simpler than alternative approaches and enhances the compatibility of the process by reducing the growth temperature. PMID:26666843

  7. A Hierarchical Phosphorus Nanobarbed Nanowire Hybrid: Its Structure and Electrochemical Properties.

    PubMed

    Zhao, Dan; Li, Beibei; Zhang, Jinying; Li, Xin; Xiao, Dingbin; Fu, Chengcheng; Zhang, Lihui; Li, Zhihui; Li, Jun; Cao, Daxian; Niu, Chunming

    2017-06-14

    Nanostructured phosphorus-carbon composites are promising materials for Li-ion and Na-ion battery anodes. A hierarchical phosphorus hybrid, SiC@graphene@P, has been synthesized by the chemical vapor deposition of phosphorus on the surfaces of barbed nanowires, where the barbs are vertically grown graphene nanosheets and the cores are SiC nanowires. A temperature-gradient vaporization-condensation method has been used to remove the unhybridized phosphorus particles formed by homogeneous nucleation. The vertically grown barb shaped graphene nanosheets and a high concentration of edge carbon atoms induced a fibrous red phosphorus (f-RP) growth with its {001} planes in parallel to {002} planes of nanographene sheets and led to a strong interpenetrated interface interaction between phosphorus and the surfaces of graphene nanosheets. This hybridization has been demonstrated to significantly enhance the electrochemical performances of phosphorus.

  8. ZnO nanowires for tunable near-UV/blue LED

    NASA Astrophysics Data System (ADS)

    Pauporté, Thierry; Lupan, Oleg; Viana, Bruno

    2012-02-01

    Nanowires (NWs)-based light emitting diodes (LEDs) have drawn large interest due to many advantages compared to thin film based devices. Markedly improved performances are expected from nanostructured active layers for light emission. Nanowires can act as direct waveguides and favor light extraction without the use of lenses and reflectors. Moreover, the use of wires avoids the presence of grain boundaries and then the emission efficiency should be boosted by the absence of non-radiative recombinations at the joint defects. Electrochemical deposition technique was used for the preparation of ZnO-NWs based light emitters. Nanowires of high structural and optical quality have been epitaxially grown on p-GaN single crystalline films substrates. We have shown that the emission is directional with a wavelength that was tuned and red-shifted toward the visible region by doping with Cu in ZnO NWs.

  9. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    PubMed

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  10. Giant spin splitting in optically active ZnMnTe/ZnMgTe core/shell nanowires.

    PubMed

    Wojnar, Piotr; Janik, Elżbieta; Baczewski, Lech T; Kret, Sławomir; Dynowska, Elżbieta; Wojciechowski, Tomasz; Suffczyński, Jan; Papierska, Joanna; Kossacki, Piotr; Karczewski, Grzegorz; Kossut, Jacek; Wojtowicz, Tomasz

    2012-07-11

    An enhancement of the Zeeman splitting as a result of the incorporation of paramagnetic Mn ions in ZnMnTe/ZnMgTe core/shell nanowires is reported. The studied structures are grown by gold-catalyst assisted molecular beam epitaxy. The near band edge emission of these structures, conspicuously absent in the case of uncoated ZnMnTe nanowires, is activated by the presence of ZnMgTe coating. Giant Zeeman splitting of this emission is studied in ensembles of nanowires with various average Mn concentrations of the order of a few percent, as well as in individual nanowires. Thus, we show convincingly that a strong spin sp-d coupling is indeed present in these structures.

  11. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  12. Effects of growth temperature on the properties of InGaN channel heterostructures grown by pulsed metal organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Yachao; Zhou, Xiaowei; Xu, Shengrui

    Pulsed metal organic chemical vapor deposition (P-MOCVD) is introduced into the growth of high quality InGaN channel heterostructures. The effects of InGaN channel growth temperature on the structural and transport properties of the heterostructures are investigated in detail. High resolution x-ray diffraction (HRXRD) and Photoluminescence (PL) spectra indicate that the quality of InGaN channel strongly depends on the growth temperature. Meanwhile, the atomic force microscopy (AFM) results show that the interface morphology between the InGaN channel and the barrier layer also relies on the growth temperature. Since the variation of material properties of InGaN channel has a significant influence onmore » the electrical properties of InAlN/InGaN heterostructures, the optimal transport properties can be achieved by adjusting the growth temperature. A very high two dimension electron gas (2DEG) density of 1.92 × 10{sup 13} cm{sup −2} and Hall electron mobility of 1025 cm{sup 2}/(V⋅s) at room temperature are obtained at the optimal growth temperature around 740 °C. The excellent transport properties in our work indicate that the heterostructure with InGaN channel is a promising candidate for the microwave power devices, and the results in this paper will be instructive for further study of the InGaN channel heterostructures.« less

  13. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    DTIC Science & Technology

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  14. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  15. The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD

    NASA Astrophysics Data System (ADS)

    Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng

    2018-05-01

    In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.

  16. Dye-sensitized solar cells with vertically aligned TiO2 nanowire arrays grown on carbon fibers.

    PubMed

    Cai, Xin; Wu, Hongwei; Hou, Shaocong; Peng, Ming; Yu, Xiao; Zou, Dechun

    2014-02-01

    One-dimensional semiconductor TiO2 nanowires (TNWs) have received widespread attention from solar cell and related optoelectronics scientists. The controllable synthesis of ordered TNW arrays on arbitrary substrates would benefit both fundamental research and practical applications. Herein, vertically aligned TNW arrays in situ grown on carbon fiber (CF) substrates through a facile, controllable, and seed-assisted thermal process is presented. Also, hierarchical TiO2 -nanoparticle/TNW arrays were prepared that favor both the dye loading and depressed charge recombination of the CF/TNW photoanode. An impressive conversion efficiency of 2.48 % (under air mass 1.5 global illumination) and an apparent efficiency of 4.18 % (with a diffuse board) due to the 3D light harvesting of the wire solar cell were achieved. Moreover, efficient and inexpensive wire solar cells made from all-CF electrodes and completely flexible CF-based wire solar cells were demonstrated, taking into account actual application requirements. This work may provide an intriguing avenue for the pursuit of lightweight, cost-effective, and high-performance flexible/wearable solar cells. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Rational Design and Nanoscale Integration of Multi-Heterostructures as Highly Efficient Photocatalysts

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duan, Xiangfeng

    2017-11-03

    The central goal of this project is to design and synthesize complex multi-hetero-nanostructures and fundamental investigation of their potential as efficient and robust photocatalysts. Specifically, the project aims to develop a nanoscale light-harvesting antenna that can efficiently convert solar photon energy into excited electrons and holes, and integrate such antenna with efficient redox nanocatalysts that can harness the photo-generated carriers for productive electrochemical processes. Focusing on this central goal, we have investigated several potential light-harvesting antennas including: silicon nanowires, nitrogen-doped TiO2 nanowires and the emerging perovskite materials. We also devoted considerable effort in developing electrocatalysts including: hydrogen evolution reaction (HER)more » catalysts, oxygen evolution reaction (OER) catalysts and oxygen reduction reaction catalysts (ORR). In previous annual reports, we have described our effort in the synthesis and photoelectrochemical properties of silicon, TiO2, perovskite-based materials and heterostructures. Here, we focus our discussion on the recent effort in investigating charge transport dynamics in organolead halide perovskites, as well as carbon nanostructure and platinum nanostructure-based electrocatalysts for energy conversion and storage.« less

  18. Highly aligned arrays of high aspect ratio barium titanate nanowires via hydrothermal synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowland, Christopher C.; Zhou, Zhi; Malakooti, Mohammad H.

    2015-06-01

    We report on the development of a hydrothermal synthesis procedure that results in the growth of highly aligned arrays of high aspect ratio barium titanate nanowires. Using a multiple step, scalable hydrothermal reaction, a textured titanium dioxide film is deposited on titanium foil upon which highly aligned nanowires are grown via homoepitaxy and converted to barium titanate. Scanning electron microscope images clearly illustrate the effect the textured film has on the degree of orientation of the nanowires. The alignment of nanowires is quantified by calculating the Herman's Orientation Factor, which reveals a 58% improvement in orientation as compared to growthmore » in the absence of the textured film. The ferroelectric properties of barium titanate combined with the development of this scalable growth procedure provide a powerful route towards increasing the efficiency and performance of nanowire-based devices in future real-world applications such as sensing and power harvesting.« less

  19. Surface properties of anatase TiO2 nanowire films grown from a fluoride-containing solution.

    PubMed

    Berger, Thomas; Anta, Juan A; Morales-Flórez, Víctor

    2013-06-03

    Controlling the surface chemistry of nucleating seeds during wet-chemical synthesis allows for the preparation of morphologically well-defined nanostructures. Synthesis conditions play a key role in the surface properties, which directly affect the functional properties of the material. Therefore, it is important to establish post-synthesis treatments to facilitate the optimization of surface properties with respect to a specific application, without losing the morphological peculiarity of the nanostructure. We studied the surface properties of highly crystalline and porous anatase TiO2 nanowire (NW) electrodes, grown by chemical-bath deposition in fluoride-containing solutions, using a combined electrochemical and spectroscopic approach. As-deposited films showed low capacity for catechol adsorption and a poor photoelectrocatalytic activity for water oxidation. Mild thermal annealing at 200 °C resulted in a significant improvement of the electrode photoelectrocatalytic activity, whereas the bulk properties of the NWs (crystal structure, band-gap energy) remained unchanged. Enhancement of the functional properties of the material is discussed on the basis of adsorption capacity and electronic properties. The temperature-induced decrease of recombination centers, along with the concomitant increase of adsorption and reaction sites upon thermal annealing are called to be responsible for such improved performance. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Fabrication of superconducting nanowires from ultrathin MgB2 films via focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Da; Liu, Zheng-Hao; Zhang, Yan; Ma, Ping; Feng, Qing-Rong; Wang, Yue; Gan, Zi-Zhao

    2015-02-01

    High quality superconducting nanowires were fabricated from ultrathin MgB2 films by a focused ion beam milling technique. The precursor MgB2 films in 10 nm thick were grown on MgO substrates by using a hybrid physical-chemical vapor deposition method. The nanowires, in widths of about 300-600 nm and lengths of 1 or 10 μm, showed high superconducting critical temperatures (Tc's) above 34 K and narrow superconducting transition widths (ΔTc's) of 1-3 K. The superconducting critical current density Jc of the nanowires was above 5 × 107 A/cm2 at 20 K. The high Tc, narrow ΔTc, and high Jc of the nanowires offered the possibility of making MgB2-based nano-devices such as hot-electron bolometers and superconducting nanowire single-photon detectors with high operating temperatures at 15-20 K.

  1. Flexible White Light Emitting Diodes Based on Nitride Nanowires and Nanophosphors

    PubMed Central

    2016-01-01

    We report the first demonstration of flexible white phosphor-converted light emitting diodes (LEDs) based on p–n junction core/shell nitride nanowires. GaN nanowires containing seven radial In0.2Ga0.8N/GaN quantum wells were grown by metal–organic chemical vapor deposition on a sapphire substrate by a catalyst-free approach. To fabricate the flexible LED, the nanowires are embedded into a phosphor-doped polymer matrix, peeled off from the growth substrate, and contacted using a flexible and transparent silver nanowire mesh. The electroluminescence of a flexible device presents a cool-white color with a spectral distribution covering a broad spectral range from 400 to 700 nm. Mechanical bending stress down to a curvature radius of 5 mm does not yield any degradation of the LED performance. The maximal measured external quantum efficiency of the white LED is 9.3%, and the wall plug efficiency is 2.4%. PMID:27331079

  2. Thermoelectric Properties of Topological Crystalline Insulator Nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Enzhi

    Bulk lead telluride (PbTe) and its alloy compounds are well-known thermoelectric materials for electric power generation. Tin telluride (SnTe) which has the same rock-salt crystalline structure as PbTe has recently been demonstrated to host unique topological surface states that may favor improved thermoelectric properties. In this thesis work, we studied the thermoelectric properties of single-crystalline nanowires of the SnTe family compounds, i.e. undoped SnTe, PbTe, (Sn,Pb)Te alloy, and In-doped SnTe, all of which were grown by a vapor transport approach. We measured the thermopower S, electrical conductivity sigma and thermal conductivity kappa on each individual nanowire over a temperature range of 25 - 300 K, from which the thermoelectric figures of merit ZTs were determined. In comparison to PbTe nanowires, SnTe and (Sn,Pb)Te has lower thermopower but significantly higher electrical conductivity. Both SnTe and (Sn,Pb)Te nanowires showed enhanced thermopower and suppressed thermal conductivity, compared to their bulk counterparts. The enhancement of thermopower may result from the existence of topological surface states, while the suppression of thermal conductivity may relate to the increased phonon-surface scattering in nanowires. Moreover, indium doping suppresses both electrical and thermal conductivities but enhances thermopower, yielding an improved figure of merit ZT. Our results highlight nanostructuring in combination with alloying or doping as an important approach to enhancing thermoelectric properties. In spite of excellent thermoelectric properties and robust topological surface states, we found that the nanowire surface is subject to fast oxidation. In particular, we demonstrated that exposure of In-doped SnTe nanowires to air leads to surface oxidation within only one minute. Transmission electron microscopy characterization suggests the amorphous nature of the surface, and X-ray photoelectron spectroscopy studies identify the oxide species on

  3. Preparation and characterization of BiFeO3/La0.7Sr0.3MnO3 heterostructure grown on SrTiO3 substrate

    NASA Astrophysics Data System (ADS)

    Zhao, Chenwei; Zhou, Chaochao; Chen, Changle

    2017-09-01

    In this paper, BiFeO3/La0.7Sr0.3MnO3 heterostructure is fabricated on the SrTiO (100) substrate using the pulsed laser deposition method (PLD). Magnetization hystersis loops of the BiFeO3/La0.7Sr0.3MnO3 heterostructure are obtained at 300 K and 80 K. The heterostructure exhibits evident ferromagnetic characteristic at both room temperature and 80 K. At 80 K, magnetization of the heterostructure is stronger than room temperature magnetic measure. The temperature dependence of resistance of the heterostructure with different currents is also studied. With different currents, there appears to be a peak resistance about 180 K. When I is 50 uA, ΔR is 68.4%. And when I is 100 uA, ΔR is 79.3%. The BiFeO3/La0.7Sr0.3MnO3 heterostructure exhibits a positive colossal magnetoresistance (MR) effect over a temperature range of 80-300 K. In our heterostructure, maximum magnetic resistance appears in 210 K, and MR = 44.34%. Mechanism analysis of the leakage current at room temperature shows that the leakage current is the interface-limited Schottky emission, but not dominated by the Poole-Frenkel emission or SCLC.

  4. 3D ZnIn2S4 nanosheet/TiO2 nanowire arrays and their efficient photocathodic protection for 304 stainless steel

    NASA Astrophysics Data System (ADS)

    Sun, Wenxia; Wei, Na; Cui, Hongzhi; Lin, Yuan; Wang, Xinzhen; Tian, Jian; Li, Jian; Wen, Jing

    2018-03-01

    A well-designed heterostructure engineered ZnIn2S4 nanosheet/TiO2 nanowire arrays photoanode is investigated for photocathodic protection. The ZnIn2S4 nanosheets are distributed uniformly on the surface of the TiO2 nanowire by a hydrothermal method. The stem-and-leaf-like ZnIn2S4 nanosheet/TiO2 nanowire arrays exhibit excellent photoelectrochemical properties, owing to the energy band structure and large surface area. A maximum photocurrent density of 2 mA cm-2 is achieved for the ZnIn2S4 nanosheet/TiO2 nanowire composite film for a 6 h reaction time under white illumination. Moreover, the potential of the 304 stainless steel coupled with the composite film immediately shifts negatively to -1.17 V (vs. SCE), which is significantly lower than the corrosion potential (-0.201 V vs. SCE). Thus, the composite film offers a superior photocathodic protection for stainless steel against corrosion by a NaCl solution. This study provides a promising approach for the design and synthesis of composite films with enhanced photoelectrochemical performance.

  5. Current-induced three-dimensional domain wall propagation in cylindrical NiFe nanowires

    NASA Astrophysics Data System (ADS)

    Wong, D. W.; Purnama, I.; Lim, G. J.; Gan, W. L.; Murapaka, C.; Lew, W. S.

    2016-04-01

    We report on the magnetization configurations in single NiFe cylindrical nanowires grown by template-assisted electrodeposition. Angular anisotropic magnetoresistance measurements reveal that a three-dimensional helical domain wall is formed naturally upon relaxation from a saturated state. Micromagnetic simulations support the helical domain wall properties and its reversal process, which involves a splitting of the clockwise and anticlockwise vortices. When a pulsed current is applied to the nanowire, the helical domain wall propagation is observed with a minimum current density needed to overcome its intrinsic pinning.

  6. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.

  7. Synthesis of Metal-Oxide/Carbon-Fiber Heterostructures and Their Properties for Organic Dye Removal and High-Temperature CO2 Adsorption

    NASA Astrophysics Data System (ADS)

    Shao, Liangzhi; Nie, Shibin; Shao, Xiankun; Zhang, LinLin; Li, Benxia

    2018-03-01

    One-dimensional metal-oxide/carbon-fiber (MO/CF) heterostructures were prepared by a facile two-step method using the natural cotton as a carbon source the low-cost commercial metal salts as precursors. The metal oxide nanostructures were first grown on the cotton fibers by a solution chemical deposition, and the metal-oxide/cotton heterostructures were then calcined and carbonized in nitrogen atmosphere. Three typical MO/CF heterostructures of TiO2/CF, ZnO/CF, and Fe2O3/CF were prepared and characterized. The loading amount of the metal oxide nanostructures on carbon fibers can be tuned by controlling the concentration of metal salt in the chemical deposition process. Finally, the performance of the as-obtained MO/CF heterostructures for organic dye removal from water was tested by the photocatalytic degradation under a simulated sunlight, and their properties of high-temperature CO2 adsorption were predicted by the temperature programmed desorption. The present study would provide a desirable strategy for the synthesis of MO/CF heterostructures for various applications.

  8. Direct synthesis of vertically aligned ZnO nanowires on FTO substrates using a CVD method and the improvement of photovoltaic performance

    PubMed Central

    2012-01-01

    In this work, we report a direct synthesis of vertically aligned ZnO nanowires on fluorine-doped tin oxide-coated substrates using the chemical vapor deposition (CVD) method. ZnO nanowires with a length of more than 30 μm were synthesized, and dye-sensitized solar cells (DSSCs) based on the as-grown nanowires were fabricated, which showed improvement of the device performance compared to those fabricated using transferred ZnO nanowires. Dependence of the cell performance on nanowire length and annealing temperature was also examined. This synthesis method provided a straightforward, one-step CVD process to grow relatively long ZnO nanowires and avoided subsequent nanowire transfer process, which simplified DSSC fabrication and improved cell performance. PMID:22673046

  9. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    PubMed

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  10. Exploring the Electronic Landscape at Interfaces and Junctions in Semiconductor Nanowire Devices with Subsurface Local Probing of Carrier Dynamics

    NASA Astrophysics Data System (ADS)

    McGuckin, Terrence

    The solid state devices that are pervasive in our society, are based on building blocks composed of interfaces between materials and junctions that manipulate how charge carriers behave in a device. As the dimensions of these devices are reduced to the nanoscale, surfaces and interfaces play a larger role in the behavior of carriers in devices and must be thoroughly investigated to understand not only the material properties but how these materials interact. Separating the effects of these different building blocks is a challenge, as most testing methods measure the performance of the whole device. Semiconductor nanowires represent an excellent test system to explore the limits of size and novel device structures. The behavior of charge carriers in semiconductor nanowire devices under operational conditions is investigated using local probing technique electron beam induced current (EBIC). The behavior of locally excited carriers are driven by the forces of drift, from electric fields within a device at junctions, surfaces, contacts and, applied voltage bias, and diffusion. This thesis presents the results of directly measuring these effects spatially with nanometer resolution, using EBIC in Ge, Si, and complex heterostructure GaAs/AlGaAs nanowire devices. Advancements to the EBIC technique, have pushed the resolution from tens of nanometers down to 1 to 2 nanometers. Depth profiling and tuning of the interaction volume allows for the separating the signal originating from the surface and the interior of the nanowire. Radial junctions and variations in bands can now be analyzed including core/shell hetero-structures. This local carrier probing reveals a number of surprising behaviors; Most notably, directly imaging the evolution of surface traps filling with electrons causing bandbending at the surface of Ge nanowires that leads to an enhancement in the charge separation of electrons and holes, and extracting different characteristic lengths from GaAs and AlGaAs in

  11. FIB-tomographic studies on chemical vapor deposition grown SnO2 nanowire arrays on TiO2 (001)

    NASA Astrophysics Data System (ADS)

    Chen, Haoyun; Liu, Yi; Wu, Hong; Xiong, Xiang; Pan, Jun

    2016-12-01

    Tin oxide nanowire arrays on titania (001) have been successfully fabricated by chemical vapor deposition of Sn(O t Bu)4 precursor. The morphologies and structures of ordered SnO2 nanowires (NWs) were analyzed by cross-sectional SEM, HR-TEM and AFM. An FIB-tomography technique was applied in order to reconstruct a 3D presentation of ordered SnO2 nanowires. The achieved 3D analysis showed the spatial orientation and angles of ordered SnO2 NWs can be obtained in a one-shot experiment, and the distribution of Au catalysts showed the competition between 1D and 2D growth. The SnO2 nanowire arrays can be potentially used as a diameter- and surface-dependent sensing unit for the detection of gas- and bio-molecules.

  12. ZnO nanowires: Synthesis and charge transfer mechanism in the detection of ammonia vapour

    NASA Astrophysics Data System (ADS)

    Nancy Anna Anasthasiya, A.; Ramya, S.; Rai, P. K.; Jeyaprakash, B. G.

    2018-01-01

    ZnO nanowires with hexagonal wurtzite structure were grown on the glass substrate using Successive Ionic Layer Adsorption and Reaction (SILAR) method. Both experimental and theoretical studies demonstrated that NH3 chemisorbed and transferred the charge to the surface of the nanowire via its nitrogen site to the zinc site of ZnO nanowires, leading to the detection of NH3 vapour. The adsorbed ammonia dissociated into NH2 and H due to steric repulsion, and then into N2 and H2 gas. The formation of the N2 gas during the desorption process confirmed by observing peak at 14 and 28 m/z in the GC-MS spectrum.

  13. Optical properties and carrier dynamics of GaAs/GaInAs multiple-quantum-well shell grown on GaAs nanowire by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Kwangwook; Ravindran, Sooraj; Ju, Gun Wu

    GaAs/GaInAs multiple-quantum-well (MQW) shells having different GaInAs shell width formed on the surface of self-catalyzed GaAs core nanowires (NWs) are grown on (100) Si substrate using molecular beam epitaxy. The photoluminescence emission from GaAs/GaInAs MQW shells and the carrier lifetime could be varied by changing the width of GaInAs shell. Time-resolved photoluminescence measurements showed that the carrier lifetime had a fast and slow decay owing to the mixing of wurtzite and zinc-blende structures of the NWs. Furthermore, strain relaxation caused the carrier lifetime to decrease beyond a certain thickness of GaInAs quantum well shells.

  14. Field electron extraction from surface modified Cd(OH)2 nanowires

    NASA Astrophysics Data System (ADS)

    Bagal, Vivekanand S.; Patil, Girish P.; Jadhav, Chandradip; Sharma, Malvika; Shivhare, Sugam; Chavan, Padmakar G.

    2018-04-01

    The Cd(OH)2 nanowires were grown on Silicon(Si) substrate by simple chemical bath deposition technique and gold(Au) nanoparticles were decorated on surface of the Cd(OH)2 nanowiresby sputtering method. Detail characterization such as morphological and structural analysis of Au/Cd(OH)2 nanowires has been carried out using Field Emission Scanning Electron Microscope and X-ray Diffraction. Low turn-on field of 0.75 V/μm was found forthe emission current density of 10 µA/cm2 and high currentdensity of 1.478mA/cm2 was drawn at an applied field of 1.6 V/μm from Au/Cd(OH)2 nanowires, observed low turn-on field was found superior to other metal nanoparticles decorated semiconducting nanostructures reported in the literature. Also the field emission current stability for the preset value of 10 µA over the period of 3 hr is found to be good. To the best of our knowledge, this is the first report on the synthesis and field emission studies Au/Cd(OH)2 nanowires.

  15. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    PubMed

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  16. Efficient photocatalytic performance enhancement in Co-doped ZnO nanowires coupled with CuS nanoparticles

    NASA Astrophysics Data System (ADS)

    Li, Wei; Wang, Guojing; Feng, Yimeng; Li, Zhengcao

    2018-01-01

    In this research, a kind of highly efficient semiconductor photocatalyst was fabricated by depositing CuS nanoparticles uniformly on the surface of Co-doped ZnO nanowires. ZnO nanowires were synthesized by hydrothermal method and CuS nanoparticles were modified by successive ionic layer adsorption and reaction (SILAR). By conducting methyl orange (MO) degradation experiments under the illumination of visible light, the photocatalytic activity of Co-doped ZnO nanowires modified with CuS nanoparticles was found to be nearly three times active when compared to bare ZnO nanowires. Its superior photocatalytic performance has two main reasons. The doped Co2+ ions can inhibit the recombination of photo-generated electron-hole pairs and decrease the optical bandgap, while the p-n heterostructure can enhance the visible light absorption ability and promote the separation of photo-excited charge carriers. Furthermore, the effect of the amount of deposited CuS nanoparticles on the photocatalysis was also investigated. The photocatalytic efficiency firstly raised along with the increment of SILAR cycle times and reached a maximum at 10 cycles but then decreased as the cycle times continue to increase. This originates from that an excessive amount of CuS would not only cover the active reacting sites, but also serve as recombination centers. Overall, this new nanostructure is expected to work as an efficient photocatalyst.

  17. EDITORIAL: Nanowires for energy Nanowires for energy

    NASA Astrophysics Data System (ADS)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    dominant lighting technology due to its superior electrical to optical conversion efficiency. A unique LED structure based on CdS is presented by Ye et al [8]. A detailed study by Nguyen et al [9] provides a fundamental understanding of the non-radiative recombination mechanisms in GaN-based white light emitting nanowire diodes grown on Si substrates. Another application of III-nitrides is in photovoltaic devices (solar cells) [10]. InGaN is the only semiconductor alloy whose energy bandgap can be continuously varied across nearly the entire solar spectrum, promising a new generation of solar cells. Another potentially important application for nanowires is the efficient production of H2 from the photocatalytic splitting of water, where the H2 can be used as an energy carrier. Water splitting based on unique nanostructures include Fe2O3 [11], CuS/ZnO [12], and ZnO/Si [13]. Another candidate for photocatalysis, among other applications, is copper oxide nanowires, reviewed by Gregor et al [14]. References [1] Hiralal P, Unalan H E and Amaratunga G A J 2012 Nanotechnology 23 194002 [2] Li J, Yu H and Li Y 2012 Nanotechnology 23 194010 [3] Wang B and Leu P W 2012 Nanotechnology 23 194003 [4] Yu L, O'Donnell B, Foldyna M, and Roca i Cabarrocas P 2012 Nanotechnology 23 194011 [5] Zhang F, Song T and Sun B 2012 Nanotechnology 23 194006 [6] Herman I, Yeo J, Hong S, Lee D, Nam K H, Choi J, Hong W, Lee D, Grigoropoulos C P and Ko S H 2012 Nanotechnology 23 194005 [7] Calestani D, Pattini F, Bissoli F, Gilioli E, Villani M and Zappettini A 2012 Nanotechnology 23 194008 [8] Ye Y, Yu B, Gao Z, Mang H, Zhang H, Dai L and Qin G 2012 Nanotechnology 23 194004 [9] Nguyen H P T, Djavid M, Cui K and Mi Z 2012 Nanotechnology 23 194012 [10] Wierer J J Jr, Li Q, Koleske D D, Lee S R L and Wang G T 2012 Nanotechnology 23 194007 [11] Chernomordik B D, Russell H B, Cvelbar U, Jasinski J B, Kumar V, Deutsch T and Sunkara M K 2012 Nanotechnology 23 194009 [12] Lee M and Yong K 2012 Nanotechnology 23

  18. Enhanced optical properties due to indium incorporation in zinc oxide nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farid, S.; Mukherjee, S.; Sarkar, K.

    Indium-doped zinc oxide nanowires grown by vapor-liquid-solid technique with 1.6 at. % indium content show intense room temperature photoluminescence (PL) that is red shifted to 20 meV from band edge. We report on a combination of nanowires and nanobelts-like structures with enhanced optical properties after indium doping. The near band edge emission shift gives an estimate for the carrier density as high as 5.5 × 10{sup 19 }cm{sup −3} for doped nanowires according to Mott's critical density theory. Quenching of the visible green peak is seen for doped nanostructures indicating lesser oxygen vacancies and improved quality. PL and transmission electron microscopy measurementsmore » confirm indium doping into the ZnO lattice, whereas temperature dependent PL data give an estimation of the donor and acceptor binding energies that agrees well with indium doped nanowires. This provides a non-destructive technique to estimate doping for 1D structures as compared to the traditional FET approach. Furthermore, these indium doped nanowires can be a potential candidate for transparent conducting oxides applications and spintronic devices with controlled growth mechanism.« less

  19. Chemical Vapor Deposition Growth and Applications of Two-Dimensional Materials and Their Heterostructures.

    PubMed

    Cai, Zhengyang; Liu, Bilu; Zou, Xiaolong; Cheng, Hui-Ming

    2018-01-31

    Two-dimensional (2D) materials have attracted increasing research interest because of the abundant choice of materials with diverse and tunable electronic, optical, and chemical properties. Moreover, 2D material based heterostructures combining several individual 2D materials provide unique platforms to create an almost infinite number of materials and show exotic physical phenomena as well as new properties and applications. To achieve these high expectations, methods for the scalable preparation of 2D materials and 2D heterostructures of high quality and low cost must be developed. Chemical vapor deposition (CVD) is a powerful method which may meet the above requirements, and has been extensively used to grow 2D materials and their heterostructures in recent years, despite several challenges remaining. In this review of the challenges in the CVD growth of 2D materials, we highlight recent advances in the controlled growth of single crystal 2D materials, with an emphasis on semiconducting transition metal dichalcogenides. We provide insight into the growth mechanisms of single crystal 2D domains and the key technologies used to realize wafer-scale growth of continuous and homogeneous 2D films which are important for practical applications. Meanwhile, strategies to design and grow various kinds of 2D material based heterostructures are thoroughly discussed. The applications of CVD-grown 2D materials and their heterostructures in electronics, optoelectronics, sensors, flexible devices, and electrocatalysis are also discussed. Finally, we suggest solutions to these challenges and ideas concerning future developments in this emerging field.

  20. Epitaxy of semiconductor-superconductor nanowires

    NASA Astrophysics Data System (ADS)

    Krogstrup, P.; Ziino, N. L. B.; Chang, W.; Albrecht, S. M.; Madsen, M. H.; Johnson, E.; Nygård, J.; Marcus, C. M.; Jespersen, T. S.

    2015-04-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface plays a key role in determining the quality of the induced superconducting gap. Here we present epitaxial growth of semiconductor-metal core-shell nanowires by molecular beam epitaxy, a method that provides a conceptually new route to controlled electrical contacting of nanostructures and the design of devices for specialized applications such as topological and gate-controlled superconducting electronics. Our materials of choice, InAs/Al grown with epitaxially matched single-plane interfaces, and alternative semiconductor/metal combinations allowing epitaxial interface matching in nanowires are discussed. We formulate the grain growth kinetics of the metal phase in general terms of continuum parameters and bicrystal symmetries. The method realizes the ultimate limit of uniform interfaces and seems to solve the soft-gap problem in superconducting hybrid structures.

  1. On the difficulties in characterizing ZnO nanowires.

    PubMed

    Schlenker, E; Bakin, A; Weimann, T; Hinze, P; Weber, D H; Gölzhäuser, A; Wehmann, H-H; Waag, A

    2008-09-10

    The electrical properties of single ZnO nanowires grown by vapor phase transport were investigated. While some samples were contacted by Ti/Au electrodes, another set of samples was investigated using a manipulator tip in a low energy electron point-source microscope. The deduced resistivities range from 1 to 10(3) Ωcm. Additionally, the resistivities of nanowires from multiple publications were brought together and compared to the values obtained from our measurements. The overview of all data shows enormous differences (10(-3)-10(5) Ωcm) in the measured resistivities. In order to reveal the origin of the discrepancies, the influence of growth parameters, measuring methods, contact resistances, crystal structures and ambient conditions are investigated and discussed in detail.

  2. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  3. Coaxial metal-silicide Ni2Si/C54-TiSi2 nanowires.

    PubMed

    Chen, Chih-Yen; Lin, Yu-Kai; Hsu, Chia-Wei; Wang, Chiu-Yen; Chueh, Yu-Lun; Chen, Lih-Juann; Lo, Shen-Chuan; Chou, Li-Jen

    2012-05-09

    One-dimensional metal silicide nanowires are excellent candidates for interconnect and contact materials in future integrated circuits devices. Novel core-shell Ni(2)Si/C54-TiSi(2) nanowires, 2 μm in length, were grown controllably via a solid-liquid-solid growth mechanism. Their interesting ferromagnetic behaviors and excellent electrical properties have been studied in detail. The coercivities (Hcs) of the core-shell Ni(2)Si/C54-TiSi(2) nanowires was determined to be 200 and 50 Oe at 4 and 300 K, respectively, and the resistivity was measured to be as low as 31 μΩ-cm. The shift of the hysteresis loop with the temperature in zero field cooled (ZFC) and field cooled (FC) studies was found. ZFC and FC curves converge near room temperature at 314 K. The favorable ferromagnetic and electrical properties indicate that the unique core-shell nanowires can be used in penetrative ferromagnetic devices at room temperature simultaneously as a future interconnection in integrated circuits.

  4. DX centers in indium aluminum arsenide heterostructures

    NASA Astrophysics Data System (ADS)

    Sari, Huseyin

    DX centers are point defects observed in many n-type doped III-V compound semi conductors. They have unique properties, which include large differences between their optical and thermal ionization energies, and a temperature dependence of the capture cross-sections. As a result of these properties DX centers exhibit a reduction in free carrier concentration and a large persistent photoconductivity (PPC) effect. DX centers also lead to a shift in the threshold voltage of modulation doped field effect transistors (MODFET) structures, at low temperatures. Most of the studies on this defect have been carried out on the Ga xAl1-xAs material system. However, to date there is significantly less work on DX centers in InxAl1-xAs compounds. This is partly due to difficulties associated with the growth of defect free materials other than lattice matched In0.52Al 0.48As on InP and partly because the energy level of the DX center is in resonance with the conduction band in In0.52Al0.48As. The purpose of this dissertation is to extend the DX center investigation to InAlAs compounds, primarily in the indirect portion of the InAlAs bandgap. In this work the indium composition dependence of the DX centers in In xAl1-xAs/InyGa1-yAs-based heterostructure is studied experimentally. Different InxAl 1-xAs epitaxial layers with x = 0.10, x = 0.15, x = 0.20, and x = 0.34 in a MODFET-like heterostructure were grown by Molecular Beam Epitaxy (MBE) on (001) GaAs substrates. In order to compensate the lattice mismatch between epitaxial layers and their substrates, step-graded buffer layers with indium composition increments of x = 0.10, every 2000 A, were used. For the samples grown with different indium contents Hall measurements as a function of both temperature and different cooling biases were performed in order to determine their carrier concentrations. A self consistent Poisson-Schrodinger numerical software is used to model the heterostructures. With the help of this numerical model

  5. Nanoscale current uniformity and injection efficiency of nanowire light emitting diodes

    NASA Astrophysics Data System (ADS)

    May, Brelon J.; Selcu, Camelia M.; Sarwar, A. T. M. G.; Myers, Roberto C.

    2018-02-01

    As an alternative to light emitting diodes (LEDs) based on thin films, nanowire based LEDs are the focus of recent development efforts in solid state lighting as they offer distinct photonic advantages and enable direct integration on a variety of different substrates. However, for practical nanowire LEDs to be realized, uniform electrical injection must be achieved through large numbers of nanowire LEDs. Here, we investigate the effect of the integration of a III-Nitride polarization engineered tunnel junction (TJ) in nanowire LEDs on Si on both the overall injection efficiency and nanoscale current uniformity. By using conductive atomic force microscopy (cAFM) and current-voltage (IV) analysis, we explore the link between the nanoscale nonuniformities and the ensemble devices which consist of many diodes wired in parallel. Nanometer resolved current maps reveal that the integration of a TJ on n-Si increases the amount of current a single nanowire can pass at a given applied bias by up to an order of magnitude, with the top 10% of wires passing more than ×3.5 the current of nanowires without a TJ. This manifests at the macroscopic level as a reduction in threshold voltage by more than 3 V and an increase in differential conductance as a direct consequence of the integration of the TJ. These results show the utility of cAFM to quantitatively probe the electrical inhomogeneities in as-grown nanowire ensembles without introducing uncertainty due to additional device processing steps, opening the door to more rapid development of nanowire ensemble based photonics.

  6. TiO2/BiVO4 Nanowire Heterostructure Photoanodes Based on Type II Band Alignment

    PubMed Central

    2016-01-01

    Metal oxides that absorb visible light are attractive for use as photoanodes in photoelectrosynthetic cells. However, their performance is often limited by poor charge carrier transport. We show that this problem can be addressed by using separate materials for light absorption and carrier transport. Here, we report a Ta:TiO2|BiVO4 nanowire photoanode, in which BiVO4 acts as a visible light-absorber and Ta:TiO2 acts as a high surface area electron conductor. Electrochemical and spectroscopic measurements provide experimental evidence for the type II band alignment necessary for favorable electron transfer from BiVO4 to TiO2. The host–guest nanowire architecture presented here allows for simultaneously high light absorption and carrier collection efficiency, with an onset of anodic photocurrent near 0.2 V vs RHE, and a photocurrent density of 2.1 mA/cm2 at 1.23 V vs RHE. PMID:27163032

  7. Single-nanowire, low-bandgap hot carrier solar cells with tunable open-circuit voltage

    NASA Astrophysics Data System (ADS)

    Limpert, Steven; Burke, Adam; Chen, I.-Ju; Anttu, Nicklas; Lehmann, Sebastian; Fahlvik, Sofia; Bremner, Stephen; Conibeer, Gavin; Thelander, Claes; Pistol, Mats-Erik; Linke, Heiner

    2017-10-01

    Compared to traditional pn-junction photovoltaics, hot carrier solar cells offer potentially higher efficiency by extracting work from the kinetic energy of photogenerated ‘hot carriers’ before they cool to the lattice temperature. Hot carrier solar cells have been demonstrated in high-bandgap ferroelectric insulators and GaAs/AlGaAs heterostructures, but so far not in low-bandgap materials, where the potential efficiency gain is highest. Recently, a high open-circuit voltage was demonstrated in an illuminated wurtzite InAs nanowire with a low bandgap of 0.39 eV, and was interpreted in terms of a photothermoelectric effect. Here, we point out that this device is a hot carrier solar cell and discuss its performance in those terms. In the demonstrated devices, InP heterostructures are used as energy filters in order to thermoelectrically harvest the energy of hot electrons photogenerated in InAs absorber segments. The obtained photovoltage depends on the heterostructure design of the energy filter and is therefore tunable. By using a high-resistance, thermionic barrier, an open-circuit voltage is obtained that is in excess of the Shockley-Queisser limit. These results provide generalizable insight into how to realize high voltage hot carrier solar cells in low-bandgap materials, and therefore are a step towards the demonstration of higher efficiency hot carrier solar cells.

  8. Unit cell parameters of wurtzite InP nanowires determined by x-ray diffraction.

    PubMed

    Kriegner, D; Wintersberger, E; Kawaguchi, K; Wallentin, J; Borgström, M T; Stangl, J

    2011-10-21

    High resolution x-ray diffraction is used to study the structural properties of the wurtzite polytype of InP nanowires. Wurtzite InP nanowires are grown by metal-organic vapor phase epitaxy using S-doping. From the evaluation of the Bragg peak position we determine the lattice parameters of the wurtzite InP nanowires. The unit cell dimensions are found to differ from the ones expected from geometric conversion of the cubic bulk InP lattice constant. The atomic distances along the c direction are increased whereas the atomic spacing in the a direction is reduced in comparison to the corresponding distances in the zinc-blende phase. Using core/shell nanowires with a thin core and thick nominally intrinsic shells we are able to determine the lattice parameters of wurtzite InP with a negligible influence of the S-doping due to the much larger volume in the shell. The determined material properties will enable the ab initio calculation of electronic and optical properties of wurtzite InP nanowires.

  9. In-plane InSb nanowires grown by selective area molecular beam epitaxy on semi-insulating substrate.

    PubMed

    Desplanque, L; Bucamp, A; Troadec, D; Patriarche, G; Wallart, X

    2018-07-27

    In-plane InSb nanostructures are grown on a semi-insulating GaAs substrate using an AlGaSb buffer layer covered with a patterned SiO 2 mask and selective area molecular beam epitaxy. The shape of these nanostructures is defined by the aperture in the silicon dioxide layer used as a selective mask thanks to the use of an atomic hydrogen flux during the growth. Transmission electron microscopy reveals that the mismatch accommodation between InSb and GaAs is obtained in two steps via the formation of an array of misfit dislocations both at the AlGaSb buffer layer/GaAs and at the InSb nanostructures/AlGaSb interfaces. Several micron long in-plane nanowires (NWs) can be achieved as well as more complex nanostructures such as branched NWs. The electrical properties of the material are investigated by the characterization of an InSb NW MOSFET down to 77 K. The resulting room temperature field effect mobility values are comparable with those reported on back-gated MOSFETs based on InSb NWs obtained by vapor liquid solid growth or electrodeposition. This growth method paves the way to the fabrication of complex InSb-based nanostructures.

  10. A simple and transparent well-aligned ZnO nanowire array ultraviolet photodetector with high responsivity

    NASA Astrophysics Data System (ADS)

    Yin, Lei; Ding, Hesheng; Yuan, Zhaolin; Huang, Wendeng; Shuai, Chunjiang; Xiong, Zhaoxin; Deng, Jianping; Lv, Tengbo

    2018-06-01

    Well-aligned zinc oxide (ZnO) nanowire arrays were grown on an interdigital patterned fluorine tin oxide (FTO)-coated glass substrate by a facile chemical bath deposition at low temperature. Morphology, crystalline structure, and optical properties of the ZnO nanowire arrays were analyzed in detail. The results revealed that the ZnO nanowires had wurtzite structure, typically ∼40-60 nm in diameter, and ∼700-800 nm in length, a great number of highly uniform and dense nanowires grew vertically on the substrate to form the well-aligned ZnO nanowire arrays, which had very high optical transmission (>86%) in the visible light region. In addition, the performance of ZnO nanowire arrays ultraviolet (UV) photodetector was systematically examined. The photosensitivity (S), responsivity (R), response and decay time of the photodetector were 703 at +0.2 V, 113 A/W at +5 V, 23 s and 73 s respectively. Also, the photoresponse mechanism of the UV photodetector was illuminated in terms of the oxygen adsorption-photodesorption process.

  11. Lasing in a single nanowire with quantum dots

    NASA Astrophysics Data System (ADS)

    Tatebayashi, Jun; Arakawa, Yasuhiko

    2017-02-01

    Nanowire (NW) lasers have recently attracted increasing attention as ultra-small, highly-efficient coherent light emitters in the fields of nanophotonics, nano-optics and nanobiotechnology. Although there have been several demonstrations of single NW lasers utilizing bulk materials, it is crucial to incorporate lower-dimensional quantum nanostructures into the NW in order to achieve superior device performance with respect to threshold current, differential gain, modulation bandwidth and temperature sensitivity. The quantum dot (QD) is a useful and essential nanostructure that can meet these requirements. In this presentation, we will talk about our recent research activity regarding room temperature lasing of a single GaAs NW containing 50-stacked In0.2Ga0.8As/GaAs QDs. The NW cavities consist of multiple In0.2Ga0.8As/GaAs heterostructures acting as a QD active material, which are grown on shallow (<45 nm) GaAs core NWs and followed by GaAs/Al0.1Ga0.9As/GaAs core/shell/cap structures. Lasing oscillation is achieved at the emission wavelength of 900 nm by properly designing the NW cavity and tailoring the emission energy of each QD to enhance the optical gain. Obtained threshold pump pulse fluence is 179 μJ/cm2 at room temperature and the characteristics temperature is 133K which is higher than that of conventional bulk NW lasers. Our demonstration paves the way toward ultra-small lasers with extremely low-power consumption for integrated photonic systems. Furthermore, we will discuss our recent results on the demonstration of several types of NWQD lasers in order to improve the device performance of the NWQD lasers.

  12. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy

    PubMed Central

    2012-01-01

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV. PMID:23046910

  13. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    PubMed

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  14. Spontaneous polarization induced electric field in zinc oxide nanowires and nanostars

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farid, S., E-mail: sfarid3@uic.edu; Choi, M.; Datta, D.

    We report on the detection mechanism of spontaneous polarization using electrostatic force microscopy in zinc oxide nanowires and nanostars grown by vapor-liquid-solid technique. Optical and structural properties are investigated in detail to understand the complex ZnO nanostructures comprehensively. Calculations are carried out to estimate the electric field from the change in interleave amplitude induced by the electrostatic force due to the spontaneous polarization effects. Attraction of the probe between the tip and the sample varies for different structures with a stronger attraction for nanostars as compared to nanowires. Strength of electric field is dependent on the orientation of nanowires andmore » nanostars c-axis with measured magnitude of electric field to be ∼10{sup 7 }V/m and 10{sup 8 }V/m respectively. This technique presents a unique detection mechanism of built-in spontaneous polarization and electric field from polar ZnO nanowires with applications in voltage gated ion channels, nano-bio interfaces, optoelectronic and photonic devices.« less

  15. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    NASA Astrophysics Data System (ADS)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  16. Conductance enhancement of InAs/InP heterostructure nanowires by surface functionalization with oligo(phenylene vinylene)s.

    PubMed

    Schukfeh, Muhammed Ihab; Storm, Kristian; Mahmoud, Ahmed; Søndergaard, Roar R; Szwajca, Anna; Hansen, Allan; Hinze, Peter; Weimann, Thomas; Svensson, Sofia Fahlvik; Bora, Achyut; Dick, Kimberly A; Thelander, Claes; Krebs, Frederik C; Lugli, Paolo; Samuelson, Lars; Tornow, Marc

    2013-05-28

    We have investigated the electronic transport through 3 μm long, 45 nm diameter InAs nanowires comprising a 5 nm long InP segment as electronic barrier. After assembly of 12 nm long oligo(phenylene vinylene) derivative molecules onto these InAs/InP nanowires, we observed a pronounced, nonlinear I-V characteristic with significantly increased currents of up to 1 μA at 1 V bias, for a back-gate voltage of 3 V. As supported by our model calculations based on a nonequilibrium Green Function approach, we attribute this effect to charge transport through those surface-bound molecules, which electrically bridge both InAs regions across the embedded InP barrier.

  17. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    PubMed

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  18. Broad visible emission from GaN nanowires grown on n-Si (1 1 1) substrate by PVD for solar cell application

    NASA Astrophysics Data System (ADS)

    Saron, K. M. A.; Hashim, M. R.

    2013-04-01

    Nanostructured gallium nitrides (GaNs) were grown on a catalyst-free Si (1 1 1) substrates using physical vapor deposition via thermal evaporation of GaN powder at 1150 °C in the absence of NH3 gas for different deposition time. Scanning electron microscopy (SEM) and energy-dispersive X-ray spectrometer (EDX) results indicated that the growth of GaN nanostructure varies with deposition time. Both X-ray diffraction (XRD) patterns and Raman spectra reveals a hexagonal GaN with wurtzite structure. Photoluminescence (PL) showed that the UV emission was suppressed, and the visible band emission was enhanced with increasing deposition time. Enhancement of visible band emission from the GaN NWs is due to the increasement of deep level states, which was resulted from growth process. Current-voltage (IV) characteristics of GaN/Si heterostructure were measured and good rectifying behavior was observed for this photodiode (PD). The forward current under illumination was almost three times than that in the dark current at +5 V. Responsivity of the photodetector was 10.5 A/W at range from 350 nm to 500 nm, which rapidly increased to 13.6 A/W at 700 nm. We found that the fabricated photodiode PD has an infra-red (IR) photoresponse behavior. The analysis of optical and electrical properties indications that the grown GaN in the absent of NH3 is a promising optical material and has potential applications in photo voltage solar cell.

  19. The ITO-capped WO3 nanowires biosensor based on field-effect transistor in label-free protein sensing

    NASA Astrophysics Data System (ADS)

    Shariati, Mohsen

    2017-05-01

    The fabrication of ITO-capped WO3 nanowires associated with their bio-sensing properties in field-effect transistor diagnostics basis as a biosensor has been reported. The bio-sensing property for manipulated nanowires elucidated that the grown nanostructures were very sensitive to protein. The ITO-capped WO3 nanowires biosensor showed an intensive bio-sensing activity against reliable protein. Polylysine strongly charged bio-molecule was applied as model system to demonstrate the implementation of materialized biosensor. The employed sensing mechanism was `label-free' and depended on bio-molecule's intrinsic charge. For nanowires synthesis, the vapor-liquid-solid mechanism was used. Nanowires were beyond a few hundred nanometers in lengths and around 15-20 nm in diameter, while the globe cap's size on the nanowires was around 15-25 nm. The indium tin oxide (ITO) played as catalyst in nanofabrication for WO3 nanowires growth and had outstanding role in bio-sensing especially for bio-molecule adherence. In applied electric field presence, the fabricated device showed the great potential to enhance medical diagnostics.

  20. A Novel Growth Method To Improve the Quality of GaAs Nanowires Grown by Ga-Assisted Chemical Beam Epitaxy.

    PubMed

    García Núñez, Carlos; Braña, Alejandro F; López, Nair; García, Basilio J

    2018-06-13

    The successful synthesis of high crystalline quality and high aspect ratio GaAs nanowires (NWs) with a uniform diameter is needed to develop advanced applications beyond the limits established by thin film and bulk material properties. Vertically aligned GaAs NWs have been extensively grown by Ga-assisted vapor-liquid-solid (VLS) mechanism on Si(111) substrates, and they have been used as building blocks in photovoltaics, optoelectronics, electronics, and so forth. However, the nucleation of parasitic species such as traces and nanocrystals on the Si substrate surface during the NW growth could affect significantly the controlled nucleation of those NWs, and therefore the resulting performance of NW-based devices. Preventing the nucleation of parasitic species on the Si substrate is a matter of interest, because they could act as traps for gaseous precursors and/or chemical elements during VLS growth, drastically reducing the maximum length of grown NWs, affecting their morphology and structure, and reducing the NW density along the Si substrate surface. This work presents a novel and easy to develop growth method (i.e., without using advanced nanolithography techniques) to prevent the nucleation of parasitic species, while preserving the quality of GaAs NWs even for long duration growths. GaAs NWs are grown by Ga-assisted chemical beam epitaxy on oxidized Si(111) substrates using triethylgallium and tertiarybutylarsine precursors by a two-step-based growth method presented here; this method includes a growth interruption for an oxidation on air between both steps of growth, reducing the nucleation of parasitic crystals on the thicker SiO x capping layer during the second and longer growth step. VLS conditions are preserved overtime, resulting in a stable NW growth rate of around 6 μm/h for growth times up to 1 h. Resulting GaAs NWs have a high aspect ratio of 85 and average radius of 35 nm. We also report on the existence of characteristic reflection high

  1. Enhancing elastic stress relaxation in SiGe/Si heterostructures by Si pillar necking

    NASA Astrophysics Data System (ADS)

    Isa, F.; Salvalaglio, M.; Arroyo Rojas Dasilva, Y.; Jung, A.; Isella, G.; Erni, R.; Timotijevic, B.; Niedermann, P.; Gröning, P.; Montalenti, F.; von Känel, H.

    2016-10-01

    We demonstrate that the elastic stress relaxation mechanism in micrometre-sized, highly mismatched heterostructures may be enhanced by employing patterned substrates in the form of necked pillars, resulting in a significant reduction of the dislocation density. Compositionally graded Si1-xGex crystals were grown by low energy plasma enhanced chemical vapour deposition, resulting in tens of micrometres tall, three-dimensional heterostructures. The patterned Si(001) substrates consist of micrometre-sized Si pillars either with the vertical {110} or isotropically under-etched sidewalls resulting in narrow necks. The structural properties of these heterostructures were investigated by defect etching and transmission electron microscopy. We show that the dislocation density, and hence the competition between elastic and plastic stress relaxation, is highly influenced by the shape of the substrate necks and their proximity to the mismatched epitaxial material. The SiGe dislocation density increases monotonically with the crystal width but is significantly reduced by the substrate under-etching. The drop in dislocation density is interpreted as a direct effect of the enhanced compliance of the under-etched Si pillars, as confirmed by the three-dimensional finite element method simulations of the elastic energy distribution.

  2. All zinc-blende GaAs/(Ga,Mn)As core-shell nanowires with ferromagnetic ordering.

    PubMed

    Yu, Xuezhe; Wang, Hailong; Pan, Dong; Zhao, Jianhua; Misuraca, Jennifer; von Molnár, Stephan; Xiong, Peng

    2013-04-10

    Combining self-catalyzed vapor-liquid-solid growth of GaAs nanowires and low-temperature molecular-beam epitaxy of (Ga,Mn)As, we successfully synthesized all zinc-blende (ZB) GaAs/(Ga,Mn)As core-shell nanowires on Si(111) substrates. The ZB GaAs nanowire cores are first fabricated at high temperature by utilizing the Ga droplets as the catalyst and controlling the triple phase line nucleation, then the (Ga,Mn)As shells are epitaxially grown on the side facets of the GaAs core at low temperature. The growth window for the pure phase GaAs/(Ga,Mn)As core-shell nanowires is found to be very narrow. Both high-resolution transmission electron microscopy and scanning electron microscopy observations confirm that all-ZB GaAs/(Ga,Mn)As core-shell nanowires with smooth side surface are obtained when the Mn concentration is not more than 2% and the growth temperature is 245 °C or below. Magnetic measurements with different applied field directions provide strong evidence for ferromagnetic ordering in the all-ZB GaAs/(Ga,Mn)As nanowires. The hybrid nanowires offer an attractive platform to explore spin transport and device concepts in fully epitaxial all-semiconductor nanospintronic structures.

  3. Atomically thin heterostructures based on single-layer tungsten diselenide and graphene.

    PubMed

    Lin, Yu-Chuan; Chang, Chih-Yuan S; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J; Robinson, Jeremy T; Wallace, Robert M; Mayer, Theresa S; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A

    2014-12-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  4. Physical origin of the incubation time of self-induced GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Consonni, V.; Trampert, A.; Geelhaar, L.

    2011-07-18

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 {+-} 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  5. Plateau-Rayleigh Crystal Growth of Nanowire Heterostructures: Strain-Modified Surface Chemistry and Morphological Control in One, Two, and Three Dimensions.

    PubMed

    Day, Robert W; Mankin, Max N; Lieber, Charles M

    2016-04-13

    One-dimensional (1D) structures offer unique opportunities for materials synthesis since crystal phases and morphologies that are difficult or impossible to achieve in macroscopic crystals can be synthesized as 1D nanowires (NWs). Recently, we demonstrated one such phenomenon unique to growth on a 1D substrate, termed Plateau-Rayleigh (P-R) crystal growth, where periodic shells develop along a NW core to form diameter-modulated NW homostructures with tunable morphologies. Here we report a novel extension of the P-R crystal growth concept with the synthesis of heterostructures in which Ge (Si) is deposited on Si (Ge) 1D cores to generate complex NW morphologies in 1, 2, or 3D. Depositing Ge on 50 nm Si cores with a constant GeH4 pressure yields a single set of periodic shells, while sequential variation of GeH4 pressure can yield multimodulated 1D NWs with two distinct sets of shell periodicities. P-R crystal growth on 30 nm cores also produces 2D loop structures, where Ge (Si) shells lie primarily on the outside (inside) of a highly curved Si (Ge) core. Systematic investigation of shell morphology as a function of growth time indicates that Ge shells grow in length along positive curvature Si cores faster than along straight Si cores by an order of magnitude. Short Ge deposition times reveal that shells develop on opposite sides of 50 and 100 nm Si cores to form straight 1D morphologies but that shells develop on the same side of 20 nm cores to produce 2D loop and 3D spring structures. These results suggest that strain mediates the formation of 2 and 3D morphologies by altering the NW's surface chemistry and that surface diffusion of heteroatoms on flexible freestanding 1D substrates can facilitate this strain-mediated mechanism.

  6. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  7. Seeded Nanowire and Microwire Growth from Lithium Alloys.

    PubMed

    Han, Sang Yun; Boebinger, Matthew G; Kondekar, Neha P; Worthy, Trevor J; McDowell, Matthew T

    2018-06-06

    Although vapor-liquid-solid (VLS) growth of nanowires from alloy seed particles is common in various semiconductor systems, related wire growth in all-metal systems is rare. Here, we report the spontaneous growth of nano- and microwires from metal seed particles during the cooling of Li-rich bulk alloys containing Au, Ag, or In. The as-grown wires feature Au-, Ag-, or In-rich metal tips and LiOH shafts; the results indicate that the wires grow as Li metal and are converted to polycrystalline LiOH during and/or after growth due to exposure to H 2 O and O 2 . This new process is a simple way to create nanostructures, and the findings suggest that metal nanowire growth from alloy seeds is possible in a variety of systems.

  8. Bright photoluminescence from ordered arrays of SiGe nanowires grown on Si(111)

    PubMed Central

    Rowell, N L; Benkouider, A; Ronda, A; Favre, L; Berbezier, I

    2014-01-01

    Summary We report on the optical properties of SiGe nanowires (NWs) grown by molecular beam epitaxy (MBE) in ordered arrays on SiO2/Si(111) substrates. The production method employs Au catalysts with self-limited sizes deposited in SiO2-free sites opened-up in the substrate by focused ion beam patterning for the preferential nucleation and growth of these well-organized NWs. The NWs thus produced have a diameter of 200 nm, a length of 200 nm, and a Ge concentration x = 0.15. Their photoluminescence (PL) spectra were measured at low temperatures (from 6 to 25 K) with excitation at 405 and 458 nm. There are four major features in the energy range of interest (980–1120 meV) at energies of 1040.7, 1082.8, 1092.5, and 1098.5 meV, which are assigned to the NW-transverse optic (TO) Si–Si mode, NW-transverse acoustic (TA), Si–substrate–TO and NW-no-phonon (NP) lines, respectively. From these results the NW TA and TO phonon energies are found to be 15.7 and 57.8 meV, respectively, which agree very well with the values expected for bulk Si1− xGex with x = 0.15, while the measured NW NP energy of 1099 meV would indicate a bulk-like Ge concentration of x = 0.14. Both of these concentrations values, as determined from PL, are in agreement with the target value. The NWs are too large in diameter for a quantum confinement induced energy shift in the band gap. Nevertheless, NW PL is readily observed, indicating that efficient carrier recombination is occurring within the NWs. PMID:25671145

  9. Continuous Growth of Hexagonal Graphene and Boron Nitride In-Plane Heterostructures by Atmospheric Pressure Chemical Vapor Deposition

    PubMed Central

    Han, Gang Hee; Rodríguez-Manzo, Julio A.; Lee, Chan-Woo; Kybert, Nicholas J.; Lerner, Mitchell B.; Qi, Zhengqing John; Dattoli, Eric N.; Rappe, Andrew M.; Drndic, Marija; Charlie Johnson, A. T.

    2013-01-01

    Graphene-boron nitride monolayer heterostructures contain adjacent electrically active and insulating regions in a continuous, single-atom thick layer. To date structures were grown at low pressure, resulting in irregular shapes and edge direction, so studies of the graphene-boron nitride interface were restricted to microscopy of nano-domains. Here we report templated growth of single crystalline hexagonal boron nitride directly from the oriented edge of hexagonal graphene flakes by atmospheric pressure chemical vapor deposition, and physical property measurements that inform the design of in-plane hybrid electronics. Ribbons of boron nitride monolayer were grown from the edge of a graphene template and inherited its crystallographic orientation. The relative sharpness of the interface was tuned through control of growth conditions. Frequent tearing at the graphene-boron nitride interface was observed, so density functional theory was used to determine that the nitrogen-terminated interface was prone to instability during cool down. The electronic functionality of monolayer heterostructures was demonstrated through fabrication of field effect transistors with boron nitride as an in-plane gate dielectric. PMID:24182310

  10. Continuous growth of hexagonal graphene and boron nitride in-plane heterostructures by atmospheric pressure chemical vapor deposition.

    PubMed

    Han, Gang Hee; Rodríguez-Manzo, Julio A; Lee, Chan-Woo; Kybert, Nicholas J; Lerner, Mitchell B; Qi, Zhengqing John; Dattoli, Eric N; Rappe, Andrew M; Drndic, Marija; Johnson, A T Charlie

    2013-11-26

    Graphene-boron nitride monolayer heterostructures contain adjacent electrically active and insulating regions in a continuous, single-atom thick layer. To date structures were grown at low pressure, resulting in irregular shapes and edge direction, so studies of the graphene-boron nitride interface were restricted to the microscopy of nanodomains. Here we report templated growth of single crystalline hexagonal boron nitride directly from the oriented edge of hexagonal graphene flakes by atmospheric pressure chemical vapor deposition, and physical property measurements that inform the design of in-plane hybrid electronics. Ribbons of boron nitride monolayer were grown from the edge of a graphene template and inherited its crystallographic orientation. The relative sharpness of the interface was tuned through control of growth conditions. Frequent tearing at the graphene-boron nitride interface was observed, so density functional theory was used to determine that the nitrogen-terminated interface was prone to instability during cool down. The electronic functionality of monolayer heterostructures was demonstrated through fabrication of field effect transistors with boron nitride as an in-plane gate dielectric.

  11. Reconstruction of perfect ZnO nanowires facets with high optical quality

    NASA Astrophysics Data System (ADS)

    Zehani, E.; Hassani, S.; Lusson, A.; Vigneron, J.; Etcheberry, A.; Galtier, P.; Sallet, V.

    2017-07-01

    ZnO nanowires were grown on sapphire substrates using metalorganic chemical vapor deposition. The samples were subsequently annealed under zinc pressure in a vacuum-sealed ampoule, at temperature ranging from 500 to 800 °C. The originality and the main motivation to provide a zinc-rich atmosphere were to prevent the out-diffusion of zinc from the nanowires. In doing so, the perfect structural properties and the morphology of the nanowires are kept. Interestingly, photoluminescence experiments performed on nanowires annealed in a narrow window of temperature [580-620 °C] show a spectacular improvement of the optical quality, as transitions commonly observable in high quality bulk samples are found. In addition, the intensity of the so-called "surface excitons" (SX) is strongly decreased. To accurately investigate the chemical modifications of the surface, XPS experiments were carried out and show that zinc hydroxide species and/or Zn(OH)2 sublayer were partially removed from the surface. These results suggest that the annealing process in zinc vapor helps to properly reconstruct the surface of ZnO nanowires, and improves the optical quality of their core. Such a thermal treatment at moderate temperature should be beneficial to nanodevices involving surface reaction, e.g. gas sensors.

  12. Engineered ZnO nanowire arrays using different nanopatterning techniques

    NASA Astrophysics Data System (ADS)

    Volk, János; Szabó, Zoltán; Erdélyi, Róbert; Khánh, Nguyen Q.

    2012-02-01

    The impact of various masking patterns and template layers on the wet chemically grown vertical ZnO nanowire arrays was investigated. The nanowires/nanorods were seeded at nucleation windows which were patterned in a mask layer using various techniques such as electron beam lithography, nanosphere photolithography, and atomic force microscope type nanolithography. The compared ZnO templates included single crystals, epitaxial layer, and textured polycrystalline films. Scanning electron microscopy revealed that the alignment and crystal orientation of the nanowires were dictated by the underlying seed layer, while their geometry can be tuned by the parameters of the certain nanopatterning technique and of the wet chemical process. The comparison of the alternative nanolithography techniques showed that using direct writing methods the diameter of the ordered ZnO nanowires can be as low as 30-40 nm at a density of 100- 1000 NW/μm2 in a very limited area (10 μm2-1 mm2). Nanosphere photolithography assisted growth, on the other hand, favors thicker nanopillars (~400 nm) and enables large-area, low-cost patterning (1-100 cm2). These alternative lowtemperature fabrication routes can be used for different novel optoelectronic devices, such as nanorod based ultraviolet photodiode, light emitting device, and waveguide laser.

  13. Vertical InAs nanowire wrap gate transistors with f(t) > 7 GHz and f(max) > 20 GHz.

    PubMed

    Egard, M; Johansson, S; Johansson, A-C; Persson, K-M; Dey, A W; Borg, B M; Thelander, C; Wernersson, L-E; Lind, E

    2010-03-10

    In this letter we report on high-frequency measurements on vertically standing III-V nanowire wrap-gate MOSFETs (metal-oxide-semiconductor field-effect transistors). The nanowire transistors are fabricated from InAs nanowires that are epitaxially grown on a semi-insulating InP substrate. All three terminals of the MOSFETs are defined by wrap around contacts. This makes it possible to perform high-frequency measurements on the vertical InAs MOSFETs. We present S-parameter measurements performed on a matrix consisting of 70 InAs nanowire MOSFETs, which have a gate length of about 100 nm. The highest unity current gain cutoff frequency, f(t), extracted from these measurements is 7.4 GHz and the maximum frequency of oscillation, f(max), is higher than 20 GHz. This demonstrates that this is a viable technique for fabricating high-frequency integrated circuits consisting of vertical nanowires.

  14. Monolithically Integrated InGaAs Nanowires on 3D Structured Silicon-on-Insulator as a New Platform for Full Optical Links.

    PubMed

    Kim, Hyunseok; Farrell, Alan C; Senanayake, Pradeep; Lee, Wook-Jae; Huffaker, Diana L

    2016-03-09

    Monolithically integrated III-V semiconductors on a silicon-on-insulator (SOI) platform can be used as a building block for energy-efficient on-chip optical links. Epitaxial growth of III-V semiconductors on silicon, however, has been challenged by the large mismatches in lattice constants and thermal expansion coefficients between epitaxial layers and silicon substrates. Here, we demonstrate for the first time the monolithic integration of InGaAs nanowires on the SOI platform and its feasibility for photonics and optoelectronic applications. InGaAs nanowires are grown not only on a planar SOI layer but also on a 3D structured SOI layer by catalyst-free metal-organic chemical vapor deposition. The precise positioning of nanowires on 3D structures, including waveguides and gratings, reveals the versatility and practicality of the proposed platform. Photoluminescence measurements exhibit that the composition of ternary InGaAs nanowires grown on the SOI layer has wide tunability covering all telecommunication wavelengths from 1.2 to 1.8 μm. We also show that the emission from an optically pumped single nanowire is effectively coupled and transmitted through an SOI waveguide, explicitly showing that this work lays the foundation for a new platform toward energy-efficient optical links.

  15. Rectifying magnetic tunnel diode like behavior in Co2MnSi/ZnO/p-Si heterostructure

    NASA Astrophysics Data System (ADS)

    Maji, Nilay; Nath, T. K.

    2018-04-01

    The rectifying magnetic tunnel diode like behavior has been observed in Co2MnSi/ZnO/p-Si heterostructure. At first an ultra thin layer of ZnO has been deposited on p-Si (100) substrate with the help of pulsed laser deposition (PLD). After that a highly spin-polarized Heusler alloy Co2MnSi (CMS) film (250 nm) has been grown on ZnO/p-Si using electron beam physical vapor deposition technique. The phase purity of the sample has been confirmed through high resolution X-Ray diffraction technique. The electrical transport properties have been investigated at various isothermal conditions in the temperature range of 77-300 K. The current-voltage characteristics exhibit an excellent rectifying tunnel diode like behavior throughout the temperature regime. The current (I) across the junction has been found to decrease with the application of an external magnetic field parallel to the plane of the CMS film clearly indicating positive junction magnetoresistance (JMR) of the heterostructure. The magnetic field dependent JMR behavior of our heterostructure has been investigated in the same temperature range. Our heterostructure clearly demonstrates a giant positive JMR at 78 K (˜264%) and it starts decreasing with increasing temperature. If we compare our results with earlier reported results on other heterostructures, it can be seen that the JMR value for our heterojunction saturates at a much lower external magnetic field, thus creating it a better alternative for spin tunnel diodes in upcoming spintronics device applications.

  16. Semiconductor-insulator transition in a YbB6 nanowire with boron vacancy

    NASA Astrophysics Data System (ADS)

    Han, Wei; Wang, Zhen; Li, Qidong; Lian, Xin; Liu, Xudong; Fan, Qinghua; Zhao, Yanming

    2018-06-01

    In this paper, we report the study of transport and magnetic properties of ytterbium hexaboride (YbB6) nanowires grown by a low trigger-temperature (200-240 °C) solid state method. The temperature dependence of resistivity shows that the YbB6 nanowire undergoes a semiconductor-insulator transition (SIT) below 20 K with an activation energy ΔE of 1 meV. The value of ρ at 2 K reaches 49 times the value of ρ at 300 K (ρ2 K/ρ300 K = 49). The observed non-saturating magnetoresistance (MR) has a linear relationship with B2. The anomalous electronic transport in the YbB6 nanowire can be explained by the mixed valence of Yb ions due to the boron deficiency supporting by the X-ray photoelectron spectroscopy (XPS) and paramagnetic magnetization.

  17. Vertically aligned GaAs nanowires on graphite and few-layer graphene: generic model and epitaxial growth.

    PubMed

    Munshi, A Mazid; Dheeraj, Dasa L; Fauske, Vidar T; Kim, Dong-Chul; van Helvoort, Antonius T J; Fimland, Bjørn-Ove; Weman, Helge

    2012-09-12

    By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.

  18. Influence of the AlN nucleation layer on the properties of AlGaN/GaN heterostructure on Si (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu

    2018-07-01

    AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.

  19. Epitaxial growth of GaN/AlN/InAlN heterostructures for HEMTs in horizontal MOCVD reactors with different designs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsatsulnikov, A. F., E-mail: andrew@beam.ioffe.ru; Lundin, W. V.; Sakharov, A. V.

    2016-09-15

    The epitaxial growth of InAlN layers and GaN/AlN/InAlN heterostructures for HEMTs in growth systems with horizontal reactors of the sizes 1 × 2', 3 × 2', and 6 × 2' is investigated. Studies of the structural properties of the grown InAlN layers and electrophysical parameters of the GaN/AlN/InAlN heterostructures show that the optimal quality of epitaxial growth is attained upon a compromise between the growth conditions for InGaN and AlGaN. A comparison of the epitaxial growth in different reactors shows that optimal conditions are realized in small-scale reactors which make possible the suppression of parasitic reactions in the gas phase.more » In addition, the size of the reactor should be sufficient to provide highly homogeneous heterostructure parameters over area for the subsequent fabrication of devices. The optimal compositions and thicknesses of the InAlN layer for attaining the highest conductance in GaN/AlN/InAlN transistor heterostructures.« less

  20. Full-scale characterization of UVLED Al(x)Ga(1-x)N nanowires via advanced electron microscopy.

    PubMed

    Phillips, Patrick J; Carnevale, Santino D; Kumar, Rajan; Myers, Roberto C; Klie, Robert F

    2013-06-25

    III-Nitride semiconductor heterostructures continue to attract a great deal of attention due to the wide range of wavelengths at which they can emit light, and the subsequent desire to employ them in optoelectronic applications. Recently, a new type of pn-junction which relies on polarization-induced doping has shown promise for use as an ultraviolet light emitting diode (UVLED); nanowire growth of this device has been successfully demonstrated. However, as these devices are still in their infancy, in order to more fully understand their physical and electronic properties, they require a multitude of characterization techniques. Specifically, the present contribution will discuss the application of advanced scanning transmission electron microscopy (STEM) to AlxGa1-xN UVLED nanowires. In addition to structural data, chemical and electronic properties will also be probed through various spectroscopy techniques, with the focus remaining on practically applying the knowledge gained via STEM to the growth procedures in order to optimize device peformance.