Sample records for o2 plasma exposure

  1. Nitrogen-doping of bulk and nanotubular TiO2 photocatalysts by plasma-assisted atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Creatore, Mariadriana; Ma, Quan-Bao; El Boukili, Aishah; Gao, Lu; Verheijen, Marcel A.; Verhoeven, M. W. G. M. (Tiny); Hensen, Emiel. J. M.

    2015-03-01

    Plasma-assisted atomic layer deposition (PA-ALD) was adopted to deposit TiO2-xNx ultrathin layers on Si wafers, calcined Ti foils and nanotubular TiO2 arrays. A range of N content and chemical bond configurations were obtained by varying the background gas (O2 or N2) during the Ti precursor exposure, while the N2/H2-fed inductively coupled plasma exposure time was varied between 2 and 20 s. On calcined Ti foils, a positive effect from N doping on photocurrent density was observed when O2 was the background gas with a short plasma exposure time (5 and 10 s). This correlates with the presence of interstitial N states in the TiO2 with a binding energy of 400 eV (Ninterst) as measured by X-ray photoelectron spectroscopy. A longer plasma time or the use of N2 as background gas results in formation of N state with a binding energy of 396 eV (Nsubst) and very low photocurrents. These Nsubst are linked to the presence of Ti3+, which act as detrimental recombination center for photo-generated electron-hole pairs. On contrary, PA-ALD treated nanotubular TiO2 arrays show no variation of photocurrent density (with respect to the pristine nanotubes) upon different plasma exposure times and when the O2 recipe was adopted. This is attributed to constant N content in the PA-ALD TiO2-xNx, regardless of the adopted recipe.

  2. Biomolecular Effects of Cold Plasma Exposure

    NASA Technical Reports Server (NTRS)

    Mogul, Rakesh; Bolshakov, Alexander A.; Chan, Suzanne L.; Stevens, Ramsey D.; Khare, Bishun N.; Meyyappan, M.; Trent, Jonathan D.; DeVincenzi, D. (Technical Monitor)

    2002-01-01

    The effects of cold plasma exposure on Deinococcus radiodurans, plasmid DNA and model proteins were assessed using microbiological, spectrometric and biochemical techniques. Exposure of D. radiodurans, an extremely radiation resistant microbe, to O2 plasma (less than or equal to 25 W, approx. 45 mTorr, 90 min) yielded a approx. 99.999 % sterilization and the sterilization rate was increased approx. 10-fold at 100 W and 500 mTorr. AFM images shows that the exposed cells are significantly deformed and possess 50-70 nm concavities. IR analysis indicates the chemical degradation of lipids, proteins and carotenoids of the cell wall and membrane. Intracellular damage was indicated by major absorbance loss at 1245, 1651 and 1538/cm corresponding to degradation of DNA and proteins, respectively. Biochemical experiments demonstrate that plasmas induce strand scissions and crosslinking of plasmid DNA, and reduction of enzyme activity; the degradation is power dependent with total sample loss occurring in 60 s at 200 W and 500 mTorr. Emission spectroscopy shows that D. radiodurans is volatilized into CO2, CO, N2 and H2O confirming the removal of biological matter from contaminated surfaces. The O2 plasma impacts several cellular components predominantly through chemical degradation by atomic oxygen. A CO2, plasma, however, was not effective at degrading D. radiodurans, revealing the importance of plasma composition, which has implications for planetary protection and the contamination of Mars.

  3. The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Graves, D. B.

    2011-08-01

    The degradation of porous low-k materials, like SiOCH, under plasma processing continues to be a problem in the next generation of integrated-circuit fabrication. Due to the exposure of the film to many species during plasma treatment, such as photons, ions, radicals, etc, it is difficult to identify the mechanisms responsible for plasma-induced damage. Using a vacuum beam apparatus with a calibrated Xe vacuum ultraviolet (VUV) lamp, we show that 147 nm VUV photons and molecular O2 alone can damage these low-k materials. Using Fourier-transform infrared (FTIR) spectroscopy, we show that VUV/O2 exposure causes a loss of methylated species, resulting in a hydrophilic, SiOx-like layer that is susceptible to H2O absorption, leading to an increased dielectric constant. The effect of VUV radiation on chemical modification of porous SiOCH films in the vacuum beam apparatus and in Ar and O2 plasma exposure was found to be a significant contributor to dielectric damage. Measurements of dielectric constant change using a mercury probe are consistent with chemical modification inferred from FTIR analysis. Furthermore, the extent of chemical modification appears to be limited by the penetration depth of the VUV photons, which is dependent on wavelength of radiation. The creation of a SiOx-like layer near the surface of the material, which grows deeper as more methyl is extracted, introduces a dynamic change of VUV absorption throughout the material over time. As a result, the rate of methyl loss is continuously changing during the exposure. We present a model that attempts to capture this dynamic behaviour and compare the model predictions to experimental data through a fitting parameter that represents the effective photo-induced methyl removal. While this model accurately simulates the methyl loss through VUV exposure by the Xe lamp and Ar plasma, the methyl loss from VUV photons in O2 plasma are only accurately depicted at longer exposure times. We conclude that other

  4. Influence of Ar/O2/H2O Feed Gas and N2/O2/H2O Environment on the Interaction of Time Modulated MHz Atmospheric Pressure Plasma Jet (APPJ) with Model Polymers

    NASA Astrophysics Data System (ADS)

    Oehrlein, Gottlieb; Luan, Pingshan; Knoll, Andrew; Kondeti, Santosh; Bruggeman, Peter

    2016-09-01

    An Ar/O2/H2O fed time modulated MHz atmospheric pressure plasma jet (APPJ) in a sealed chamber was used to study plasma interaction with model polymers (polystyrene, poly-methyl methacrylate, etc.). The amount of H2O in the feed gas and/or present in the N2, O2, or N2/O2 environment was controlled. Short lived species such as O atoms and OH radicals play a crucial role in polymer etching and surface modifications (obtained from X-ray photoelectron spectroscopy of treated polymers without additional atmospheric exposure). Polymer etching depth for Ar/air fed APPJ mirrors the decay of gas phase O atoms with distance from the APPJ nozzle in air and is consistent with the estimated O atom flux at the polymer surface. Furthermore, whereas separate O2 or H2O admixture to Ar enhances polymer etching, simultaneous addition of O2 and H2O to Ar quenches polymer etching. This can be explained by the mutual quenching of O with OH, H and HO2 in the gas phase. Results where O2 and/or H2O in the environment were varied are consistent with these mechanisms. All results will be compared with measured and simulated species densities reported in the literature. We gratefully acknowledge funding from US Department of Energy (DE-SC0001939) and National Science Foundation (PHY-1415353).

  5. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  6. Plasma-assisted adsorption of elemental mercury on CeO2/TiO2 at low temperatures

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Gao, Xiang

    2017-11-01

    Mercury is a kind of pollutants contained in flue gas which is hazardous for human beings. In this work, CeO2 was packed in the discharge zone of a plasma reactor to adsorb elemental mercury at low temperatures. Plasma-catalyst reactor can remove Hg0 efficiently with CeO2/TiO2 catalysts packed in the discharge zone. The Hg0 concentration continued to decrease gradually when the plasma was turned on, but not sank rapidly. This tendency was different with other catalysts. The treatment of plasma to CeO2/TiO2 catalysts has a promotion effect on the adsorption of Hg0. Plasma has the effect of changing the surface properties of the catalysts and the changes would restitute if the condition changed. The long-running test demonstrated that this method is an effective way to remove Hg0. The removal efficiency remained at above 99% throughout 12 hours when plasma had been turned on (15kV, 0.5 g packed CeO2/TiO2).

  7. UV-vis spectroscopy study of plasma-activated water: Dependence of the chemical composition on plasma exposure time and treatment distance

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Szili, Endre J.; Ogawa, Kotaro; Short, Robert D.; Ito, Masafumi; Furuta, Hiroshi; Hatta, Akimitsu

    2018-01-01

    Plasma-activated water (PAW) is receiving much attention in biomedical applications because of its reported potent bactericidal properties. Reactive oxygen and nitrogen species (RONS) that are generated in water upon plasma exposure are thought to be the key components in PAW that destroy bacterial and cancer cells. In addition to developing applications for PAW, it is also necessary to better understand the RONS chemistry in PAW in order to tailor PAW to achieve a specific biological response. With this in mind, we previously developed a UV-vis spectroscopy method using an automated curve fitting routine to quantify the changes in H2O2, NO2 -, NO3 - (the major long-lived RONS in PAW), and O2 concentrations. A major advantage of UV-vis is that it can take multiple measurements during plasma activation. We used the UV-vis procedure to accurately quantify the changes in the concentrations of these RONS and O2 in PAW. However, we have not yet provided an in-depth commentary of how we perform the curve fitting procedure or its implications. Therefore, in this study, we provide greater detail of how we use the curve fitting routine to derive the RONS and O2 concentrations in PAW. PAW was generated by treatment with a helium plasma jet. In addition, we employ UV-vis to study how the plasma jet exposure time and treatment distance affect the RONS chemistry and amount of O2 dissolved in PAW. We show that the plasma jet exposure time principally affects the total RONS concentration, but not the relative ratios of RONS, whereas the treatment distance affects both the total RONS concentration and the relative RONS concentrations.

  8. Oxygen plasma treatment of HKUST-1 for porosity retention upon exposure to moisture.

    PubMed

    Bae, Jaeyeon; Jung, Jin-Woo; Park, Hyo Yul; Cho, Chang-Hee; Park, Jinhee

    2017-11-07

    Despite their remarkable properties, metal-organic frameworks (MOFs) present vulnerable structures that are sensitive to moisture; therefore, their application to real field situations is challenging. Herein, an O 2 plasma technique was introduced as a new method for the activation and protection of porosity in HKUST-1. In an unprecedented manner, O 2 plasma-treated HKUST-1 retains its porosity after a long exposure to moisture as compared to pristine HKUST-1. Porosity retention was examined by N 2 adsorption/desorption measurements of non-activated HKUST-1 after exposure to moisture.

  9. Comparison of Erosion Behavior and Particle Contamination in Mass-Production CF4/O2 Plasma Chambers Using Y2O3 and YF3 Protective Coatings

    PubMed Central

    Lin, Tzu-Ken; Wang, Wei-Kai; Huang, Shih-Yung; Tasi, Chi-Tsung

    2017-01-01

    Yttrium fluoride (YF3) and yttrium oxide (Y2O3) protective coatings prepared using an atmospheric plasma spraying technique were used to investigate the relationship between surface erosion behaviors and their nanoparticle generation under high-density plasma (1012–1013 cm−3) etching. As examined by transmission electron microscopy, the Y2O3 and YF3 coatings become oxyfluorinated after exposure to the plasma, wherein the yttrium oxyfluoride film formation was observed on the surface with a thickness of 5.2 and 6.8 nm, respectively. The difference in the oxyfluorination of Y2O3 and YF3 coatings could be attributed to Y–F and Y–O bonding energies. X-ray photoelectron spectroscopy analyses revealed that a strongly fluorinated bonding (Y–F bond) was obtained on the etched surface of the YF3 coating. Scanning electron microscopy and energy dispersive X-ray diffraction analysis revealed that the nanoparticles on the 12-inch wafer are composed of etchant gases and Y2O3. These results indicate that the YF3 coating is a more erosion-resistant material, resulting in fewer contamination particles compared with the Y2O3 coating. PMID:28708079

  10. Argon-plasma-controlled optical reset in the SiO2/Cu filamentary resistive memory stack

    NASA Astrophysics Data System (ADS)

    Kawashima, T.; Yew, K. S.; Zhou, Y.; Ang, D. S.; Zhang, H. Z.; Kyuno, K.

    2018-05-01

    We show that resistive switching in the SiO2/Cu stack can be modified by a brief exposure of the oxide to an Ar plasma. The set voltage of the SiO2/Cu stack is reduced by 33%, while the breakdown voltage of the SiO2/Si stack (control) is almost unchanged. Besides, the Ar plasma treatment suppresses the negative photoconductivity or optical resistance reset effect, where the electrically formed filamentary conductive path consisting of Cu-ion and oxygen-vacancy clusters is disrupted by the recombination of the oxygen vacancies with nearby light-excited oxygen ions. From the enhanced O-H peak in the Fourier-transform infrared spectrum of the plasma-treated oxide, it is proposed that the Ar plasma has created more oxygen vacancies in the surface region of the oxide. These vacancies in turn adsorb water molecules, which act as counter anions (OH-) promoting the migration of Cu cations into the oxide and forming a more complete Cu filament that is less responsive to light. The finding points to the prospect of a control over the optical resistance reset effect by a simple surface treatment step.

  11. Optical characteristics of a RF DBD plasma jet in various {Ar}/ {O}_{2}Ar/O2 mixtures

    NASA Astrophysics Data System (ADS)

    Falahat, A.; Ganjovi, A.; Taraz, M.; Ravari, M. N. Rostami; Shahedi, A.

    2018-02-01

    In this paper, using the optical emission spectroscopy (OES) technique, the optical characteristics of a radiofrequency (RF) plasma jet are examined. The Ar/O2 mixture is taken as the operational gas and, the Ar percentage in the Ar/O2 mixture is varied from 70% to 95%. Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H_{β }. It is mostly seen that, the radiation intensity of Ar 4p→ 4s transitions at higher argon contributions in Ar/O2 mixture is higher. It is found that, at higher Ar percentages, the emission intensities from atomic oxygen (O) are higher and, the line intensities from the argon atoms and ions including O atoms linearly increase. It is observed that the quenching of Ar^{*} with O2 results in higher O species with respect to O2 molecules. In addition, at higher percentages of Ar in the Ar/O2 mixture, while the excitation temperature is decreased, the electron density is increased.

  12. TiO2 Nanoparticle-Induced Oxidation of the Plasma Membrane: Importance of the Protein Corona.

    PubMed

    Runa, Sabiha; Lakadamyali, Melike; Kemp, Melissa L; Payne, Christine K

    2017-09-21

    Titanium dioxide (TiO 2 ) nanoparticles, used as pigments and photocatalysts, are widely present in modern society. Inhalation or ingestion of these nanoparticles can lead to cellular-level interactions. We examined the very first step in this cellular interaction, the effect of TiO 2 nanoparticles on the lipids of the plasma membrane. Within 12 h of TiO 2 nanoparticle exposure, the lipids of the plasma membrane were oxidized, determined with a malondialdehyde assay. Lipid peroxidation was inhibited by surface passivation of the TiO 2 nanoparticles, incubation with an antioxidant (Trolox), and the presence of serum proteins in solution. Subsequent experiments determined that serum proteins adsorbed on the surface of the TiO 2 nanoparticles, forming a protein corona, inhibit lipid peroxidation. Super-resolution fluorescence microscopy showed that these serum proteins were clustered on the nanoparticle surface. These protein clusters slow lipid peroxidation, but by 24 h, the level of lipid peroxidation is similar, independent of the protein corona or free serum proteins. Additionally, over 24 h, this corona of proteins was displaced from the nanoparticle surface by free proteins in solution. Overall, these experiments provide the first mechanistic investigation of plasma membrane oxidation by TiO 2 nanoparticles, in the absence of UV light and as a function of the protein corona, approximating a physiological environment.

  13. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  14. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  15. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  16. AC Glow Discharge Plasma in N2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yousif, F. B.; Martinez, H.; Robledo-Martinez, A.

    2006-12-04

    This paper considers the optical and electrical characterization of AC glow discharge plasma in the abnormal glow mode used for optical emission spectroscopy. The total discharge current and applied voltage are measured using conventional techniques. The electrical characteristics of the planer-cathode glow discharge confirmed that the plasma is operating at abnormal discharge mode characterized by the increases in the operating voltage as the current was raised under given pressure. Optical emission spectroscopy was used to determine the main emission lines of the glow discharge plasma of N2O at pressures between 0.5 and 4.0 Torr. It shows that the discharge emissionmore » range is mainly within 300-400 nm. The emission lines correspond to NO, O2, and O{sub 2}{sup +} are the dominant lines in the glow discharge plasma in the present study. Intensity of the emission lines show linear increase with the discharge current up to 0.4 A followed by saturation at higher currents. No emission lines were observed in this work corresponding to atomic oxygen or nitrogen.« less

  17. Personal exposure to metal fume, NO2, and O3 among production welders and non-welders.

    PubMed

    Schoonover, Todd; Conroy, Lorraine; Lacey, Steven; Plavka, Julie

    2011-01-01

    The objective of this study was to characterize personal exposures to welding-related metals and gases for production welders and non-welders in a large manufacturing facility. Welding fume metals and irritant gases nitrogen dioxide (NO(2)) and ozone (O(3)) were sampled for thirty-eight workers. Personal exposure air samples for welding fume metals were collected on 37 mm open face cassettes and nitrogen dioxide and ozone exposure samples were collected with diffusive passive samplers. Samples were analyzed for metals using Inductively Coupled Plasma Mass Spectrometry (ICP-MS) and welding fume metal exposure concentrations were defined as the sum of welding-related metals mass per volume of air sampled. Welding fume metal exposures were highly variable among similar types of welding while NO(2) and O(3) exposure were less variable. Welding fume metal exposures were significantly higher 474 μg/m(3) for welders than non-welders 60 μg/m(3) (p=0.001). Welders were exposed to higher concentrations of NO(2) and O(3) than non-welders but the differences were not statistically significant. Welding fume metal exposure concentrations for welders performing gas metal arc welding (GMAW) and shielded metal arc welding (SMAW) were higher than welders performing gas tungsten arc welding (GTAW). Non-welders experienced exposures similar to GTAW welders despite a curtain wall barrier separating welding and non-welding work areas.

  18. Atmospheric plasma sprayed (APS) coatings of Al2O3-TiO2 system for photocatalytic application.

    PubMed

    Stengl, V; Ageorges, H; Ctibor, P; Murafa, N

    2009-05-01

    The goal of this study is to examine the photocatalytic ability of coatings produced by atmospheric plasma spraying (APS). The plasma gun used is a common gas-stabilized plasma gun (GSP) working with a d.c. current and a mixture of argon and hydrogen as plasma-forming gas. The TiO(2) powders are particles of about 100 nm which were agglomerated to a mean size of about 55 mum, suitable for spraying. Composition of the commercial powder is 13 wt% of TiO(2) in Al(2)O(3), whereas also in-house prepared powder with the same nominal composition but with agglomerated TiO(2) and conventional fused and crushed Al(2)O(3) was sprayed. The feedstock materials used for this purpose are alpha-alumina and anatase titanium dioxide. The coatings are analyzed by scanning electron microscopy (SEM), energy dispersion probe (EDS) and X-ray diffraction. Photocatalytic degradation of acetone is quantified for various coatings. All plasma sprayed coatings show a lamellar structure on cross section, as typical for this process. Anatase titania from feedstock powder is converted into rutile titania and alpha-alumina partly to gamma-alumina. Coatings are proven to catalyse the acetone decomposition when irradiated by UV rays.

  19. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    NASA Astrophysics Data System (ADS)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  20. Time-Resolved Optical Emission Spectroscopy Diagnosis of CO2 Laser-Produced SnO2 Plasma

    NASA Astrophysics Data System (ADS)

    Lan, Hui; Wang, Xinbing; Zuo, Duluo

    2016-09-01

    The spectral emission and plasma parameters of SnO2 plasmas have been investigated. A planar ceramic SnO2 target was irradiated by a CO2 laser with a full width at half maximum of 80 ns. The temporal behavior of the specific emission lines from the SnO2 plasma was characterized. The intensities of Sn I and Sn II lines first increased, and then decreased with the delay time. The results also showed a faster decay of Sn I atoms than that of Sn II ionic species. The temporal evolutions of the SnO2 plasma parameters (electron temperature and density) were deduced. The measured temperature and density of SnO2 plasma are 4.38 eV to 0.5 eV and 11.38×1017 cm-3 to 1.1×1017 cm-3, for delay times between 0.1 μs and 2.2 μs. We also investigated the effect of the laser pulse energy on SnO2 plasma. supported by National Natural Science Foundation of China (No. 11304235) and the Director Fund of WNLO

  1. Plasma sterilization of Geobacillus Stearothermophilus by O{mathsf2}:N{mathsf2} RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Sasaki, T.; Rossi, F.

    2006-05-01

    The aim of this work is to identify the main process responsible for sterilization of Geobacillus Stearothermophilus spores in O{2}:N{2} RF inductively coupled plasma. In order to meet this objective the sterilization efficiencies of discharges in mixtures differing in the initial O{2}/N{2} ratios are compared with plasma properties and with scanning electron microscopy images of treated spores. According to the obtained results it can be concluded that under our experimental conditions the time needed to reach complete sterilization is more related to O atom density than UV radiation intensity, i.e. complete sterilization is not related only to DNA damage as in UV sterilization but more likely to the etching of the spore.

  2. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreasedmore » sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.« less

  3. Enhanced Dispersion of TiO2 Nanoparticles in a TiO2/PEDOT:PSS Hybrid Nanocomposite via Plasma-Liquid Interactions

    NASA Astrophysics Data System (ADS)

    Liu, Yazi; Sun, Dan; Askari, Sadegh; Patel, Jenish; Macias-Montero, Manuel; Mitra, Somak; Zhang, Richao; Lin, Wen-Feng; Mariotti, Davide; Maguire, Paul

    2015-10-01

    A facile method to synthesize a TiO2/PEDOT:PSS hybrid nanocomposite material in aqueous solution through direct current (DC) plasma processing at atmospheric pressure and room temperature has been demonstrated. The dispersion of the TiO2 nanoparticles is enhanced and TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased electrical conductivity was observed for the plasma treated TiO2/PEDOT:PSS nanocomposite. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma treated TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are proposed to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer binding.

  4. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  5. VO(2max) and Microgravity Exposure: Convective versus Diffusive O(2) Transport.

    PubMed

    Ade, Carl J; Broxterman, Ryan M; Barstow, Thomas J

    2015-07-01

    Exposure to a microgravity environment decreases the maximal rate of O2 uptake (VO(2max)) in healthy individuals returning to a gravitational environment. The magnitude of this decrease in VO(2max) is, in part, dependent on the duration of microgravity exposure, such that long exposure may result in up to a 38% decrease in VO(2max). This review identifies the components within the O(2) transport pathway that determine the decrease in postmicrogravity VO(2max) and highlights the potential contributing physiological mechanisms. A retrospective analysis revealed that the decline in VO(2max) is initially mediated by a decrease in convective and diffusive O(2) transport that occurs as the duration of microgravity exposure is extended. Mechanistically, the attenuation of O(2) transport is the combined result of a deconditioning across multiple organ systems including decreases in total blood volume, red blood cell mass, cardiac function and mass, vascular function, skeletal muscle mass, and, potentially, capillary hemodynamics, which become evident during exercise upon re-exposure to the head-to-foot gravitational forces of upright posture on Earth. In summary, VO(2max) is determined by the integration of central and peripheral O(2) transport mechanisms, which, if not maintained during microgravity, will have a substantial long-term detrimental impact on space mission performance and astronaut health.

  6. Increased plasma O2 solubility improves O2 uptake of in situ dog muscle working maximally.

    PubMed

    Hogan, M C; Willford, D C; Keipert, P E; Faithfull, N S; Wagner, P D

    1992-12-01

    A perfluorocarbon emulsion [formulation containing 90% wt/vol perflubron (perfluorooctylbromide); Alliance Pharmaceutical] was used to increase O2 solubility in the plasma compartment during hyperoxic low hemoglobin concentration ([Hb]) perfusion of a maximally working dog muscle in situ. Our hypothesis was that the increased plasma O2 solubility would increase the muscle O2 diffusing capacity (DO2) by augmenting the capillary surface area in contact with high [O2]. Oxygen uptake (VO2) was measured in isolated in situ canine gastrocnemius (n = 4) while working for 6 min at a maximal stimulation rate of 1 Hz (isometric tetanic contractions) on three to four separate occasions for each muscle. On each occasion, the last 4 min of the 6-min work period was split into 2 min of a control treatment (only emulsifying agent mixed into blood) and 2 min of perflubron treatment (6 g/kg body wt), reversing the order for each subsequent work bout. Before contractions, the [Hb] of the dog was decreased to 8-9 g/100 ml and arterial PO2 was increased to 500-600 Torr by having the dog breathe 100% O2 to maximize the effect of the perflubron. Muscle blood flow was held constant between the two experimental conditions. Plasma O2 solubility was almost doubled to 0.005 ml O2 x 100 ml blood-1 x Torr-1 by the addition of the perflubron. Muscle O2 delivery and maximal VO2 were significantly improved (at the same blood flow and [Hb]) by 11 and 12.6%, respectively (P < 0.05), during the perflubron treatment compared with the control. O2 extraction by the muscle remained the same between the two treatments, as did the estimate of DO2.(ABSTRACT TRUNCATED AT 250 WORDS)

  7. Mechanical Properties of Plasma-Sprayed ZrO2-8 wt% Y2O3 Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Choi, Sung R.; Zhu, Dongming; Miller, Robert A.

    2004-01-01

    Mechanical behavior of free standing, plasma-sprayed ZrO2-8 wt% Y2O3 thermal barrier coatings, including strength, fracture toughness, fatigue, constitutive relation, elastic modulus, and directionality, has been determined under various loading-specimen configurations. This report presents and describes a summary of mechanical properties of the plasma-sprayed coating material to provide them as a design database.

  8. Comparing plasma and X-ray exposure and identifying vulnerable cell parts

    NASA Astrophysics Data System (ADS)

    Graham, Bill

    2012-10-01

    Here two issues in plasma medicine that are being addressed in a collaboration between the Centre of Plasma Physics and the School of Pharmacy at Queen's University Belfast and the Plasma Institute at York University UK will be discussed. Recent measurements of the interaction of plasmas created directly in DMEM cell medium and MDAMB-231, a human breast cancer cell line, showed evidence of reduced cell viability and of DNA damage. The same set of experiments were undertaken but with X-ray exposure. A correlation of the dependence on plasma exposure time and X-ray dose was observed which might point the way to dose definition in plasma medicine. We have also been working to identify the cell parts most vulnerable to plasma exposure. In this study a 10 kHz atmospheric pressure non-thermal plasma jet, operating in He/0.5%O2 and characterized to determine the behavior of many of the plasma species, was incident onto the surface of media containing either bacterial strains, in their planktonic and biofilm forms, or isolated bacterial plasmid DNA. The results of measurements to look for changes in plasmid structural conformation, rates of single and double strand breaks, the catalytic activity of certain bacterial enzymes, the peroxidation of lipid content of the bacterial cells, the leakage of ATP and Scanning Electron Microscope (SEM) images will be discussed.

  9. Ellipsometric study of Al2O3/Ag/Si and SiO2/Ag/quartz ashed in an oxygen plasma. [protective coatings to prevent degradation of materials in low earth orbits

    NASA Technical Reports Server (NTRS)

    De, Bhola N.; Woollam, John A.

    1989-01-01

    The growth of silver oxide (proposed as a potentially useful protective coating for space environment) on a silver mirror coated with an Al2O3 or a SiO2 protective layer was investigated using the monolayer-sensitive variable angle of incidence spectroscopic ellipsometry technique. The samples were exposed to a pure oxygen plasma in a plasma asher, and the silver oxide growth was monitored as a function of the exposure time. It was found that atomic oxygen in the asher penetrated through the SiO2 or Al2O3 coatings to convert the silver underneath to silver oxide, and that the quantity of the silver oxide formed was proportional to the ashing time. The band gap of silver oxide was determined to be 1.3 eV. A schematic diagram of the variable angle of incidence spectroscopic ellipsometer is included.

  10. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  11. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  12. Predictive dose-based estimation of systemic exposure multiples in mouse and monkey relative to human for antisense oligonucleotides with 2'-o-(2-methoxyethyl) modifications.

    PubMed

    Yu, Rosie Z; Grundy, John S; Henry, Scott P; Kim, Tae-Won; Norris, Daniel A; Burkey, Jennifer; Wang, Yanfeng; Vick, Andrew; Geary, Richard S

    2015-01-20

    Evaluation of species differences and systemic exposure multiples (or ratios) in toxicological animal species versus human is an ongoing exercise during the course of drug development. The systemic exposure ratios are best estimated by directly comparing area under the plasma concentration-time curves (AUCs), and sometimes by comparing the dose administered, with the dose being adjusted either by body surface area (BSA) or body weight (BW). In this study, the association between AUC ratio and the administered dose ratio from animals to human were studied using a retrospective data-driven approach. The dataset included nine antisense oligonucleotides (ASOs) with 2'-O-(2-methoxyethyl) modifications, evaluated in two animal species (mouse and monkey) following single and repeated parenteral administrations. We found that plasma AUCs were similar between ASOs within the same species, and are predictable to human exposure using a single animal species, either mouse or monkey. Between monkey and human, the plasma exposure ratio can be predicted directly based on BW-adjusted dose ratios, whereas between mouse and human, the exposure ratio would be nearly fivefold lower in mouse compared to human based on BW-adjusted dose values. Thus, multiplying a factor of 5 for the mouse BW-adjusted dose would likely provide a reasonable AUC exposure estimate in human at steady-state.

  13. Plasma protein denaturation with graded heat exposure.

    PubMed

    Vazquez, R; Larson, D F

    2013-11-01

    During cardiopulmonary bypass (CPB), perfusion at tepid temperatures (33-35 °C) is recommended to avoid high temperature cerebral hyperthermia during and after the operation. However, the ideal temperature for uncomplicated adult cardiac surgery is an unsettled question. Typically, the heat exchanger maximum temperature is monitored between 40-42 °C to prevent denaturation of plasma proteins, but studies have not been performed to make these conclusions. Therefore, our hypothesis was to determine the temperature in which blood plasma protein degradation occurs after 2 hours of heat exposure. As a result, blood plasma proteins were exposed to heat in the 37-50 °C range for 2 hours. Plasma protein samples were loaded onto an 8-12% gradient gel for SDS-PAGE and low molecular weight plasma protein degradation was detected with graded heat exposure. Protein degradation was first detected between 43-45 °C of heat exposure. This study supports the practice of monitoring the heat exchanger between 40-42 °C to prevent denaturation of plasma proteins.

  14. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  15. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    NASA Astrophysics Data System (ADS)

    Balvay, A.; Thieriet, N.; Lakhdar, L.; Bencsik, A.

    2013-04-01

    Titanium and silicon dioxide nanoparticles (TiO2 and SiO2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO2 and SiO2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO2 and SiO2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO2 and SiO2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO2 and SiO2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO2 and SiO2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better characterize TiO2

  16. Non-equilibrium nitrogen DC-arc plasma treatment of TiO2 nanopowder.

    PubMed

    Suzuki, Yoshikazu; Gonzalez-Aguilar, José; Traisnel, Noel; Berger, Marie-Hélène; Repoux, Monique; Fulcheri, Laurent

    2009-01-01

    Non-equilibrium nitrogen DC-arc plasma treatment of a commercial TiO2 anatase nanopowder was examined to obtain nitrogen-doped TiO2. By using a non-thermal discharge at low current (150 mA) and high voltage (1200 V) using pure N2 gas, light yellowish-gray TiO2 powder was successfully obtained within a short period of 5-10 min. XPS and TEM-EELS studies confirmed the existence of doped nitrogen. Due to the relatively mild conditions (plasma power of 180 W), metastable anatase structure and fine crystallite size of TiO2 (ca. 10 nm) were maintained after the plasma treatment. The in-flight powder treatment system used in this study is promising for various type of powder treatment.

  17. Synergistic Effect of H2O2 and NO2 in Cell Death Induced by Cold Atmospheric He Plasma

    PubMed Central

    Girard, Pierre-Marie; Arbabian, Atousa; Fleury, Michel; Bauville, Gérard; Puech, Vincent; Dutreix, Marie; Sousa, João Santos

    2016-01-01

    Cold atmospheric pressure plasmas (CAPPs) have emerged over the last decade as a new promising therapy to fight cancer. CAPPs’ antitumor activity is primarily due to the delivery of reactive oxygen and nitrogen species (RONS), but the precise determination of the constituents linked to this anticancer process remains to be done. In the present study, using a micro-plasma jet produced in helium (He), we demonstrate that the concentration of H2O2, NO2− and NO3− can fully account for the majority of RONS produced in plasma-activated buffer. The role of these species on the viability of normal and tumour cell lines was investigated. Although the degree of sensitivity to H2O2 is cell-type dependent, we show that H2O2 alone cannot account for the toxicity of He plasma. Indeed, NO2−, but not NO3−, acts in synergy with H2O2 to enhance cell death in normal and tumour cell lines to a level similar to that observed after plasma treatment. Our findings suggest that the efficiency of plasma treatment strongly depends on the combination of H2O2 and NO2− in determined concentrations. We also show that the interaction of the He plasma jet with the ambient air is required to generate NO2− and NO3− in solution. PMID:27364563

  18. Parametric study of plasma-mediated thermoluminescence produced by Al2O3 sub-micron powders

    NASA Astrophysics Data System (ADS)

    Morávek, T.; Ambrico, P. F.; Ambrico, M.; Schiavulli, L.; Ráheľ, J.

    2017-10-01

    Sub-micron Al2O3 powders with a surface activated by dielectric barrier discharge exhibit improved performance in wet deposition of ceramic layers. In addressing the possible mechanisms responsible for the observed improvement, a comprehensive thermoluminescence (TL) study of plasma-activated powders was performed. TL offers the unique possibility of exploring the population of intrinsic electrons/holes in the charge trapping states. This study covers a wide range of experimental conditions affecting the TL of powders: treatment time, plasma working gas composition, change of discharge configuration, step-annealing of powder, exposure to laser irradiation and aging time. Deconvoluted TL spectra were followed for the changes in their relative contributions. The TL spectra of all tested gases (air, Ar, N2 and 5% He in N2) consist of the well-known main dosimetric peak at 450 K and a peak of similar magnitude at higher temperatures, centered between 700 and 800 K depending on the working gas used. N2 plasma treatment gave rise to a new specific TL peak at 510 K, which exhibited several peculiarities. Initial thermal annealing of Al2O3 powders led to its significant amplification (unlike the other peaks); the peak was insensitive to optical bleaching, and it exhibited slow gradual growth during the long-term aging test. Besides its relevance to the ceramic processing studies, a comprehensive set of data is presented that provides a useful and unconventional view on plasma-mediated material changes.

  19. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    PubMed

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Characteristics of surface-wave plasma with air-simulated N2 O2 gas mixture for low-temperature sterilization

    NASA Astrophysics Data System (ADS)

    Xu, L.; Nonaka, H.; Zhou, H. Y.; Ogino, A.; Nagata, T.; Koide, Y.; Nanko, S.; Kurawaki, I.; Nagatsu, M.

    2007-02-01

    Sterilization experiments using low-pressure air discharge plasma sustained by the 2.45 GHz surface-wave have been carried out. Geobacillus stearothermoplilus spores having a population of 3.0 × 106 were sterilized for only 3 min using air-simulated N2-O2 mixture gas discharge plasma, faster than the cases of pure O2 or pure N2 discharge plasmas. From the SEM analysis of plasma-irradiated spores and optical emission spectroscopy measurements of the plasmas, it has been found that the possible sterilization mechanisms of air-simulated plasma are the chemical etching effect due to the oxygen radicals and UV emission from the N2 molecules and NO radicals in the wavelength range 200-400 nm. Experiment suggested that UV emission in the wavelength range less than 200 nm might not be significant in the sterilization. The UV intensity at 237.0 nm originated from the NO γ system (A 2Σ+ → X 2Π) in N2-O2 plasma as a function of the O2 percentage added to N2-O2 mixture gas has been investigated. It achieved its maximum value when the O2 percentage was roughly 10-20%. This result suggests that air can be used as a discharge gas for sterilization, and indeed we have confirmed a rapid sterilization with the actual air discharge at a sample temperature of less than 65 °C.

  1. Chemical Stability and Biological Properties of Plasma-Sprayed CaO-SiO2-ZrO2 Coatings

    NASA Astrophysics Data System (ADS)

    Liang, Ying; Xie, Youtao; Ji, Heng; Huang, Liping; Zheng, Xuebin

    2010-12-01

    In this work, calcia-stabilized zirconia powders were coated by silica derived from tetraethoxysilane (TEOS) hydrolysis. After calcining at 1400 °C, decalcification of calcia-stabilized zirconia by silica occurred and powders composed of Ca2SiO4, ZrO2, and CaZrO3 were prepared. We produced three kinds of powders with different Ca2SiO4 contents [20 wt.% (denoted as CZS2), 40 wt.% (denoted as CZS4), and 60 wt.% (denoted as CZS6)]. The obtained powders were sprayed onto Ti-6Al-4V substrates using atmospheric plasma spraying. The microstructure of the powders and coatings were analyzed. The dissolution rates of the coatings were assessed by monitoring the ions release and mass losses after immersion in Tris-HCl buffer solution. Results showed that the chemical stability of the coatings were significantly improved compared with pure calcium silicate coatings, and increased with the increase of Zr contents. The CZS4 coating showed not only good apatite-formation ability in simulated body fluid, but also well attachment and proliferation capability for the canine bone marrow stem cells. Results presented here indicate that plasma-sprayed CZS4 coating has medium dissolution rate and good biological properties, suggesting its potential use as bone implants.

  2. Spectral and ion emission features of laser-produced Sn and SnO2 plasmas

    NASA Astrophysics Data System (ADS)

    Hui, Lan; Xin-Bing, Wang; Du-Luo, Zuo

    2016-03-01

    We have made a detailed comparison of the atomic and ionic debris, as well as the emission features of Sn and SnO2 plasmas under identical experimental conditions. Planar slabs of pure metal Sn and ceramic SnO2 are irradiated with 1.06 μm, 8 ns Nd:YAG laser pulses. Fast photography employing an intensified charge coupled device (ICCD), optical emission spectroscopy (OES), and optical time of flight emission spectroscopy are used as diagnostic tools. Our results show that the Sn plasma provides a higher extreme ultraviolet (EUV) conversion efficiency (CE) than the SnO2 plasma. However, the kinetic energies of Sn ions are relatively low compared with those of SnO2. OES studies show that the Sn plasma parameters (electron temperature and density) are lower compared to those of the SnO2 plasma. Furthermore, we also give the effects of the vacuum degree and the laser pulse energy on the plasma parameters. Project supported by the National Natural Science Foundation of China (Grant No. 11304235) and the Director Fund of WNLO, China.

  3. In vitro antimicrobial effects and mechanism of atmospheric-pressure He/O2 plasma jet on Staphylococcus aureus biofilm

    NASA Astrophysics Data System (ADS)

    Xu, Zimu; Shen, Jie; Cheng, Cheng; Hu, Shuheng; Lan, Yan; Chu, Paul K.

    2017-03-01

    The antimicrobial effects and associated mechanism of inactivation of Staphylococcus aureus (S. aureus) NCTC-8325 biofilms induced by a He/O2 atmospheric-pressure plasma jet (APPJ) are investigated in vitro. According to CFU (colony forming units) counting and the resazurin-based assay, the 10 min He/O2 (0.5%) APPJ treatment produces the optimal inactivation efficacy (>5 log10 ml-1) against the S. aureus biofilm and 5% of the bacteria enter a viable but non-culturable (VBNC) state. Meanwhile, 94% of the bacteria suffer from membrane damage according to SYTO 9/PI counterstaining. Scanning electron microscopy (SEM) reveals that plasma exposure erodes the extracellular polymeric substances (EPS) and then the cellular structure. The H2DCFDA-stained biofilms show larger concentrations of intracellular reactive oxygen species (ROS) in membrane-intact bacteria with increasing plasma dose. The admixture of oxygen in the working gas highly contributes to the deactivation efficacy of the APPJ against S. aureus and the plasma-induced endogenous ROS may work together with the discharge-generated ROS to continuously damage the bacterial membrane structure leading to deactivation of the biofilm microbes.

  4. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  5. Parametric computational study of sheaths in multicomponent Ar/O2 plasma

    NASA Astrophysics Data System (ADS)

    Hromadka, J.; Ibehej, T.; Hrach, R.

    2018-02-01

    Our study is devoted to sheath structures emerging in Ar/O2 plasma. By means of two dimensional PIC/MCC computer model two configurations were investigated - sheath structure in the vicinity of a cylindrical Langmuir probe for two different biases and changes of the sheath structure when a cylindrical probe passes into a semi-planar probe. It was shown that O+ ions play important role in shielding out negative bias of a solid immersed in Ar/O2 plasma and edge effects of a semi-planar probe on its sheath structure were evaluated.

  6. Indium doped ZnO nano-powders prepared by RF thermal plasma treatment of In2O3 and ZnO

    NASA Astrophysics Data System (ADS)

    Lee, Mi-Yeon; Song, Min-Kyung; Seo, Jun-Ho; Kim, Min-Ho

    2015-06-01

    Indium doped ZnO nano-powders were synthesized by the RF thermal plasma treatment of In2O3 and ZnO. For this purpose, micron-sized ZnO powder was mixed with In2O3 powder at the In/Zn ratios of 0.0, 1.2, and 2.4 at. % by ball milling for 1 h, after which the mixtures were injected into RF thermal plasma generated at the plate power level of ˜140 kV A. As observed from the field emission scanning electron microscopy (FE-SEM) images of the RF plasma-treated powders, hexagonal prism-shaped nano-crystals were mainly obtained along with multi-pod type nano-particles, where the number of multi-pods decreased with increasing In/Zn ratios. In addition, the X-ray diffraction (XRD) data for the as-treated nano-powders showed the diffraction peaks for the In2O3 present in the precursor mixture to disappear, while the crystalline peaks for the single phase of ZnO structure shifted toward lower Bragg angles. In the UV-vis absorption spectra of the as-treated powders, redshifts were also observed with increases of the In/Zn ratios. Together with the FE-SEM images and the XRD data, the redshifts were indicative of the doping process of ZnO with indium, which took place during the RF thermal plasma treatment of In2O3 and ZnO.

  7. Preparation, characterization of Fe3O4 at TiO2 magnetic nanoparticles and their application for immunoassay of biomarker of exposure to organophosphorus pesticides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xiao; Wang, Hongbo; Yang, Chunming

    2013-03-15

    Novel Fe3O4 at TiO2 magnetic nanoparticles were prepared and developed for a new nanoparticle-based immunosensor for electrochemical quantification of organophosphorylated butyrylcholinesterase (BChE) in plasma, a specific biomarker of exposure to organophosphorus (OP) agents. The Fe3O4 at TiO2 nanoparticles were synthesized by hydrolysis of tetrabutyltitanate on the surface of Fe3O4 magnetic nanospheres, and characterized by attenuated total reflection Fourier-transform infrared spectra, transmission electron microscope and X-ray diffraction. The functional Fe3O4 at TiO2 nanoparticles were performed as capture antibody to selectively enrich phosphorylated moiety instead of phosphoserine antibody in the traditional sandwich immunoassays. The secondary recognition was served by quantum dots (QDs)-taggedmore » anti-BChE antibody (QDs-anti-BChE). With the help of a magnet, the resulting sandwich-like complex, Fe3O4 at TiO2/OP-BChE/QDs-anti-BChE, was easily isolated from sample solutions and the released cadmium ions were detected on a disposable screen-printed electrode (SPE). The binding affinities were investigated by both surface plasmon resonance (SPR) and square wave voltammetry (SWV). This method not only avoids the drawback of unavailability of commercial OP-specific antibody but also amplifies detection signal by QDs-tags together with easy separation of samples by magnetic forces. The proposed immunosensor yields a linear response over a broad OP-BChE concentrations range from 0.02 to 10 nM, with detection limit of 0.01 nM. Moreover, the disposable nanoparticle-based immunosensor has been validated with human plasma samples. It offers a new method for rapid, sensitive, selective and inexpensive screening/evaluating exposure to OP pesticides.« less

  8. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  9. Al2O3-ZrO2 Finely Structured Multilayer Architectures from Suspension Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Tingaud, Olivier; Montavon, Ghislain; Denoirjean, Alain; Coudert, Jean-François; Rat, Vincent; Fauchais, Pierre

    2010-01-01

    Suspension plasma spraying (SPS) is an alternative to conventional atmospheric plasma spraying (APS) aiming at manufacturing thinner layers (i.e., 10-100 μm) due to the specific size of the feedstock particles, from a few tens of nanometers to a few micrometers. The staking of lamellae and particles, which present a diameter ranging from 0.1 to 2.0 μm and an average thickness from 20 to 300 nm, permits to manufacture finely structured layers. Moreover, it appears as a versatile process able to manufacture different coating architectures according to the operating parameters (suspension properties, injection configuration, plasma properties, spray distance, torch scan velocity, scanning step, etc.). However, the different parameters controlling the properties of the coating, and their interdependences, are not yet fully identified. Thus, the aim of this paper is, on the one hand, to better understand the influence of operating parameters on the coating manufacturing mechanisms (in particular, the plasma gas mixture effect) and, on the other hand, to produce Al2O3-ZrO2 finely structured layers with large varieties of architectures. For this purpose, a simple theoretical model was used to describe the plasma torch operating conditions at the nozzle exit, based on experimental data (mass enthalpy, arc current intensity, thermophysical properties of plasma forming gases, etc.) and the influences of the spray parameters were determined by mean of the study of sizes and shapes of spray beads. The results enabled then to reach a better understanding of involved phenomena and their interactions on the final coating architectures permitting to manufacture several types of microstructures.

  10. Understanding the mechanisms of interfacial reactions during TiO{sub 2} layer growth on RuO{sub 2} by atomic layer deposition with O{sub 2} plasma or H{sub 2}O as oxygen source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaker, A.; Szkutnik, P. D.; Pointet, J.

    2016-08-28

    In this paper, TiO{sub 2} layers grown on RuO{sub 2} by atomic layer deposition (ALD) using tetrakis (dimethyla-mino) titanium (TDMAT) and either oxygen plasma or H{sub 2}O as oxygen source were analyzed using X-ray diffraction (XRD), Raman spectroscopy, and depth-resolved X-ray Photoelectron spectroscopy (XPS). The main objective is to investigate the surface chemical reactions mechanisms and their influence on the TiO{sub 2} film properties. The experimental results using XRD show that ALD deposition using H{sub 2}O leads to anatase TiO{sub 2} whereas a rutile TiO{sub 2} is obtained when oxygen-plasma is used as oxygen source. Depth-resolved XPS analysis allows tomore » determine the reaction mechanisms at the RuO{sub 2} substrate surface after growth of thin TiO{sub 2} layers. Indeed, the XPS analysis shows that when H{sub 2}O assisted ALD process is used, intermediate Ti{sub 2}O{sub 3} layer is obtained and RuO{sub 2} is reduced into Ru as evidenced by high resolution transmission electron microscopy. In this case, there is no possibility to re-oxidize the Ru surface into RuO{sub 2} due to the weak oxidation character of H{sub 2}O and an anatase TiO{sub 2} layer is therefore grown on Ti{sub 2}O{sub 3}. In contrast, when oxygen plasma is used in the ALD process, its strong oxidation character leads to the re-oxidation of the partially reduced RuO{sub 2} following the first Ti deposition step. Consequently, the RuO{sub 2} surface is regenerated, allowing the growth of rutile TiO{sub 2}. A surface chemical reaction scheme is proposed that well accounts for the observed experimental results.« less

  11. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Astrophysics Data System (ADS)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  12. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  13. Exposure to TiO2 nanoparticles increases Staphylococcusaureusinfection of HeLa cells

    NASA Astrophysics Data System (ADS)

    Xu, Yan; Wei, Ming-Tzo; Walker, Stephen. G.; Wang, Hong Zhan; Gondon, Chris; Brink, Peter; Guterman, Shoshana; Zawacki, Emma; Applebaum, Eliana; Rafailovich, Miriam; Ou-Yang, H. Daniel; Mironava, Tatsiana

    TiO2 is one of the most common nanoparticles in industry from food additives to energy generation. Even though TiO2 is also used as an anti-bacterial agent in combination with UV, we found that, in the absence of UV, exposure of HeLa cells to TiO2 nanoparticles largely increased their risk of bacterial invasion. HeLa cells cultured with low dosage rutile and anatase TiO2 nanoparticles (0.1 mg/ml) for 24 hrs prior to exposure to bacteria had 350% and 250% respectively more bacteria infected per cell. The increase was attributed to increased LDH leakage, and changes in the mechanical response of the cell membrane. On the other hand, macrophages exposed to TiO2 particles ingested 40% fewer bacteria, further increasing the risk of infection. In combination, these two factors raise serious concerns regarding the impact of exposure to TiO2 nanoparticles on the ability of organisms to resist bacterial infection.

  14. Development of Al2O3 electrospun fibers prepared by conventional sintering method or plasma assisted surface calcination

    NASA Astrophysics Data System (ADS)

    Mudra, E.; Streckova, M.; Pavlinak, D.; Medvecka, V.; Kovacik, D.; Kovalcikova, A.; Zubko, P.; Girman, V.; Dankova, Z.; Koval, V.; Duzsa, J.

    2017-09-01

    In this paper, the electrospinning method was used for preparation of α-Al2O3 microfibers from PAN/Al(NO3)3 precursor solution. The precursor fibers were thermally treated by conventional method in furnace or low-temperature plasma induced surface sintering method in ambient air. The four different temperatures of PAN/Al(NO3)3 precursors were chosen for formation of α-Al2O3 phase by conventional sintering way according to the transition features observed in the TG/DSC analysis. In comparison, the low-temperature plasma treatment at atmospheric pressure was used as an alternative sintering method at the exposure times of 5, 10 and 30 min. FTIR analysis was used for evaluation of residual polymer after plasma induced calcination and for studying the mechanism of polymer degradation. The polycrystalline alumina fibers arranged with the nanoparticles was created continuously throughout the whole volume of the sample. On the other side the low temperature approach, high density of reactive species and high power density of plasma generated at atmospheric pressure by used plasma source allowed rapid removal of polymer in preference from the surface of fibers leading to the formation of composite ceramic/polymer fibers. This plasma induced sintering of PAN/Al(NO3)3 can have obvious importance in industrial applications where the ceramic character of surface with higher toughness of the fibers are required.

  15. Power Balance Analysis of the Prototype-Material Plasma Exposure eXperiment

    NASA Astrophysics Data System (ADS)

    Showers, M. A.; Biewer, T. M.; Caneses, J. F.; Caughman, J. B. O.; Lumsdaine, A.; Owen, L.; Rapp, J.; Youchison, D.; Beers, C. J.; Donovan, D. C.; Kafle, N.; Ray, H. B.

    2017-10-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) is a test bed for the plasma source concept for the planned Material Plasma Exposure eXperiment (MPEX), a steady-state linear device studying plasma material interactions for fusion reactors. A power balance of Proto-MPEX attempts to identify machine operating parameters that will improve Proto-MPEX's performance, potentially impacting the MPEX design concept. A power balance has been performed utilizing an extensive diagnostic suite to identify mechanisms and locations of power loss from the main plasma. The diagnostic package includes infrared cameras, double Langmuir probes, fluoroptic probes, Mach probes, a Thomson scattering diagnostic, a McPherson spectrometer and in-vessel thermocouples. Radiation losses are estimated with absolute calibrated spectroscopic signals. This work was supported by the U.S. D.O.E. contract DE-AC05-00OR22725.

  16. High-flux plasma exposure of ultra-fine grain tungsten

    DOE PAGES

    Kolasinski, R. D.; Buchenauer, D. A.; Doerner, R. P.; ...

    2016-05-12

    Here we examine the response of an ultra-fine grained (UFG) tungsten material to high-flux deuterium plasma exposure. UFG tungsten has received considerable interest as a possible plasma-facing material in magnetic confinement fusion devices, in large part because of its improved resistance to neutron damage. However, optimization of the material in this manner may lead to trade-offs in other properties. Moreover, we address two aspects of the problem in this work: (a) how high-flux plasmas modify the structure of the exposed surface, and (b) how hydrogen isotopes become trapped within the material. The specific UFG tungsten considered here contains 100 nm-widthmore » Ti dispersoids (1 wt%) that limit the growth of the W grains to a median size of 960 nm. Metal impurities (Fe, Cr) as well as O were identified within the dispersoids; these species were absent from the W matrix. To simulate relevant particle bombardment conditions, we exposed specimens of the W-Ti material to low energy (100 eV), high-flux (> 10 22 m -2 s -1) deuterium plasmas in the PISCES-A facility at the University of California, San Diego. To explore different temperature-dependent trapping mechanisms, we considered a range of exposure temperatures between 200 °C and 500 °C. For comparison, we also exposed reference specimens of conventional powder metallurgy warm-rolled and ITER-grade tungsten at 300 °C. Post-mortem focused ion beam profiling and atomic force microscopy of the UFG tungsten revealed no evidence of near-surface bubbles containing high pressure D2 gas, a common surface degradation mechanism associated with plasma exposure. Thermal desorption spectrometry indicated moderately higher trapping of D in the material compared with the reference specimens, though still within the spread of values for different tungsten grades found in the literature database. Finally, for the criteria considered here, these results do not indicate any significant obstacles to the potential use of

  17. CO2 Plasma-Treated TiO2 Film as an Effective Electron Transport Layer for High-Performance Planar Perovskite Solar Cells.

    PubMed

    Wang, Kang; Zhao, Wenjing; Liu, Jia; Niu, Jinzhi; Liu, Yucheng; Ren, Xiaodong; Feng, Jiangshan; Liu, Zhike; Sun, Jie; Wang, Dapeng; Liu, Shengzhong Frank

    2017-10-04

    Perovskite solar cells (PSCs) have received great attention because of their excellent photovoltaic properties especially for the comparable efficiency to silicon solar cells. The electron transport layer (ETL) is regarded as a crucial medium in transporting electrons and blocking holes for PSCs. In this study, CO 2 plasma generated by plasma-enhanced chemical vapor deposition (PECVD) was introduced to modify the TiO 2 ETL. The results indicated that the CO 2 plasma-treated compact TiO 2 layer exhibited better surface hydrophilicity, higher conductivity, and lower bulk defect state density in comparison with the pristine TiO 2 film. The quality of the stoichiometric TiO 2 structure was improved, and the concentration of oxygen-deficiency-induced defect sites was reduced significantly after CO 2 plasma treatment for 90 s. The PSCs with the TiO 2 film treated by CO 2 plasma for 90 s exhibited simultaneously improved short-circuit current (J SC ) and fill factor. As a result, the PSC-based TiO 2 ETL with CO 2 plasma treatment affords a power conversion efficiency of 15.39%, outperforming that based on pristine TiO 2 (13.54%). These results indicate that the plasma treatment by the PECVD method is an effective approach to modify the ETL for high-performance planar PSCs.

  18. Lu2O3-SiO2-ZrO2 Coatings for Environmental Barrier Application by Solution Precursor Plasma Spraying and Influence of Precursor Chemistry

    NASA Astrophysics Data System (ADS)

    Darthout, Émilien; Quet, Aurélie; Braidy, Nadi; Gitzhofer, François

    2014-02-01

    As environmental barrier coatings are subjected to thermal stress in gas turbine engines, the introduction of a secondary phase as zircon (ZrSiO4) is likely to increase the stress resistance of Lu2Si2O7 coatings generated by induction plasma spraying using liquid precursors. In a first step, precursor chemistry effect is investigated by the synthesis of ZrO2-SiO2 nanopowders by induction plasma nanopowder synthesis technique. Tetraethyl orthosilicate (TEOS) as silicon precursor and zirconium oxynitrate and zirconium ethoxide as zirconium precursors are mixed in ethanol and produce a mixture of tetragonal zirconia and amorphous silica nanoparticles. The use of zirconium ethoxide precursor results in zirconia particles with diameter below 50 nm because of exothermic thermal decomposition of the ethoxide and its high boiling point with respect to solvent, while larger particles are formed when zirconium oxynitrate is employed. The formation temperature of zircon from zirconia and silica oxides is found at 1425 °C. Second, coatings are synthesized in Lu2O3-ZrO2-SiO2 system. After heat treatment, the doping effect of lutetium on zirconia grains totally inhibits the zircon formation. Dense coatings are obtained with the use of zirconium ethoxide because denser particles with a homogeneous diameter distribution constitute the coating.

  19. Energy balance in the core of the Saturn plasma sheet: H2O chemistry

    NASA Astrophysics Data System (ADS)

    Shemansky, D. E.; Yoshii, J.; Liu, X.

    2011-10-01

    A model of the weakly ionized plasma at Saturn has been developed to investigate the properties of the system. Energy balance is a critical consideration. The present model is based on two sources of mass, H2O, and HI. H2O is a variable. HI is a significant volume of gas flowing through the plasma imposed by the source at Saturn [1,2,3]. The energy sources are solar radiation and heterogeneous magnetosphere electrons. The model calculations produce energy rates, species partitioning, and relaxation lifetimes. For the first time the state of the ambient plasma sheet electrons is directly connected to the energy forcing functions. Within limits of knowledge, the predicted state of the core region of the plasma sheet in neutral and ionized gas corresponds satisfactorily to observation. The dominant ions in these calculations are H2O+ and H3O+ with lifetimes of several days. The lifetime of H2O is roughly 60 days. In calculations carried out so far the predicted source rate for H2O is lower than the rates quoted from the Enceladus encounters.

  20. S180 cell growth on low ion energy plasma treated TiO 2 thin films

    NASA Astrophysics Data System (ADS)

    Dhayal, Marshal; Cho, Su-In; Moon, Jun Young; Cho, Su-Jin; Zykova, Anna

    2008-03-01

    X-ray photoelectron spectroscopy (XPS) was used to characterise the effects of low energy (<2 eV) argon ion plasma surface modification of TiO 2 thin films deposited by radio frequency (RF) magnetron sputter system. The low energy argon ion plasma surface modification of TiO 2 in a two-stage hybrid system had increased the proportion of surface states of TiO 2 as Ti 3+. The proportion of carbon atoms as alcohol/ether (C sbnd OX) was decreased with increase the RF power and carbon atoms as carbonyl (C dbnd O) functionality had increased for low RF power treatment. The proportion of C( dbnd O)OX functionality at the surface was decreased at low power and further increase in power has showed an increase in its relive proportion at the surface. The growth of S180 cells was observed and it seems that cells are uniformly spreads on tissue culture polystyrene surface and untreated TiO 2 surfaces whereas small-localised cell free area can be seen on plasma treated TiO 2 surfaces which may be due to decrease in C( dbnd O)OX, increase in C dbnd O and active sites at the surface. A relatively large variation in the surface functionalities with no change in the surface roughness was achieved by different RF plasma treatments of TiO 2 surface whereas no significant change in S180 cell growth with different plasma treatments. This may be because cell growth on TiO 2 was mainly influenced by nano-surface characteristics of oxide films rather than surface chemistry.

  1. Investigation of hybrid plasma-catalytic removal of acetone over CuO/γ-Al2O3 catalysts using response surface method.

    PubMed

    Zhu, Xinbo; Tu, Xin; Mei, Danhua; Zheng, Chenghang; Zhou, Jinsong; Gao, Xiang; Luo, Zhongyang; Ni, Mingjiang; Cen, Kefa

    2016-07-01

    In this work, plasma-catalytic removal of low concentrations of acetone over CuO/γ-Al2O3 catalysts was carried out in a cylindrical dielectric barrier discharge (DBD) reactor. The combination of plasma and the CuO/γ-Al2O3 catalysts significantly enhanced the removal efficiency of acetone compared to the plasma process using the pure γ-Al2O3 support, with the 5.0 wt% CuO/γ-Al2O3 catalyst exhibiting the best acetone removal efficiency of 67.9%. Catalyst characterization was carried out to understand the effect the catalyst properties had on the activity of the CuO/γ-Al2O3 catalysts in the plasma-catalytic reaction. The results indicated that the formation of surface oxygen species on the surface of the catalysts was crucial for the oxidation of acetone in the plasma-catalytic reaction. The effects that various operating parameters (discharge power, flow rate and initial concentration of acetone) and the interactions between these parameters had on the performance of the plasma-catalytic removal of acetone over the 5.0 wt% CuO/γ-Al2O3 catalyst were investigated using central composite design (CCD). The significance of the independent variables and their interactions were evaluated by means of the Analysis of Variance (ANOVA). The results showed that the gas flow rate was the most significant factor affecting the removal efficiency of acetone, whilst the initial concentration of acetone played the most important role in determining the energy efficiency of the plasma-catalytic process. Copyright © 2016 Elsevier Ltd. All rights reserved.

  2. Superhydrophilic TiO2 thin film by nanometer scale surface roughness and dangling bonds

    NASA Astrophysics Data System (ADS)

    Bharti, Bandna; Kumar, Santosh; Kumar, Rajesh

    2016-02-01

    A remarkable enhancement in the hydrophilic nature of titanium dioxide (TiO2) films is obtained by surface modification in DC-glow discharge plasma. Thin transparent TiO2 films were coated on glass substrate by sol-gel dip coating method, and exposed in DC-glow discharge plasma. The plasma exposed TiO2 film exhibited a significant change in its wetting property contact angle, which is a representative of wetting property, has reduced to considerable limits 3.02° and 1.85° from its initial value 54.40° and 48.82° for deionized water and ethylene glycol, respectively. It is elucidated that the hydrophilic property of plasma exposed TiO2 films dependent mainly upon nanometer scale surface roughness. Variation, from 4.6 nm to 19.8 nm, in the film surface roughness with exposure time was observed by atomic force microscopy (AFM). Analysis of variation in the values of contact angle and surface roughness with increasing plasma exposure time reveal that the surface roughness is the main factor which makes the modified TiO2 film superhydrophilic. However, a contribution of change in the surface states, to the hydrophilic property, is also observed for small values of the plasma exposure time. Based upon nanometer scale surface roughness and dangling bonds, a variation in the surface energy of TiO2 film from 49.38 to 88.92 mJ/m2 is also observed. X-ray photoelectron spectroscopy (XPS) results show change in the surface states of titanium and oxygen. The observed antifogging properties are the direct results of the development of the superhydrophilic wetting characteristics to TiO2 films.

  3. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  4. Reaction pathways in remote plasma nitridation of ultrathin SiO2 films

    NASA Astrophysics Data System (ADS)

    Niimi, Hiro; Khandelwal, Amit; Lamb, H. Henry; Lucovsky, Gerald

    2002-01-01

    Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N-Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.

  5. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    NASA Astrophysics Data System (ADS)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  6. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    NASA Astrophysics Data System (ADS)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-07-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications.

  7. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    PubMed Central

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  8. A comparative study on the activity of TiO2 in pulsed plasma under different discharge conditions

    NASA Astrophysics Data System (ADS)

    Lijuan, DUAN; Nan, JIANG; Na, LU; Kefeng, SHANG; Jie, LI; Yan, WU

    2018-05-01

    In the present study, a combination of pulsed discharge plasma and TiO2 (plasma/TiO2) has been developed in order to study the activity of TiO2 by varying the discharge conditions of pulsed voltage, discharge mode, air flow rate and solution conductivity. Phenol was used as the chemical probe to characterize the activity of TiO2 in a pulsed discharge system. The experimental results showed that the phenol removal efficiency could be improved by about 10% by increasing the applied voltage. The phenol removal efficiency for three discharge modes in the plasma-discharge-alone system was found to be highest in the spark mode, followed by the spark–streamer mode and finally the streamer mode. In the plasma/TiO2 system, the highest catalytic effect of TiO2 was observed in the spark–streamer discharge mode, which may be attributed to the favorable chemical and physical effects from the spark–streamer discharge mode, such as ultraviolet light, O3, H2O2, pyrolysis, shockwaves and high-energy electrons. Meanwhile, the optimal flow rate and conductivity were 0.05 m3 l‑1 and 10 μS cm‑1, respectively. The main phenolic intermediates were hydroquinone, catechol, and p-benzoquinone during the discharge treatment process. A different phenol degradation pathway was observed in the plasma/TiO2 system as compared to plasma alone. Analysis of the reaction intermediates demonstrated that p-benzoquinone reduction was selectively catalyzed on the TiO2 surface. The effective decomposition of phenol constant (D e) increased from 74.11% to 79.16% when TiO2 was added, indicating that higher phenol mineralization was achieved in the plasma/TiO2 system.

  9. Study of the physical discharge properties of a Ar/O2 DC plasma jet

    NASA Astrophysics Data System (ADS)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.

    2018-03-01

    In this paper, the physical properties of plasma discharge in a manufactured DC plasma jet operating with the Ar/O2 gaseous mixture are studied. Moreover, the optical emission spectroscopy technique is used to perform the experimental measurements. The obtained emission spectra are analyzed and, the plasma density, rotational, vibrational and electronic temperature are calculated. The NO emission lines from {NO }γ( A2 Σ^{+} \\to {X}2 Πr ) electronic transition are observed. It is seen that, at the higher argon contributions in Ar/O2 gaseous mixture, the emission intensities from argon ions will increase. Moreover, while the vibrational and excitation temperatures are increased at the higher input DC currents, they will decrease at the higher Ar percentages in the Ar/O2 gaseous mixture. Furthermore, at the higher DC currents and Ar contributions, both the plasma electron density and dissociation fraction of oxygen atoms are increased.

  10. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O2/H2O low-temperature plasma treatment

    NASA Astrophysics Data System (ADS)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    The natural leather was modified through O2/H2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O2/H2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  11. Elevated Temperature Solid Particle Erosion Performance of Plasma-Sprayed Co-based Composite Coatings with Additions of Al2O3 and CeO2

    NASA Astrophysics Data System (ADS)

    Nithin, H. S.; Desai, Vijay; Ramesh, M. R.

    2017-11-01

    In this paper, investigation into solid particle erosion behavior of atmospheric plasma-sprayed composite coating of CoCrAlY reinforced with Al2O3 and CeO2 oxides on Superni 76 at elevated temperature of 600 °C is presented. Alumina particles are used as erodent at two impact angles of 30° and 90°. The microstructure, porosity, hardness, toughness and adhesion properties of the as-sprayed coatings are studied. The effects of temperature and phase transformation in the coatings during erosion process are analyzed using XRD and EDS techniques. Optical profilometer is used for accurate elucidation of erosion volume loss. CoCrAlY/CeO2 coating showed better erosion resistance with a volume loss of about 50% of what was observed in case of CoCrAlY/Al2O3/YSZ coating. Lower erosion loss is observed at 90° as compared to 30° impact angle. The erosion mechanism evaluated using SEM micrograph revealed that the coatings experienced ductile fracture exhibiting severe deformation with unusual oxide cracks. Reinforced metal oxides provide shielding effect for erodent impact, enabling better erosion resistance. The oxidation of the coating due to high-temperature exposure reforms erosion process into oxidation-modified erosion process.

  12. Optical emission spectroscopy of magnetically confined laser induced vanadium pentoxide (V2O5) plasma

    NASA Astrophysics Data System (ADS)

    Amin, Saba; Bashir, Shazia; Anjum, Safia; Akram, Mahreen; Hayat, Asma; Waheed, Sadia; Iftikhar, Hina; Dawood, Assadullah; Mahmood, Khaliq

    2017-08-01

    Optical emission spectra of a laser induced plasma of vanadium pentoxide (V2O5) using a Nd:YAG laser (1064 nm, 10 ns) in the presence and absence of the magnetic field of 0.45 T have been investigated. The effect of the magnetic field (B) on the V2O5 plasma at various laser irradiances ranging from 0.64 GW cm-2 to 2.56 GW cm-2 is investigated while keeping the pressure of environmental gases of Ar and Ne constant at 100 Torr. The magnetic field effect on plasma parameters of V2O5 is also explored at different delay times ranging from 0 μs to 10 μs for both environmental gases of Ar and Ne at the laser irradiance of 1.28 GW cm-2. It is revealed that both the emission intensity and electron temperature of the vanadium pentoxide plasma initially increase with increasing irradiance due to the enhanced energy deposition and mass ablation rate. After achieving a certain maximum, both exhibit a decreasing trend or saturation which is attributable to the plasma shielding effect. However, the electron density shows a decreasing trend with increasing laser irradiance. This trend remains the same for both cases, i.e., in the presence and in the absence of magnetic field and for both background gases of Ar and Ne. However, it is revealed that both the electron temperature and electron density of the V2O5 plasma are significantly enhanced in the presence of the magnetic field for both environments at all laser irradiances and delay times, and more pronounced effects are observed at higher irradiances. The enhancement in plasma parameters is attributed to the confinement as well as Joule heating effects caused by magnetic field employment. The confinement of the plasma is also confirmed by the analytically calculated value of magnetic pressure β, which is smaller than plasma pressure at all irradiances and delay times, and therefore confirms the validity of magnetic confinement of the V2O5 plasma.

  13. Electrical and structural characterization of plasma polymerized polyaniline/TiO2 heterostructure diode: a comparative study of single and bilayer TiO2 thin film electrode.

    PubMed

    Ameen, Sadia; Akhtar, M Shaheer; Kimi, Young Soon; Yang, O-Bong; Shin, Hyung-Shik

    2011-04-01

    A heterostructure was fabricated using p-type plasma polymerized polyaniline (PANI) and n-type (single and bilayer) titanium dioxide (TiO2) thin film on FTO glass. The deposition of single and bilayer TiO2 thin film on FTO substrate was achieved through doctor blade followed by dip coating technique before subjected to plasma enhanced polymerization. To fabricate p-n heterostructure, a plasma polymerization of aniline was conducted using RF plasma at 13.5 MHz and at the power of 120 W on the single and bilayer TiO2 thin film electrodes. The morphological, optical and the structural characterizations revealed the formation of p-n heterostructures between PANI and TiO2 thin film. The PANI/bilayer TiO2 heterostructure showed the improved current-voltage (I-V) characteristics due to the substantial deposition of PANI molecules into the bilayer TiO2 thin film which provided good conducting pathway and reduced the degree of excitons recombination. The change of linear I-V behavior of PANI/TiO2 heterostructure to non linear behavior with top Pt contact layer confirmed the formation of Schottky contact at the interfaces of Pt layer and PANI/TiO2 thin film layers.

  14. Spectroscopic studies of MW plasmas containing HMDSO, O2 and N2

    NASA Astrophysics Data System (ADS)

    Nave, Andy; Roepcke, Juergen; Mitschker, Felix; Awakowicz, Peter

    2015-09-01

    The deposition of SiOx layers based on organosilicon plasmas is used to implement advantageous mechanical, electrical, and/or optical properties on various substrates. The development of such coating processes resulting in a wide range of chemical and physical film properties, using hexamethyldisiloxane (HMDSO) as a precursor, has been in the center of interest of various studies. In plasma, the dissociation of HMDSO into a large amount of fragments is a complex chemical phenomenon. The monitoring of the precursor and of formed species is very valuable to understand the plasma chemistry. Infrared absorption spectroscopy based on lead salt lasers and EC Quantum Cascade Laser have been used to monitor the concentrations of HMDSO, and of the reaction products CH4, C2H2, C2H4,C2H6, CO, CO2 and CH3 as a function of the HMDSO/O2 mixture ratio, and the power at various pressures in a MW plasma deposition reactor. Optical emission spectroscopy has been applied as complementary diagnostics to evaluate electron density and electron temperature. Supported by the German Research Foundation within SFB-TR24 and SFB-TR87.

  15. Cord plasma insulin and in utero exposure to ambient air pollution.

    PubMed

    Madhloum, Narjes; Janssen, Bram G; Martens, Dries S; Saenen, Nelly D; Bijnens, Esmée; Gyselaers, Wilfried; Penders, Joris; Vanpoucke, Charlotte; Lefebvre, Wouter; Plusquin, Michelle; Nawrot, Tim S

    2017-08-01

    Cardio-metabolic risk factors including insulin levels are at young age barely perceived as harmful, but over time these risk factors may track and lead to higher risk of metabolic syndrome. Studies showed that exposure to air pollution is associated with an increased risk of insulin resistance in childhood. We determined whether the origin of type 2 diabetes can be found in the early childhood by examining the levels of insulin in the neonatal cord blood and whether this can be considered as a disease marker for later life. In the ENVIRONAGE (ENVIRonmental influence ON early AGEing) birth cohort, we recruited 620 mother-infant pairs between February 2nd 2010 until August 12th 2014 at the East-Limburg Hospital in Genk, Belgium. We investigated in 590 newborns the association between cord plasma insulin levels and exposure to particulate matter (PM 2.5 and PM 10 ) and nitrogen dioxide (NO 2 ) in various exposure windows during pregnancy. Trimester-specific air pollutant exposure levels were estimated for each mother's home address using a spatiotemporal model. Cord plasma insulin levels averaged 33.1pmol/L (25-75th percentile: 20.1-53.5), while PM 2.5 exposure during pregnancy averaged (SD) 13.7μg/m 3 (2.4). Independent of maternal age, newborn's sex, birth weight, gestational age, parity, early-pregnancy BMI, ethnicity, smoking status, time of the day, maternal education, time of delivery, and season of delivery, cord plasma insulin levels increased with 15.8% (95% CI 7.8 to 24.4, p<0.0001) for each SD increment in PM 2.5 levels during the entire pregnancy and was most pronounced in the 2nd trimester (13.1%, 95% CI 3.4 to 23.7, p=0.007) of pregnancy. The results for PM 10 exposure were similar with those of PM 2.5 exposure but we did not observe an association between cord blood insulin levels and NO 2 exposure. Exposure to particulate air pollution during pregnancy is associated with increased levels of cord plasma insulin at birth. The public health relevance of

  16. Establishment of Airborne Nanoparticle Exposure Chamber System to Assess Nano TiO2 Induced Mice Lung Effects

    NASA Astrophysics Data System (ADS)

    Chen, Chia-Hua; Li, Jui-Ping; Huang, Nai-Chun; Yang, Chung-Shi; Chen, Jen-Kun

    2011-12-01

    A great many governments have schemed their top priority to support the research and development of emerging nanotechnology, which lead to increasing products containing nanomaterials. However, platforms and protocols to evaluate the safety of nanomaterials are not yet established. We therefore design and fabricate a nanoparticle exposure chamber system (NECS) and try to standardize protocols to assess potential health risk of inhalable nanoparticles. This platform comprises: (1) nano-aerosol generators to produce homogeneous airborne nanoparticles, (2) double isolated container to prevent from unexpected exposure to humans, (3) gas supply system for housing animals or incubating cultured cells, and (4) system for automatic control and airborne nanoparticle analysis. The NECS providing multiple functions includes: (1) a secure environment to handle nanomaterials, (2) real-time measurement for the size and distribution of airborne nanoparticles, (3) SOP of safety evaluation for nanomaterials, and (4) key technology for the development of inhalable pharmaceuticals. We used NECS to mimic occupational environment for exploring potential adverse effects of TiO2 nanoparticles. The adult male ICR mice were exposed to 25nm, well-characterized TiO2 particles for 1 and 4 weeks. More than 90% of the inhaled TiO2 nanoparticles deposit in lung tissue, which tends to be captured by alveolar macrophages. Pulmonary function test does not show significant physiological changes between one and 4 weeks exposure. For plasma biochemistry analysis, there are no obvious inflammation responses after exposure for one and 4 weeks; however, disruption of alveolar septa and increased thickness of alveolar epithelial cells were observed. According to our results, the NECS together with our protocols show comprehensive integration and ideally fit the standard of OECD guildelines-TG403, TG412, TG413; it can be further customized to fulfill diverse demands of industry, government, and third party

  17. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    NASA Astrophysics Data System (ADS)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  18. Mass spectrometric studies of SiO2 deposition in an indirect plasma enhanced LPCVD system

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.; Mcconica, C. M.

    1993-01-01

    Reaction pathways for the low temperature deposition of SiO2 from silane and indirect plasma-excited oxygen-nitrogen mixtures are proposed based on experimental evidence gained from mass spectrometry in an indirect plasma enhanced chemical vapor deposition chamber. It was observed that about 80-85 percent of the silane was oxidized to byproduct hydrogen and only about 15-20 percent to water. Such conversion levels have led us to interpret that silanol (SiH3OH) could be the precursor for SiO2 film deposition, rather than siloxane /(SiH3)2O/ which has generally been cited in the literature. From mass spectrometry, we have also shown the effects of the plasma, and of mixing small amounts of N2 with the oxygen flow, in increasing the deposition rate of SiO2. Free radical reaction of nitric oxide, synthesized from the reaction of oxygen and nitrogen in the plasma chamber, and an *ncrease in atomic oxygen concentration, are believed to be the reasons for these SiO2 deposition rate increases. Through mass spectrometry we have, in addition, been able to identify products, presumably originating from terminating reactions, among a sequence of chemical reactions proposed for the deposition of SiO2.

  19. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  20. Improved mechanical performance of PBO fiber-reinforced bismaleimide composite using mixed O2/Ar plasma

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Chen, Ping; Yu, Qi; Ma, Keming; Ding, Zhenfeng

    2014-06-01

    The mixed O2/Ar plasma was employed to enhance mechanical properties of the PBO/bismaleimide composite. The interlaminar shear strength was improved to 61.6 MPa or by 38.1%, but the composite brittleness increased. The plasma gas compositions exhibited notable effects on the interfacial adhesion strength. XPS results suggested that the mixed plasma presented higher activation effects on the surface chemical compositions than pure gas plasmas and a larger number of oxygen atoms and hydrophilic groups were introduced on the fiber surface due to the synergy effect, but the synergy effect was considerably performed only within the O2 percentage range of 40-60%. The fibers surface was increasingly etched with growing the O2 contents in the plasma, deteriorating the fibers tensile strength. SEM micrographs demonstrated that the composite shear fracture changed from debonding to cohesive failure in the matrices, and the improving mechanisms were discussed.

  1. Plasma Spray Synthesis Of Nanostructured V2O5 Films For Electrical Energy Storage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nanda, Jagjit

    We demonstrate for the first time, the synthesis of nanostructured vanadium pentoxide (V2O5) films and coatings using plasma spray technique. V2O5 has been used in several applications such as catalysts, super-capacitors and also as an electrode material in lithium ion batteries. In the present studies, V2O5 films were synthesized using liquid precursors (vanadium oxychloride and ammonium metavanadate) and powder suspension. In our approach, the precursors were atomized and injected radially into the plasma gun for deposition on the substrates. During the flight towards the substrate, the high temperature of the plasma plume pyrolyzes the precursor particles resulting into the desiredmore » film coatings. These coatings were then characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), Transmission electron microscopy (TEM) and Differential Scanning Calorimetry (DSC). Among the precursors, vanadium oxychloride gave the best results in terms of nanocrystalline and monophasic films. Spraying of commercial powder suspension yielded multi-phasic mixture in the films. Our approach enables deposition of large area coatings of high quality nanocrystalline films of V2O5 with controllable particle morphology. This has been optimized by means of control over precursor composition and plasma spray conditions. Initial electrochemical studies of V2O5 film electrodes show potential for energy storage studies.« less

  2. Friction and wear behaviour of plasma sprayed Cr2O3-TiO2 coating

    NASA Astrophysics Data System (ADS)

    Bagde, Pranay; Sapate, S. G.; Khatirkar, R. K.; Vashishtha, Nitesh; Tailor, Satish

    2018-02-01

    Cr2O3-25TiO2 coating was deposited by atmospheric plasma spray (APS) coating technique. Effect of load (5-30 N) and sliding velocity (0.25, 0.75 m s-1) on friction coefficient and abrasive wear behaviour of the Cr2O3-25TiO2 coating was studied. Mechanical and microstructural characterization of the Cr2O3-25TiO2 coating was carried out. With an increase in sliding velocity, abrasive wear rate and friction coefficient (COF) decreased while wear rate and friction coefficient showed an increasing trend with the load. The worn out surfaces were analyzed by SEM, EDS and XRD. At lower sliding velocity, XRD analysis revealed peaks of Ti2O3, Ti3O5, CrO2 and CrO3. In addition, peak of Ti4O7 was also detected at higher sliding velocity and at 30 N load. At higher sliding velocity medium to severe tribo oxidation was observed. XPS analysis of worn surfaces at both the sliding velocities, showed surface film of oxides of titanium and chromium along with Cr(OH)3. Magneli phase titanium oxides with sub stoichiometric composition, along with surface films of chromium oxides and hydroxides altered the friction and wear behaviour of the coating. The decrease in friction coefficient with an increase in sliding velocity was attributed to tribo oxides and tribochemical reaction films having lower shear strength with good lubricating properties. The mechanism of material removal involved plastic deformation at lower load whereas inter-granular and trans-granular fracture, delamination cracking and splat fracture was observed with an increase load from 10 N to 30 N.

  3. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  4. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE PAGES

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram; ...

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  5. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  6. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2-x.

    PubMed

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3  S m -1 , relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2 . The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.

  7. Evaluation of the protective effectiveness of gloves from occupational exposure to 2-methoxyethanol using the biomarkers of 2-methoxyacetic acid levels in the urine and plasma

    PubMed Central

    Chang, H; Lin, C; Shih, T; Chan, H; Chou, J; Huang, Y

    2004-01-01

    Aims: To evaluate the protective effectiveness of gloves from occupational exposure to 2-methoxyethanol (2-ME); and to examine the association of 2-methoxyacetic acid (MAA) in urine and plasma collected simultaneously from low 2-ME exposure and high 2-ME exposure workers in a semiconductor copper laminate circuit board manufacturing plant. Methods: Eight hour time weighted breathing zone monitoring was performed to verify the 2-ME exposure classification between workers in regular and special operations. Urine and plasma samples were simultaneously collected from 74 exposed and 80 non-exposed workers. MAA concentrations in the urine (UMAA) and plasma (PMAA) were measured using previously published methods. Three types of gloves worn by workers (cotton, rubber, and no gloves) were recorded by direct observations in the workplace and validated by person-to-person interview. Protective effectiveness indices (PEI) were used to evaluate the glove effectiveness. Results: There was no detectable 2-ME/MAA in the air, or in urine and plasma samples in non-exposed workers. The average UMAA and PMAA in special operations were 72.63 mg/g Cr. and 29.72 mg/l, significantly higher than values in regular operations (5.44 mg/g Cr. and 2.58 mg/l, respectively). PMAA showed satisfactory correlation to UMAA in all participants from both regular and special operations. The rubber gloves provided significant reduction in 2-ME uptake, whereas cotton gloves provided little protection with fluctuating effectiveness, based on PEI estimates. Conclusions: PMAA, similar to UMAA, could serve as a specific biomarker for 2-ME exposure. Wearing impermeable rubber gloves during high risk tasks can reduce major 2-ME exposure. Other improvements, including engineering control, should be provided to diminish worker exposure to 2-ME in occupational environments. PMID:15258277

  8. Effect of organic solar cells using various power O2 plasma treatments on the indium tin oxide substrate.

    PubMed

    Ke, Jhong-Ciao; Wang, Yeong-Her; Chen, Kan-Lin; Huang, Chien-Jung

    2016-03-01

    The effect of organic solar cells (OSCs) by using different power O2 plasma treatments on indium tin oxide (ITO) substrate was studied. The power of O2 plasma treatment on ITO substrate was varied from 20W to 80W, and the power conversion efficiency of device was improved from 1.18% to 1.93% at 20W O2 plasma treatment. The function of O2 plasma treatment on ITO substrate was to remove the surface impurity and to improve the work function of ITO, which can reduce the energy offset between the ITO and SubPc layer and depress the leakage current of device, leading to the shunt resistance increased from 897 to 1100Ωcm(2). The surface roughness of ITO decreased from 3.81 to 3.33nm and the work function of ITO increased from 4.75 to 5.2eV after 20W O2 plasma treatment on ITO substrate. As a result, the open circuit voltage and the fill factor were improved from 0.46 to 0.70V and from 0.56 to 0.61, respectively. However, the series resistance of device was dramatically increased as the power of O2 plasma treatment exceeds 40W, leading to the efficiency reduction. The result is attributed to the variation of oxygen vacancies in ITO film after the 60, 80W O2 plasma treatment. As a consequence, the power of O2 plasma treatment on ITO substrate for the OSCs application should be controlled below 40W to avoid affecting the electricity of ITO film. Copyright © 2015 Elsevier Inc. All rights reserved.

  9. Modification of W surfaces by exposure to hollow cathode plasmas

    NASA Astrophysics Data System (ADS)

    Stancu, C.; Stokker-Cheregi, F.; Moldovan, A.; Dinescu, M.; Grisolia, C.; Dinescu, G.

    2017-10-01

    In this work, we assess the surface modifications induced on W samples following exposure to He and He/H2 radiofrequency plasmas in hollow cathode discharge configuration. Our study addresses issues that relate to the use of W in next-generation fusion reactors and, therefore, the investigation of W surface degradation following exposure and heating by plasmas to temperatures above 1000 °C is of practical importance. For these experiments, we used commercially available tungsten samples having areas of 30 × 15 mm and 0.1 mm thickness. The hollow cathode plasma was produced using a radiofrequency (RF) generator (13.56 MHz) between parallel plate electrodes. The W samples were mounted as one of the electrodes. The He and He/H2 plasma discharges had a combined effect of heating and bombardment of the W surfaces. The surface modifications were studied for discharge powers between 200 and 300 W, which resulted in the heating of the samples to temperatures between 950 and 1230 °C, respectively. The samples were weighed prior and after plasma exposure, and loss of mass was measured following plasma exposure times up to 90 min. The analysis of changes in surface morphology was carried out by optical microscopy, scanning electron microscopy and atomic force microscopy. Additionally, optical emission spectra of the respective plasmas were recorded from the region localized inside the hollow cathode gap. We discuss the influence of experimental parameters on the changes in surface morphology.

  10. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    PubMed

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  11. Quantitative plasma biomarker analysis in HDI exposure assessment.

    PubMed

    Flack, Sheila L; Fent, Kenneth W; Trelles Gaines, Linda G; Thomasen, Jennifer M; Whittaker, Steve; Ball, Louise M; Nylander-French, Leena A

    2010-01-01

    Quantification of amines in biological samples is important for evaluating occupational exposure to diisocyanates. In this study, we describe the quantification of 1,6-hexamethylene diamine (HDA) levels in hydrolyzed plasma of 46 spray painters applying 1,6-hexamethylene diisocyanate (HDI)-containing paint in vehicle repair shops collected during repeated visits to their workplace and their relationship with dermal and inhalation exposure to HDI monomer. HDA was detected in 76% of plasma samples, as heptafluorobutyryl derivatives, and the range of HDA concentrations was < or =0.02-0.92 microg l(-1). After log-transformation of the data, the correlation between plasma HDA levels and HDI inhalation exposure measured on the same workday was low (N = 108, r = 0.22, P = 0.026) compared with the correlation between plasma HDA levels and inhalation exposure occurring approximately 20 to 60 days before blood collection (N = 29, r = 0.57, P = 0.0014). The correlation between plasma HDA levels and HDI dermal exposure measured on the same workday, although statistically significant, was low (N = 108, r = 0.22, P = 0.040) while the correlation between HDA and dermal exposure occurring approximately 20 to 60 days before blood collection was slightly improved (N = 29, r = 0.36, P = 0.053). We evaluated various workplace factors and controls (i.e. location, personal protective equipment use and paint booth type) as modifiers of plasma HDA levels. Workers using a downdraft-ventilated booth had significantly lower plasma HDA levels relative to semi-downdraft and crossdraft booth types (P = 0.0108); this trend was comparable to HDI inhalation and dermal exposure levels stratified by booth type. These findings indicate that HDA concentration in hydrolyzed plasma may be used as a biomarker of cumulative inhalation and dermal exposure to HDI and for investigating the effectiveness of exposure controls in the workplace.

  12. Plasma membrane damage to Candida albicans caused by chlorine dioxide (ClO2).

    PubMed

    Wei, M-K; Wu, Q-P; Huang, Q; Wu, J-L; Zhang, J-M

    2008-08-01

    To investigate the plasma membrane damage of chlorine dioxide (ClO(2)) to Candida albicans ATCC10231 at or below the minimal fungicidal concentration (MFC). ClO(2) at MFC or below was adopted to treat the cell suspensions of C. albicans ATCC10231. Using transmission electron microscopy, no visible physiological alteration of cell shape and plasma membrane occurred. Potassium (K(+)) leakages were significant; likewise, it showed time- and dose-dependent increases. However, adenosine triphosphate (ATP) leakages were very slight. Research shows that when 99% of the cells were inactivated, the leakage was measured at 0.04% of total ATP. Compared with the mortality-specific fluorescent dye of DiBAC(4)(3), majority of the inactivated cells were poorly stained by propidium iodide, another mortality-specific fluorescent dye which can be traced by flow cytometry. At or below MFC, ClO(2) damages the plasma membranes of C. albicans mainly by permeabilization, rather than by the disruption of their integrity. K(+) leakage and the concomitant depolarization of the cell membrane are some of the critical events. These insights into membrane damages are helpful in understanding the action mode of ClO(2).

  13. Experimental exposure of male volunteers to N-methyl-2-pyrrolidone (NMP): acute effects and pharmacokinetics of NMP in plasma and urine.

    PubMed Central

    Akesson, B; Paulsson, K

    1997-01-01

    OBJECTIVES: To study the acute effects of exposure to the increasingly used solvent, N-methyl-2-pyrrolidone (NMP) in male volunteers. Further, to determine the NMP concentration in plasma and urine during and after the exposure. METHODS: Six male volunteers were exposed for eight hours on four different days to 0, 10, 25, and 50 mg/m3 NMP. Plasma was collected and urine was sampled during and after the exposure. Changes in nasal volume were measured by acoustic rhinometry and in airway resistance by spirometry. RESULTS: The eight-hour experimental exposure to 10, 25, and 50 mg/m3 did not induce discomfort to eyes or upper airways. Acute changes in nasal volume were not found, and no changes in the spirometric data could be registered. The elimination curves suggested a non-linear pattern and at the end of exposure showed mean (range) half lifes of NMP in plasma of about 4.0 (2.9-5.8) hours and in urine 4.5 (3.5-6.6) hours. The unmetabolised NMP found in urine samples collected during exposure and at the subsequent 44 hours corresponded to about 2% of the calculated absorbed dose. At the end of the exposure there was a close correlation between exposures and the plasma concentration and urinary excretion of NMP. CONCLUSIONS: NMP was absorbed through the respiratory tract and readily eliminated from the body, mainly by biotransformation to other compounds. Exposure to 10, 25, or 50 mg/m3 NMP did not cause nose, eye, or airway irritation. Thus, NMP is a mild irritant. PMID:9166128

  14. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  15. Water plasma functionalized CNTs/MnO2 composites for supercapacitors.

    PubMed

    Hussain, Shahzad; Amade, Roger; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg(-1), for untreated CNTs, up to 750 Fg(-1), for water plasma-treated CNTs.

  16. Exposures of Sus scrofa to a TASER(®) conducted electrical weapon: no effects on 2-dimensional gel electrophoresis patterns of plasma proteins.

    PubMed

    Jauchem, James R; Cerna, Cesario Z; Lim, Tiffany Y; Seaman, Ronald L

    2014-12-01

    In an earlier study, we found significant changes in red-blood-cell, leukocyte, and platelet counts, and in red-blood-cell membrane proteins, following exposures of anesthetized pigs to a conducted electrical weapon. In the current study, we examined potential changes in plasma proteins [analyzed via two-dimensional gel electrophoresis (2-DGE)] following two 30 s exposures of anesthetized pigs (Sus scrofa) to a TASER (®) C2 conducted electrical weapon. Patterns of proteins, separated by 2-DGE, were consistent and reproducible between animals and between times of sampling. We determined that the blood plasma collection, handling, storage, and processing techniques we used are suitable for swine blood. There were no statistically significant changes in plasma proteins following the conducted-electrical-weapon exposures. Overall gel patterns of fibrinogen were similar to results of other studies of both pigs and humans (in control settings, not exposed to conducted electrical weapons). The lack of significant changes in plasma proteins may be added to the body of evidence regarding relative safety of TASER C2 device exposures.

  17. Inductively-Coupled RF Powered O2 Plasma as a Sterilization Source

    NASA Technical Reports Server (NTRS)

    Sharma, S. P.; Rao, M. V. V. S.; Cruden, B. A.; Meyyappan, M.; Mogul, R.; Khare, B.; Chan, S. L.; Arnold, James O. (Technical Monitor)

    2001-01-01

    Low-temperature or cold plasmas have been shown to be effective for the sterilization of sensitive medical devices and electronic equipment. Low-temperature plasma sterilization procedures possess certain advantages over other protocols such as ethylene oxide, gamma radiation, and heat due to the use of inexpensive reagents, the insignificant environmental impacts and the low energy requirements. In addition, plasmas may also be more efficacious in the removal of robust microorganisms due to their higher chemical reactivity. Together, these attributes render cold plasma sterilization as ideal for the surface decontamination requirements for NASA Planetary Protection. Hence, the work described in this study involves the construction, characterization, and application of an inductively-coupled, RF powered oxygen (O2) plasma.

  18. Farraj_NO2-O3 Sequential exposure study_All data

    EPA Pesticide Factsheets

    Cardiovascular Physiologic and Systemic Responses to Sequential Exposure to Nitrogen Dioxide and Ozone in Rats This dataset is associated with the following publication:Farraj , A., F. Malik, N. Coates , L. Walsh , D. Winsett , D. Terrell , L. Thompson, W. Cascio , and M. Hazari. Morning NO2 Exposure Sensitizes Hypertensive Rats to the Cardiovascular Effects of Same Day O3 Exposure in the Afternoon. INHALATION TOXICOLOGY. Informa Healthcare USA, New York, NY, USA, 28(4): 170-179, (2016).

  19. H2O(+) structures in the inner plasma tail of comet Austin

    NASA Technical Reports Server (NTRS)

    Jockers, Klaus; Bonev, T.; Geyer, E. H.

    1992-01-01

    We present images of comet Austin 1989c1 in the light of H2O(+) from which the contribution of the dust continuum and the gas coma was completely removed. We describe the behavior of the H2O(+) plasma in the inner coma where it is reliably observed for the first time.

  20. Detection of cresyl phosphate-modified butyrylcholinesterase in human plasma for chemical exposure associated with aerotoxic syndrome

    PubMed Central

    Schopfer, Lawrence M.; Masson, Patrick; Lamourette, Patricia; Simon, Stéphanie; Lockridge, Oksana

    2014-01-01

    Aircrew complain of illness following a fume event in aircraft. A chemical in jet engine oil, the neurotoxicant, tri-o-cresyl phosphate, after metabolic activation to cresyl saligenin phosphate, makes a covalent adduct on butyrylcholinesterase (BChE). We developed a mass spectrometry method for detection of the cresyl phosphate adduct on human BChE, as an indicator of exposure. Monoclonal mAb2, whose amino acid sequence is provided, was crosslinked to cyanogen bromide-activated Sepharose 4B and used to immunopurify plasma BChE treated with cresyl saligenin phosphate. BChE was released with acetic acid, digested with pepsin, and analyzed by LC-MSMS on the 5600 Triple TOF mass spectrometer. Peptide FGES198AGAAS with an added mass of 170 Da from cresyl phosphate on serine 198 was detected as parent ion 966.4 Da. When characteristic daughter ions were monitored in the MSMS spectrum the limit of detection was 0.1% cresyl saligenin phosphate inhibited plasma BChE. This corresponds to 2×10−9 g in 0.5 ml, or 23×10−15 moles of inhibited BChE in 0.5 ml plasma. In conclusion, a sensitive assay for exposure to tri-o-cresyl phosphate was developed. Laboratories that plan to use this method are cautioned that a positive result gives no proof that tri-o-cresyl phosphate is toxic at low levels. PMID:24892986

  1. Detection of cresyl phosphate-modified butyrylcholinesterase in human plasma for chemical exposure associated with aerotoxic syndrome.

    PubMed

    Schopfer, Lawrence M; Masson, Patrick; Lamourette, Patricia; Simon, Stéphanie; Lockridge, Oksana

    2014-09-15

    Flight crews complain of illness following a fume event in aircraft. A chemical in jet engine oil, the neurotoxicant tri-o-cresyl phosphate, after metabolic activation to cresyl saligenin phosphate makes a covalent adduct on butyrylcholinesterase (BChE). We developed a mass spectrometry method for detection of the cresyl phosphate adduct on human BChE as an indicator of exposure. Monoclonal mAb2, whose amino acid sequence is provided, was crosslinked to cyanogen bromide-activated Sepharose 4B and used to immunopurify plasma BChE treated with cresyl saligenin phosphate. BChE was released with acetic acid, digested with pepsin, and analyzed by liquid chromatography-tandem mass spectrometry (LC-MSMS) on the Triple TOF 5600 mass spectrometer. Peptide FGES198AGAAS with an added mass of 170 Da from cresyl phosphate on serine 198 (Ser198) was detected as parent ion 966.4 Da. When characteristic daughter ions were monitored in the MSMS spectrum, the limit of detection was 0.1% cresyl saligenin phosphate inhibited plasma BChE. This corresponds to 2×10(-9) g in 0.5 ml or 23×10(-15) moles of inhibited BChE in 0.5 ml of plasma. In conclusion, a sensitive assay for exposure to tri-o-cresyl phosphate was developed. Laboratories that plan to use this method are cautioned that a positive result gives no proof that tri-o-cresyl phosphate is toxic at low levels. Copyright © 2014 Elsevier Inc. All rights reserved.

  2. Collision cross sections and transport coefficients of O-, O2 -, O3 - and O4 - negative ions in O2, N2 and dry air for non-thermal plasmas modelling

    NASA Astrophysics Data System (ADS)

    Hennad, Ali; Yousfi, Mohammed

    2018-02-01

    The ions interaction data such as interaction potential parameters, elastic and inelastic collision cross sections and the transport coefficients (reduced mobility and diffusion coefficients) have been determined and analyzed in the case of the main negative oxygen ions (O-, O2 -, O3 - and O4 -) present in low temperature plasma at atmospheric pressure when colliding O2, N2 and dry air. The ion transport has been determined from an optimized Monte Carlo simulation using calculated elastic and experimentally fitted inelastic collision cross sections. The elastic momentum transfer collision cross sections have been calculated from a semi-classical JWKB approximation based on a ( n-4) rigid core interaction potential model. The cross sections sets involving elastic and inelastic processes were then validated using measured reduced mobility data and also diffusion coefficient whenever available in the literature. From the sets of elastic and inelastic collision cross sections thus obtained for the first time for O3-/O2, O2 -/N2, O3 -/N2, and O4 -/N2 systems, the ion transport coefficients were calculated in pure gases and dry air over a wide range of the density reduced electric field E/N.

  3. Experimental investigation of the contact resistance of Graphene/MoS2 interface treated with O2 plasma

    NASA Astrophysics Data System (ADS)

    Lu, Qin; Liu, Yan; Han, Genquan; Fang, Cizhe; Shao, Yao; Zhang, Jincheng; Hao, Yue

    2018-02-01

    High contact resistance has been a major bottleneck for MoS2 to achieve high performances among two-dimensional material based optoelectronic and electronic devices. In this study, we investigate the contact resistances of different layered graphene film with MoS2 film with Ti/Au electrodes under different O2 plasma treatment time using the circular transmission line model (CTLM). Annealing process followed O2 plasma process to reduce the oxygen element introduced. Raman and X-ray photoelectric spectroscopy were used to analyze the quality of the materials. Finally, the current and voltage curve indicates good linear characteristics. Under the optimized condition of the O2 plasma treatment, a relatively low contact resistance (∼35.7 Ohm mm) without back gate voltage in single-layer graphene/MoS2 structure at room temperature was achieved compared with the existing reports. This method of introducing graphene as electrodes for MoS2 film demonstrates a remarkable ability to improve the contact resistance, without additional channel doping for two-dimensional materials based devices, which paves the way for MoS2 to be a more promising channel material in optoelectronic and electronic integration.

  4. Water Plasma Functionalized CNTs/MnO2 Composites for Supercapacitors

    PubMed Central

    Hussain, Shahzad; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg−1, for untreated CNTs, up to 750 Fg−1, for water plasma-treated CNTs. PMID:24348189

  5. Propagation characteristics of atmospheric-pressure He+O{sub 2} plasmas inside a simulated endoscope channel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, S.; Chen, Z. Y.; Wang, X. H., E-mail: xhw@mail.xjtu.edu.cn

    2015-11-28

    Cold atmospheric-pressure plasmas have potential to be used for endoscope sterilization. In this study, a long quartz tube was used as the simulated endoscope channel, and an array of electrodes was warped one by one along the tube. Plasmas were generated in the inner channel of the tube, and their propagation characteristics in He+O{sub 2} feedstock gases were studied as a function of the oxygen concentration. It is found that each of the plasmas originates at the edge of an instantaneous cathode, and then it propagates bidirectionally. Interestingly, a plasma head with bright spots is formed in the hollow instantaneousmore » cathode and moves towards its center part, and a plasma tail expands through the electrode gap and then forms a swallow tail in the instantaneous anode. The plasmas are in good axisymmetry when [O{sub 2}] ≤ 0.3%, but not for [O{sub 2}] ≥ 1%, and even behave in a stochastic manner when [O{sub 2}] = 3%. The antibacterial agents are charged species and reactive oxygen species, so their wall fluxes represent the “plasma dosage” for the sterilization. Such fluxes mainly act on the inner wall in the hollow electrode rather than that in the electrode gap, and they get to the maximum efficiency when the oxygen concentration is around 0.3%. It is estimated that one can reduce the electrode gap and enlarge the electrode width to achieve more homogenous and efficient antibacterial effect, which have benefits for sterilization applications.« less

  6. Surface cleaning for enhanced adhesion to packaging surfaces: Effect of oxygen and ammonia plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gaddam, Sneha; Dong, Bin; Driver, Marcus

    2015-03-15

    The effects of direct plasma chemistries on carbon removal from silicon nitride (SiN{sub x}) and oxynitride (SiO{sub x}N{sub y}) surfaces have been studied by in-situ x-ray photoelectron spectroscopy (XPS) and ex-situ contact angle measurements. The data indicate that O{sub 2} and NH{sub 3} capacitively coupled plasmas are effective at removing adventitious carbon from silicon nitride (SiN{sub x}) and Si oxynitride (SiO{sub x}N{sub y}) surfaces. O{sub 2} plasma treatment results in the formation of a silica overlayer. In contrast, the exposure to NH{sub 3} plasma results in negligible additional oxidation of the SiN{sub x} or SiO{sub x}N{sub y} surface. Ex-situ contactmore » angle measurements show that SiN{sub x} and SiO{sub x}N{sub y} surfaces exposed to oxygen plasma are initially more hydrophilic than surfaces exposed to NH{sub 3} plasma, indicating that the O{sub 2} plasma-induced SiO{sub 2} overlayer is highly reactive toward ambient. At longer ambient exposures (≳10 h), however, surfaces treated by either O{sub 2} or NH{sub 3} plasma exhibit similar steady state contact angles, correlated with rapid uptake of adventitious carbon, as determined by XPS. Surface passivation by exposure to molecular hydrogen prior to ambient exposure significantly retards the increase in contact angle upon exposure to ambient. The results suggest a practical route to enhancing the time available for effective bonding to surfaces in microelectronics packaging applications.« less

  7. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  8. Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO2, and Cr

    NASA Astrophysics Data System (ADS)

    Goodyear, Andy; Boettcher, Monika; Stolberg, Ines; Cooke, Mike

    2015-03-01

    Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ[1,2] (Dow Corning), PMMA[3] (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF6/C4F8 ICP silicon etch, CHF3/Ar RIE SiO2 etch, Cl2/O2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. Resist thicknesses (and hence resist erosion rates) were measured by spectroscopic ellipsometer in order to provide the highest accuracy for the resist comparison. Etch selectivities (substrate:mask etch rate ratio) are given, with recommendations for the optimum resist choice for each type of etch chemistry. Silicon etch profiles are also presented, along with the exposure and etch conditions to obtain the most vertical nano-scale pattern transfer. We identify one resist that gave an unusually high selectivity for chlorinated and brominated etches which could enable pattern transfer below 10nm without an additional hard mask. In this case the resist itself acts as a hard mask. We also highlight the differing effects of fluorine and bromine-based Silicon etch chemistries on resist profile evolution and hence etch fidelity.

  9. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  10. Effect of source frequency and pulsing on the SiO2 etching characteristics of dual-frequency capacitive coupled plasma

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Jun; Jeon, Min Hwan; Mishra, Anurag Kumar; Kim, In Jun; Sin, Tae Ho; Yeom, Geun Young

    2015-01-01

    A SiO2 layer masked with an amorphous carbon layer (ACL) has been etched in an Ar/C4F8 gas mixture with dual frequency capacitively coupled plasmas under variable frequency (13.56-60 MHz)/pulsed rf source power and 2 MHz continuous wave (CW) rf bias power, the effects of the frequency and pulsing of the source rf power on the SiO2 etch characteristics were investigated. By pulsing the rf power, an increased SiO2 etch selectivity was observed with decreasing SiO2 etch rate. However, when the rf power frequency was increased, not only a higher SiO2 etch rate but also higher SiO2 etch selectivity was observed for both CW and pulse modes. A higher CF2/F ratio and lower electron temperature were observed for both a higher source frequency mode and a pulsed plasma mode. Therefore, when the C 1s binding states of the etched SiO2 surfaces were investigated using X-ray photoelectron spectroscopy (XPS), the increase of C-Fx bonding on the SiO2 surface was observed for a higher source frequency operation similar to a pulsed plasma condition indicating the increase of SiO2 etch selectivity over the ACL. The increase of the SiO2 etch rate with increasing etch selectivity for the higher source frequency operation appears to be related to the increase of the total plasma density with increasing CF2/F ratio in the plasma. The SiO2 etch profile was also improved not only by using the pulsed plasma but also by increasing the source frequency.

  11. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  12. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE PAGES

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu; ...

    2017-07-28

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  13. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  14. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2−x

    PubMed Central

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-01-01

    The thermoelectric properties of sub-stoichiometric TiO2−x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO2−x deposits are formed through in-situ reaction of the TiO1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO2−x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of −230 μV K−1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 103 S m−1, relatively low thermal conductivity in the range of 1.5 to 2 W m−1 K−1 resulting in power factor of 2.9 μW cm−1 K−2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures. PMID:27811954

  15. Three-dimensional reduced-graphene/MnO2 prepared by plasma treatment as high-performance supercapacitor electrodes

    NASA Astrophysics Data System (ADS)

    Liu, Runru; Wen, Dongdong; Zhang, Xueyu; Wang, Dejun; Yang, Qiang; Yuan, Beilei; Lü, Wei

    2018-06-01

    In this work, three-Dimensional nitrogen-doped graphene/MnO2 (NG/MnO2) was prepared by plasma treatment, which provides a high specific surface area due to porous structure and exhibits enhanced supercapacitor performance. The advantage of NG/MnO2 electrode was obvious compared with reduced graphene oxide/MnO2 (RGO/MnO2) which was prepared by traditional hydrothermal method, such as improved electrochemical property and better cycling stability. The specific capacitance of NG/MnO2 at the scan rate of 5 mV s‑1 (393 F g‑1) is higher than that of RGO/MnO2 (260 F g‑1). In addition, NG/MnO2 showed higher durability with 90.2% capacitance retention than that of RGO/MnO2 (82%) after 5000 cycles. Such cheap and high-performance supercapacitor electrodes are available by our feasible plasma treatment, which give promise in large-scale commercial energy storage devices.

  16. OH and O radicals production in atmospheric pressure air/Ar/H2O gliding arc discharge plasma jet

    NASA Astrophysics Data System (ADS)

    N, C. ROY; M, R. TALUKDER; A, N. CHOWDHURY

    2017-12-01

    Atmospheric pressure air/Ar/H2O gliding arc discharge plasma is produced by a pulsed dc power supply. An optical emission spectroscopic (OES) diagnostic technique is used for the characterization of plasmas and for identifications of {{OH}} and {{O}} radicals along with other species in the plasmas. The OES diagnostic technique reveals the excitation T x ≈ 5550-9000 K, rotational T r ≈ 1350-2700 K and gas T g ≈ 850-1600 K temperatures, and electron density {n}{{e}}≈ ({1.1-1.9})× {10}14 {{{cm}}}-3 under different experimental conditions. The production and destruction of {{OH}} and {{O}} radicals are investigated as functions of applied voltage and air flow rate. Relative intensities of {{OH}} and {{O}} radicals indicate that their production rates are increased with increasing {{Ar}} content in the gas mixture and applied voltage. {n}{{e}} reveals that the higher densities of {{OH}} and {{O}} radicals are produced in the discharge due to more effective electron impact dissociation of {{{H}}}2{{O}} and {{{O}}}2 molecules caused by higher kinetic energies as gained by electrons from the enhanced electric field as well as by enhanced {n}{{e}}. The productions of {{OH}} and {{O}} are decreasing with increasing air flow rate due to removal of Joule heat from the discharge region but enhanced air flow rate significantly modifies discharge maintenance properties. Besides, {T}{{g}} significantly reduces with the enhanced air flow rate. This investigation reveals that {{Ar}} plays a significant role in the production of {{OH}} and {{O}} radicals.

  17. Highly efficient low-temperature plasma-assisted modification of TiO2 nanosheets with exposed {001} facets for enhanced visible-light photocatalytic activity.

    PubMed

    Li, Beibei; Zhao, Zongbin; Zhou, Quan; Meng, Bo; Meng, Xiangtong; Qiu, Jieshan

    2014-11-03

    Anatase TiO2 nanosheets with exposed {001} facets have been controllably modified under non-thermal dielectric barrier discharge (DBD) plasma with various working gas, including Ar, H2 , and NH3 . The obtained TiO2 nanosheets possess a unique crystalline core/amorphous shell structure (TiO2 @TiO2-x ), which exhibit the improved visible and near-infrared light absorption. The types of dopants (oxygen vacancy/surface Ti(3+) /substituted N) in oxygen-deficient TiO2 can be tuned by controlling the working gases during plasma discharge. Both surface Ti(3+) and substituted N were doped into the lattice of TiO2 through NH3 plasma discharge, whereas the oxygen vacancy or Ti(3+) (along with the oxygen vacancy) was obtained after Ar or H2 plasma treatment. The TiO2 @TiO2-x from NH3 plasma with a green color shows the highest photocatalytic activity under visible-light irradiation compared with the products from Ar plasma or H2 plasma due to the synergistic effect of reduction and simultaneous nitridation in the NH3 plasma. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Room-temperature aqueous plasma electrolyzing Al2O3 nano-coating on carbon fiber

    NASA Astrophysics Data System (ADS)

    Zhang, Yuping; Meng, Yang; Shen, Yonghua; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2017-10-01

    A novel room-temperature aqueous plasma electrolysis technique has been developed in order to prepared Al2O3 nano-coating on each fiber within a carbon fiber bundle. The microstructure and formation mechanism of the Al2O3 nano-coating were systematically investigated. The oxidation resistance and tensile strength of the Al2O3-coated carbon fiber was measured at elevated temperatures. It showed that the dense Al2O3 nano-coating was relatively uniformly deposited with 80-120 nm in thickness. The Al2O3 nano-coating effectively protected the carbon fiber, evidenced by the slower oxidation rate and significant increase of the burn-out temperature from 800 °C to 950 °C. Although the bare carbon fiber remained ∼25 wt.% after oxidation at 700 °C for 20 min, a full destruction was observed, evidenced by the ∼0 GPa of the tensile strength, compared to ∼1.3 GPa of the Al2O3-coated carbon fiber due to the effective protection from the Al2O3 nano-coating. The formation mechanism of the Al2O3 nano-coating on carbon fiber was schematically established mainly based on the physic-chemical effect in the cathodic plasma arc zone.

  19. Phase transition in lithium garnet oxide ionic conductors Li7La3Zr2O12: The role of Ta substitution and H2O/CO2 exposure

    NASA Astrophysics Data System (ADS)

    Wang, Yuxing; Lai, Wei

    2015-02-01

    High Li-content lithium garnet oxides are promising solid electrolyte materials for lithium batteries. Being the highest Li-content lithium garnet oxides, Li7La3Zr2O12 has been reported to crystallize in either the tetragonal or cubic phase with no consensus on the exact conditions under which these two phases are formed, which may be due to unintentional Al contamination and air exposure. In this work, the effects of Ta substitution and H2O/CO2 exposure have been studied under Al-contamination free conditions with minimal air exposure. We showed that 1) the Ta-substitution induced phase transition occurred through a two-phase mechanism and a minimum 0.6 mol of Ta substitution to Zr is needed to stabilize the cubic phase; 2) H2O and CO2 can individually induce the tetragonal-cubic phase transition in Li7La3Zr2O12 through proton exchange and Li extraction, respectively, which can have great influence on the transport properties of Li7La3Zr2O12.

  20. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  1. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    PubMed

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  2. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  3. Production of simplex RNS and ROS by nanosecond pulse N2/O2 plasma jets with homogeneous shielding gas for inducing myeloma cell apoptosis

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Xu, Dehui; Liu, Dingxin; Cui, Qingjie; Cai, Haifeng; Li, Qiaosong; Chen, Hailan; Kong, Michael G.

    2017-05-01

    In this paper, atmospheric pressure N2/O2 plasma jets with homogeneous shielding gas excited by nanosecond pulse are obtained to generate simplex reactive nitrogen species (RNS) and reactive oxygen species (ROS), respectively, for the purpose of studying the simplex RNS and ROS to induce the myeloma cell apoptosis with the same discharge power. The results reveal that the cell death rate by the N2 plasma jet with N2 shielding gas is about two times that of the O2 plasma jet with O2 shielding gas for the equivalent treatment time. By diagnosing the reactive species of ONOO-, H2O2, OH and \\text{O}2- in medium, our findings suggest the cell death rate after plasma jets treatment has a positive correlation with the concentration of ONOO-. Therefore, the ONOO- in medium is thought to play an important role in the process of inducing myeloma cell apoptosis.

  4. SnO2/CNT nanocomposite supercapacitors fabricated using scanning atmospheric-pressure plasma jets

    NASA Astrophysics Data System (ADS)

    Xu, Chang-Han; Chiu, Yi-Fan; Yeh, Po-Wei; Chen, Jian-Zhang

    2016-08-01

    SnO2/CNT electrodes for supercapacitors are fabricated by first screen-printing pastes containing SnO2 nanoparticles and CNTs on carbon cloth, following which nitrogen atmospheric pressure plasma jet (APPJ) sintering is performed at various APPJ scan rates. The APPJ scan rates change the time intervals for which the reactive plasma species and the heat of the nitrogen APPJs influence the designated sintering spot on the carbon cloth, resulting in APPJ-sintered SnO2/CNT nanocomposites with different properties. The water contact angle decreases with the APPJ scan rate. The improved wettability can facilitate the penetration of the electrolyte into the nanopores of the SnO2/CNT nanocomposites, thereby improving the charge storage and specific capacitance of the supercapacitors. Among the three tested APPJ scan rates, 1.5, 3, and 6 mm s-1, the SnO2/CNT supercapacitor sintered by APPJ under the lowest APPJ scan rate of 1.5 mm s-1 shows the best specific capacitance of ˜90 F g-1 as evaluated by cyclic voltammetry under a potential scan rate of 2 mV s-1. A high APPJ scan rate may result in low degree of materials activation and sintering, leading to poorer performance of SnO2/CNT supercapacitors. The results suggest the feasibility of an APPJ roll-to-roll process for the fabrication of SnO2/CNT nanocomposite supercapacitors.

  5. Effects of MgO and SiO2 on Plasma-Sprayed Hydroxyapatite Coating: An in Vivo Study in Rat Distal Femoral Defects.

    PubMed

    Ke, Dongxu; Robertson, Samuel F; Dernell, William S; Bandyopadhyay, Amit; Bose, Susmita

    2017-08-09

    Plasma-sprayed hydroxyapatite (HA)-coated titanium implants have been widely used in orthopedic applications due to their inheritance of an excellent mechanical property from titanium and great osteoconductivity from HA. However, the lack of osteoinductivity limits their further applications. In this study, 1 wt % MgO and 0.5 wt % SiO 2 were mixed with HA for making plasma-sprayed coatings on titanium implants. Plasma-sprayed HA- and MgO/SiO 2 -HA-coated titanium implants showed adhesive bond strengths of 25.73 ± 1.92 and 23.44 ± 2.89 MPa, respectively. The presence of MgO and SiO 2 significantly increased the osteogenesis, osseointegration, and bone mineralization of HA-coated titanium implants by the evaluation of their histomorphology after 6, 10, and 14 weeks of implantation in rat distal femoral defects. Implant pushout tests also showed a shear modulus of 149.83 ± 3.69 MPa for MgO/SiO 2 -HA-coated implants after 14 weeks of implantation, compared to 52.68 ± 10.41 MPa for uncoated implants and 83.92 ± 3.68 MPa for pure HA-coated implants; These are differences in the shear modulus of 96% and 56.4%, respectively. This study assesses for the first time the quality of the bone-implant interface of induction plasma-sprayed MgO and SiO 2 binary-doped HA coatings on load-bearing implants compared to bare titanium and pure HA coatings in a quantitative manner. Relating the osseointegration and interface shear modulus to the quality of implant fixation is critical to the advancement and implementation of HA-coated orthopedic implants.

  6. Elevated Plasma Norepinephrine After In Utero Exposure to Cocaine and Marijuana

    PubMed Central

    Mirochnick, Mark; Meyer, Jerrold; Frank, Deborah A.; Cabral, Howard; Tronick, Edward Z.; Zuckerman, Barry

    2008-01-01

    Objective To compare plasma catecholamine concentrations between cocaine-exposed and unexposed term newborns and to determine the relationship between plasma catecholamines and newborn behavior. Methods Forty-six newborn infants participating in a prospective study of the neonatal and long-term effects of prenatal cocaine exposure were studied. Based on maternal self-report, maternal urine screening, and infant meconium analysis, 24 infants were classified as cocaine-exposed and 22 as unexposed. Between 24 and 72 hours postpartum, plasma samples for norepinephrine (NE), epinephrine, dopamine, and dihydroxyphenylalanine analysis were obtained. The Neonatal Behavioral Assessment Scale was administered at 1 to 3 days of age and at 2 weeks of age by examiners masked to the drug exposure status of the newborns. Results The cocaine-exposed newborns had increased plasma NE concentrations when compared to the unexposed infants (geometric mean, 923 pg/mL vs 667 pg/mL). There were no significant differences in plasma epinephrine, dopamine, or dihydroxyphenylalanine concentrations. Analysis for the effect of potential confounding variables revealed that maternal marijuana use was also associated with increased plasma NE, although birth weight, gender, and maternal use of alcohol or cigarettes were not. Geometric mean plasma NE was 1164 pg/mL in those infants with in utero exposure to both cocaine and marijuana compared to 812 pg/mL in those exposed to only cocaine and 667 pg/mL in those exposed to neither. Among the cocaine-exposed infants, plasma NE concentration correlated with an increased score for the depressed cluster (r = .53) and a decreased score for the orientation cluster (r = −.43) of the Neonatal Behavioral Assessment Scale administered at 1 to 3 days of age. Adjusting for marijuana exposure had no effect on these relationships between plasma NE and the depressed and orientation clusters. Conclusion Plasma NE is increased in newborns exposed to cocaine and

  7. Conversion of CH4/CO2 to syngas over Ni-Co/Al2O3-ZrO2 nanocatalyst synthesized via plasma assisted co-impregnation method: Surface properties and catalytic performance

    NASA Astrophysics Data System (ADS)

    Rahemi, Nader; Haghighi, Mohammad; Akbar Babaluo, Ali; Fallah Jafari, Mahdi; Khorram, Sirous

    2013-09-01

    Ni/Al2O3 catalyst promoted by Co and ZrO2 was prepared by co-impregnation method and treated with glow discharge plasma. The catalytic activity of the synthesized nanocatalysts has been tested toward conversion of CH4/CO2 to syngas. The physicochemical characterizations like XRD, EDX, FESEM, TEM, BET, FTIR, and XPS show that plasma treatment results in smaller particle size, more surface concentration, and uniform morphology. The dispersion of nickel in plasma-treated nanocatalyst was also significantly improved, which was helpful for controlling the ensemble size of active phase atoms on the support surface. Improved physicochemical properties caused 20%-30% enhancement in activity of plasma-treated nanocatalyst that means to achieve the same H2 or CO yield, the plasma-treated nanocatalyst needed about 100 °C lower reaction temperature. The H2/CO ratio got closer to 1 at higher temperatures and finally at 850 °C H2/CO = 1 is attained for plasma-treated nanocatalyst. Plasma-treated nanocatalyst due to smaller Ni particles and strong interaction between active phase and support has lower tendency to keep carbon species on its structure and hence excellent stability can be observed for this catalyst.

  8. Ta2O5 Polycrystalline Silicon Capacitors with CF4 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kao, Chyuan-Haur; Chen, Hsiang

    2012-04-01

    In this research, the effects of CF4 plasma treatment with post annealing on the electrical characteristics and material properties of Ta2O5 dielectrics were determined. The dielectric performance characteristics of samples under different treatment conditions were measured using equivalent oxide thickness (EOT), current density-electric field (J-E) characteristics, gate voltage shift versus time, and Weibull plots. In addition, X-ray diffraction (XRD) analysis provided insight into the changes in crystalline structure, atomic force microscopy (AFM) measurements visualized the surface roughness, and secondary ion mass spectroscopy (SIMS) revealed the distribution of fluorine ions inside the dielectric samples. Findings indicate that dielectric performance can be significantly improved by CF4 plasma treatment for 1 min with post annealing at 800 °C. The improvements in electrical characteristics were caused by the appropriate incorporation of the fluorine atoms and the removal of the dangling bonds and traps. The Ta2O5 dielectric incorporated with appropriate CF4 plasma and annealing treatments shows great promise for future generation of nonvolatile memory applications.

  9. Sterilization effects of atmospheric cold plasma brush

    NASA Astrophysics Data System (ADS)

    Yu, Q. S.; Huang, C.; Hsieh, F.-H.; Huff, H.; Duan, Yixiang

    2006-01-01

    This study investigated the sterilization effects of a brush-shaped plasma created at one atmospheric pressure. A population of 1.0×104-1.0×105 Escherichia coli or Micrococcus luteus bacteria was seeded in filter paper media and then subjected to Ar and/or Ar +O2 plasmas. A complete kill of the Micrococcus luteus required about 3 min argon plasma exposures. With oxygen addition into the argon plasma gas streams, a complete kill of the bacteria needed only less than 1 min plasma exposure for Micrococcus luteus and about 2 min exposure for Escherichia coli. The plasma treatment effects on the different bacteria cell structures were examined using scanning electron microscopy.

  10. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  11. Optimization of a RF-generated CF4/O2 gas plasma sterilization process.

    PubMed

    Lassen, Klaus S; Nordby, Bolette; Grün, Reinar

    2003-05-15

    A sterilization process with the use of RF-generated (13.56 MHz) CF(4)/O(2) gas plasma was optimized in regards to power, flow rate, exposure time, and RF-system type. The dependency of the sporicidal effect on the spore inoculum positioning in the chamber of the RF systems was also investigated. Dried Bacillus stearothermophilus ATCC 7953 endospores were used as test organisms. The treatments were evaluated on the basis of survival curves and corresponding D values. The only parameter found to affect the sterilization process was the power of the RF system. Higher power resulted in higher kill. Finally, when the samples were placed more than 3-8 cm away from a centrally placed electrode in System 2, the sporicidal effect was reduced. The results are discussed and compared to results from the present literature. The RF excitation source is evaluated to be more appropriate for sterilization processes than the MW source. Copyright 2003 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater 65B: 239-244, 2003

  12. Plasma flow measurements in the Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) and comparison with B2.5-Eirene modeling

    NASA Astrophysics Data System (ADS)

    Kafle, N.; Owen, L. W.; Caneses, J. F.; Biewer, T. M.; Caughman, J. B. O.; Donovan, D. C.; Goulding, R. H.; Rapp, J.

    2018-05-01

    The Prototype Material Plasma Exposure eXperiment (Proto-MPEX) at Oak Ridge National Laboratory is a linear plasma device that combines a helicon plasma source with additional microwave and radio frequency heating to deliver high plasma heat and particle fluxes to a target. Double Langmuir probes and Thomson scattering are being used to measure local electron temperature and density at various radial and axial locations. A recently constructed Mach-double probe provides the added capability of simultaneously measuring electron temperatures ( T e), electron densities ( n e), and Mach numbers (M). With this diagnostic, it is possible to infer the plasma flow, particle flux, and heat flux at different locations along the plasma column in Proto-MPEX. Preliminary results show Mach numbers of 0.5 (towards the dump plate) and 1.0 (towards the target plate) downstream from the helicon source, and a stagnation point (no flow) near the source for the case where the peak magnetic field was 1.3 T. Measurements of particle flow and ne and Te profiles are discussed. The extensive coverage provided by these diagnostics permits data-constrained B2.5-Eirene modeling of the entire plasma column, and comparison with results of modeling in the high-density helicon plasmas will be presented.

  13. Effect of Nano-Si3N4 Additives and Plasma Treatment on the Dry Sliding Wear Behavior of Plasma Sprayed Al2O3-8YSZ Ceramic Coatings

    NASA Astrophysics Data System (ADS)

    Gou, Junfeng; Zhang, Jian; Zhang, Qiwen; Wang, You; Wang, Chaohui

    2017-04-01

    In this paper, the effect of nano-Si3N4 additives and plasma treatment on the wear behavior of Al2O3-8YSZ ceramic coatings was studied. Nano-Al2O3, nano-8YSZ (8 wt.% Y2O3-stabilized ZrO2) and nano-Si3N4 powders were used as raw materials to fabricate four types of sprayable feedstocks. Plasma treatment was used to improve the properties of the feedstocks. The surface morphologies of the ceramic coatings were observed. The mechanical properties of the ceramic coatings were measured. The dry sliding wear behavior of the Al2O3-8YSZ coatings with and without Si3N4 additives was studied. Nano-Si3N4 additives and plasma treatment can improve the morphologies of the coatings by prohibiting the initiation of micro-cracks and reducing the unmelted particles. The hardness and bonding strength of AZSP (Al2O3-18 wt.% 8YSZ-10 wt.% Si3N4-plasma treatment) coating increased by 79.2 and 44% compared to those of AZ (Al2O3-20 wt.% 8YSZ) coating. The porosity of AZSP coating decreased by 85.4% compared to that of AZ coating. The wear test results showed that the addition of nano-Si3N4 and plasma treatment could improve the wear resistance of Al2O3-8YSZ coatings.

  14. Influence of an O2 background gas on the composition and kinetic energies of species in laser induced La0.4Ca0.6MnO3 plasmas

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Stender, Dieter; Bator, Matthias; Schneider, Christof W.; Lippert, Thomas; Wokaun, Alexander

    2013-08-01

    Oxygen is one of the most commonly used background gases for pulsed laser deposition of oxide thin films. In this work the properties of a 308 nm laser-induced La0.4Ca0.6MnO3 plasma were analyzed using a quadrupole mass spectrometer combined with an energy analyzer, to investigate the interaction between the various plasma species and the background gas. The composition and kinetic energies of the plasma species were compared in vacuum and an O2 background gas at different pressures. It has been observed that the O2 background gas decreases the kinetic energy of the positively charged atomic plasma species. In addition, the interaction with the O2 background gas causes the generation of positive diatomic oxide species of LaO+, CaO+ and MnO+. The amount of negatively charged diatomic or tri-atomic oxide species decreases in the O2 background compared to vacuum, while the amount of O2- increases strongly.

  15. Sterilization effects of atmospheric cold plasma brush

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yu, Q.S.; Huang, C.; Hsieh, F.-H.

    2006-01-02

    This study investigated the sterilization effects of a brush-shaped plasma created at one atmospheric pressure. A population of 1.0x10{sup 4}-1.0x10{sup 5} Escherichia coli or Micrococcus luteus bacteria was seeded in filter paper media and then subjected to Ar and/or Ar+O{sub 2} plasmas. A complete kill of the Micrococcus luteus required about 3 min argon plasma exposures. With oxygen addition into the argon plasma gas streams, a complete kill of the bacteria needed only less than 1 min plasma exposure for Micrococcus luteus and about 2 min exposure for Escherichia coli. The plasma treatment effects on the different bacteria cell structuresmore » were examined using scanning electron microscopy.« less

  16. Manganese-cerium oxide catalysts prepared by non-thermal plasma for NO oxidation: Effect of O2 in discharge atmosphere

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Wu, Shenghao; Gao, Xiang; Ni, Mingjiang; Cen, Kefa

    2017-09-01

    Non-thermal plasma with different O2 concentration in discharge atmosphere was applied to synthesize manganese and cerium mixed-oxides catalysts, which were compared in NO oxidation activity. Discharge atmosphere displayed a crucial influence on the performance of the catalysts prepared by plasma. Relatively low O2 concentration in discharge atmosphere allows synthesizing manganese-cerium oxides catalysts in a moderate environment and therefore is favorable for better physicochemical properties which lead to superior catalytic behavior. The best catalyst was obtained by treatment with 10% O2/N2 plasma and presented over 80% NO conversion in the temperature range of 275-325 °C, whereas catalyst prepared in pure O2 discharge atmosphere had the same activity with a catalyst prepared by calcinations. A correlation between the surface properties of the plasma prepared catalysts and its catalytic activity in NO oxidation is proposed. The amount of the surface adsorbed oxygen has an obvious linear correlation with the amount of Ce3+, the H2 consumption at low temperatures and the catalytic performance. The superior catalytic performance is mainly attributed to the stronger interaction between manganese oxides and ceria, and the formation of poorly crystallized Mn-O-Ce phase in the catalyst which resulted from the slow decomposition of nitrates and organics during plasma treatment. Catalysts prepared in relatively low O2 concentration have large specific surface area and is abundant in Ce3+ species and active oxygen species. The study suggests that plasma treatment with proper discharge gas components is a promising method to prepare effective manganese- cerium oxides catalyst for NO oxidation.

  17. Experimental study of the visible-light photocatalytic activity of oxygen-deficient TiO2 prepared with Ar/H2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Nakano, Takuma; Yazawa, Shota; Araki, Shota; Kogoshi, Sumio; Katayama, Noboru; Kudo, Yusuke; Nakanishi, Tetsuya

    2015-01-01

    Oxygen-deficient TiO2 (TiO2-x) has been proposed as a visible-light-responsive photocatalyst. TiO2-x thin films were prepared by Ar/H2 plasma surface treatment, applying varying levels of microwave input power and processing times. The highest visible light photocatalytic activity was observed when using an input power of 200 W, a plasma processing time of 10 min, and a 1:1 \\text{Ar}:\\text{H}2 ratio, conditions that generate an electron temperature of 5.7(±1.0) eV and an electron density of 8.5 × 1010 cm-3. The maximum formaldehyde (HCHO) removal rate of the TiO2-x film was 2.6 times higher than that obtained from a TiO2-xNx film under the same test conditions.

  18. Preparation of YBa2Cu3O7 High Tc Superconducting Coatings by Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Danroc, J.; Lacombe, J.

    The following sections are included: * INTRODUCTION * THE COMPOUND YBa2Cu3O7-δ * Structure * Critical temperature * Critical current density * Phase equilibria in the YBaCuO system * PREPARATION OF YBa2Cu3O7 COATINGS * General organisation of the preparation process * The powder * Hot plasma spraying of YBa2Cu3O7 * The post-spraying thermal treatment * CHARACTERISTICS OF THE YBa2Cu3O7-δ COATINGS * Chemical composition * Crystalline structure * Morphology of the coatings * Electrical and magnetic characteristics * Conclusion * REFERENCES

  19. Associations among plasma metabolite levels and short-term exposure to PM2.5 and ozone in a cardiac catheterization cohort.

    PubMed

    Breitner, Susanne; Schneider, Alexandra; Devlin, Robert B; Ward-Caviness, Cavin K; Diaz-Sanchez, David; Neas, Lucas M; Cascio, Wayne E; Peters, Annette; Hauser, Elizabeth R; Shah, Svati H; Kraus, William E

    2016-12-01

    Exposure to ambient particulate matter (PM) and ozone has been associated with cardiovascular disease (CVD). However, the mechanisms linking PM and ozone exposure to CVD remain poorly understood. This study explored associations between short-term exposures to PM with a diameter <2.5μm (PM 2.5 ) and ozone with plasma metabolite concentrations. We used cross-sectional data from a cardiac catheterization cohort at Duke University, North Carolina (NC), USA, accumulated between 2001 and 2007. Amino acids, acylcarnitines, ketones and total non-esterified fatty acid plasma concentrations were determined in fasting samples. Daily concentrations of PM 2.5 and ozone were obtained from a Bayesian space-time hierarchical model, matched to each patient's residential address. Ten metabolites were selected for the analysis based on quality criteria and cluster analysis. Associations between metabolites and PM 2.5 or ozone were analyzed using linear regression models adjusting for long-term trend and seasonality, calendar effects, meteorological parameters, and participant characteristics. We found delayed associations between PM 2.5 or ozone and changes in metabolite levels of the glycine-ornithine-arginine metabolic axis and incomplete fatty acid oxidation associated with mitochondrial dysfunction. The strongest association was seen for an increase of 8.1μg/m 3 in PM 2.5 with a lag of one day and decreased mean glycine concentrations (-2.5% [95% confidence interval: -3.8%; -1.2%]). Short-term exposures to ambient PM 2.5 and ozone is associated with changes in plasma concentrations of metabolites in a cohort of cardiac catheterization patients. Our findings might help to understand the link between air pollution and cardiovascular disease. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Study on plasma pre-functionalized PVC film grafted with TiO2/PVP to improve blood compatible and antibacterial properties

    NASA Astrophysics Data System (ADS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Serra Rodríguez, Carmen

    2017-04-01

    Research into the design of new biopolymers/polymer functionalized with nanoparticles is of tremendous interest to the medical sector, particularly with regard to blood-contacting devices. In this present study, a steady blood compatible and active antibacterial coating was fabricated by the grafting of titanium dioxide (TiO2)/polyvinylpyyrolidone (PVP) onto a polyvinyl chloride (PVC) film surface via the direct-current glow discharge plasma method. To enhance the chemical interaction between TiO2/PVP and PVC, the surfaces of the PVC films were functionalized by different plasmas (air, argon, and oxygen) before coating. In this study, the plasma parameters were varied, such as treatment time of about 5-20 min for a constant power of 100 W, potential 300 V, and a constant gas pressure of 2 Pa for air, argon, and oxygen gas environment. Then, the different plasma treatments on the PVC films, TiO2/PVP were grafted using a simple dip-coating method. In addition, the TiO2/PVP-grafted PVC films were characterized by contact angle, attenuated total reflectance Fourier transform infrared spectroscopy, field-emission scanning electron microscope, and x-ray photo electron spectroscopy. Importantly, TiO2/PVP is grafted onto the PVC surface due to the plasma-based retained functionality and demonstrates adhesive efficiency, which was observed by XPS. The bio-stability of the TiO2/PVP-modified PVC film was evaluated by in vitro platelet activation analysis and protein adsorption analysis. Then, the antibacterial properties were evaluated by the agar diffusion method against Escherichia coli. The result reveals that the grafting of TiO2/PVP was slightly higher for the 15 min oxygen plasma-functionalized PVC, which significantly decreases the platelet adhesion and protein adsorption. Moreover, the antibacterial properties of the 15 min oxygen plasma-functionalized PVC with TiO2/PVP-grafted film is also greatly improved compared with an air- and argon-functionalized surface

  1. Effect of high-flux H/He plasma exposure on tungsten damage due to transient heat loads

    NASA Astrophysics Data System (ADS)

    De Temmerman, G.; Morgan, T. W.; van Eden, G. G.; de Kruif, T.; Wirtz, M.; Matejicek, J.; Chraska, T.; Pitts, R. A.; Wright, G. M.

    2015-08-01

    The thermal shock behaviour of tungsten exposed to high-flux plasma is studied using a high-power laser. The cases of laser-only, sequential laser and hydrogen (H) plasma and simultaneous laser plus H plasma exposure are studied. H plasma exposure leads to an embrittlement of the material and the appearance of a crack network originating from the centre of the laser spot. Under simultaneous loading, significant surface melting is observed. In general, H plasma exposure lowers the heat flux parameter (FHF) for the onset of surface melting by ∼25%. In the case of He-modified (fuzzy) surfaces, strong surface deformations are observed already after 1000 laser pulses at moderate FHF = 19 MJ m-2 s-1/2, and a dense network of fine cracks is observed. These results indicate that high-fluence ITER-like plasma exposure influences the thermal shock properties of tungsten, lowering the permissible transient energy density beyond which macroscopic surface modifications begin to occur.

  2. The Material Plasma Exposure eXperiment (MPEX)

    NASA Astrophysics Data System (ADS)

    Rapp, J.; Biewer, T. M.; Bigelow, T. S.; Canik, J.; Caughman, J. B. O.; Duckworth, R. C.; Goulding, R. H.; Hillis, D. L.; Lore, J. D.; Lumsdaine, A.; McGinnis, W. D.; Meitner, S. J.; Owen, L. W.; Shaw, G. C.; Luo, G.-N.

    2014-10-01

    Next generation plasma generators have to be able to access the plasma conditions expected on the divertor targets in ITER and future devices. The Material Plasma Exposure eXperiment (MPEX) will address this regime with electron temperatures of 1--10 eV and electron densities of 1021--1020 m-3. The resulting heat fluxes are about 10 MW/m2. MPEX is designed to deliver those plasma conditions with a novel Radio Frequency plasma source able to produce high density plasmas and heat electron and ions separately with Electron Bernstein Wave (EBW) heating and Ion Cyclotron Resonance Heating (ICRH). Preliminary modeling has been used for pre-design studies of MPEX. MPEX will be capable to expose neutron irradiated samples. In this concept targets will be irradiated in ORNL's High Flux Isotope Reactor (HFIR) or possibly at the Spallation Neutron Source (SNS) and then subsequently (after a sufficient long cool-down period) exposed to fusion reactor relevant plasmas in MPEX. The current state of the pre-design of MPEX including the concept of handling irradiated samples will be presented. ORNL is managed by UT-Battelle, LLC, for the U.S. DOE under Contract DE-AC-05-00OR22725.

  3. Long-term exposure to electromagnetic radiation from mobile phones and Wi-Fi devices decreases plasma prolactin, progesterone, and estrogen levels but increases uterine oxidative stress in pregnant rats and their offspring.

    PubMed

    Yüksel, Murat; Nazıroğlu, Mustafa; Özkaya, Mehmet Okan

    2016-05-01

    We investigated the effects of mobile phone (900 and 1800 MHz)- and Wi-Fi (2450 MHz)-induced electromagnetic radiation (EMR) exposure on uterine oxidative stress and plasma hormone levels in pregnant rats and their offspring. Thirty-two rats and their forty newborn offspring were divided into the following four groups according to the type of EMR exposure they were subjected to: the control, 900, 1800, and 2450 MHz groups. Each experimental group was exposed to EMR for 60 min/day during the pregnancy and growth periods. The pregnant rats were allowed to stand for four generations (total 52 weeks) before, plasma and uterine samples were obtained. During the 4th, 5th, and 6th weeks of the experiment, plasma and uterine samples were also obtained from the developing rats. Although uterine lipid peroxidation increased in the EMR groups, uterine glutathione peroxidase activity (4th and 5th weeks) and plasma prolactin levels (6th week) in developing rats decreased in these groups. In the maternal rats, the plasma prolactin, estrogen, and progesterone levels decreased in the EMR groups, while the plasma total oxidant status, and body temperatures increased. There were no changes in the levels of reduced glutathione, total antioxidants, or vitamins A, C, and E in the uterine and plasma samples of maternal rats. In conclusion, although EMR exposure decreased the prolactin, estrogen, and progesterone levels in the plasma of maternal rats and their offspring, EMR-induced oxidative stress in the uteri of maternal rats increased during the development of offspring. Mobile phone- and Wi-Fi-induced EMR may be one cause of increased oxidative uterine injury in growing rats and decreased hormone levels in maternal rats. TRPV1 cation channels are the possible molecular pathways responsible for changes in the hormone, oxidative stress, and body temperature levels in the uterus of maternal rats following a year-long exposure to electromagnetic radiation exposure from mobile phones and

  4. Ar + CO2 and He + CO2 Plasmas in ASTRAL

    NASA Astrophysics Data System (ADS)

    Boivin, R. F.; Gardner, A.; Munoz, J.; Kamar, O.; Loch, S.

    2007-11-01

    Spectroscopy study of the ASTRAL helicon plasma source running Ar + CO2 and He + CO2 gas mixes is presented. ASTRAL produces plasmas with the following parameters: ne = 10^10 - 10^13 cm-3, Te = 2 - 10 eV and Ti = 0.03 - 0.5 eV, B-field <= 1.3 kGauss, rf power <= 2 kWatt. A 0.33 m scanning monochromator is used for this study. Using Ar + CO2 gas mixes, very different plasmas are observed as the concentration of CO2 is changed. At low CO2 concentration, the bluish plasma is essentially atomic and argon transitions dominate the spectra. Weak C I and O I lines are present in the 750 - 1000 nm range. At higher CO2 concentration, the plasma becomes essentially molecular and is characterized by intense, white plasma columns. Here, spectra are filled with molecular bands (CO2, CO2^+, CO and CO^+). Limited molecular dissociative excitation processes associated with the production of C I and O I emission are also observed. On the other hand, He + CO2 plasmas are different. Here, rf matches are only possible at low CO2 concentration. Under these conditions, the spectra are characterized by strong C I and O I transitions with little or no molecular bands. Strong dissociative processes observed in these plasmas can be link to the high Te associated with He plasmas. An analysis of the spectra with possible scientific and industrial applications will be presented.

  5. Collisional radiative model for Ar-O2 mixture plasma with fully relativistic fine structure cross sections

    NASA Astrophysics Data System (ADS)

    Priti, Gangwar, Reetesh Kumar; Srivastava, Rajesh

    2018-04-01

    A collisional radiative (C-R) model has been developed to diagnose the rf generated Ar-O2 (0%-5%) mixture plasma at low temperatures. Since in such plasmas the most dominant process is an electron impact excitation process, we considered several electron impact fine structure transitions in an argon atom from its ground as well as excited states. The cross-sections for these transitions have been obtained using the reliable fully relativistic distorted wave theory. Processes which account for the coupling of argon with the oxygen molecules have been further added to the model. We couple our model to the optical spectroscopic measurements reported by Jogi et al. [J. Phys. D: Appl. Phys. 47, 335206 (2014)]. The plasma parameters, viz. the electron density (ne) and the electron temperature (Te) as a function of O2 concentration have been obtained using thirteen intense emission lines out of 3p54p → 3p54s transitions observed in their spectroscopic measurements. It is found that as the content of O2 in Ar increases from 0%-5%, Te increases in the range 0.85-1.7 eV, while the electron density decreases from 2.76 × 1012-2.34 × 1011 cm-3. The Ar-3p54s (1si) fine-structure level populations at our extracted plasma parameters are found to be in very good agreement with those obtained from the measurements. Furthermore, we have estimated the individual contributions coming from the ground state, 1si manifolds and cascade contributions to the population of the radiating Ar-3p54p (2pi) states as a function of a trace amount of O2. Such information is very useful to understand the importance of various processes occurring in the plasma.

  6. Effects of irradiation distance on supply of reactive oxygen species to the bottom of a Petri dish filled with liquid by an atmospheric O{sub 2}/He plasma jet

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawasaki, Toshiyuki, E-mail: kawasaki@nbu.ac.jp; Kusumegi, Shota; Kudo, Akihiro

    The impact of irradiation distances on plasma jet-induced specific effects on the supply of reactive oxygen species (ROS) to the bottom of a Petri dish filled with liquid was investigated using a KI-starch gel reagent that can be employed as a ROS indicator even in water. O{sub 3} exposure experiments without plasma irradiation were also performed to elucidate the specific effects of the plasma jet. Relative concentrations of ROS transported to the bottom were evaluated using absorbance measurements. The results indicated that ROS supply to the bottom is markedly enhanced by the plasma jet irradiation at shorter irradiation distances, whereasmore » similar results could not be obtained for the O{sub 3} exposure. In these cases, the liquid mixing in the depth direction was also enhanced by the plasma jet irradiation only, and the supply of reactive atomic oxygen to the liquid surface was markedly increased as well.« less

  7. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  8. Synergistic damage effects of vacuum ultraviolet photons and O2 in SiCOH ultra-low-k dielectric films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Graves, D. B.

    2010-10-01

    Damage incurred during plasma processing, leading to increases in dielectric constant k, is a persistent problem with porous ultra-low-k dielectric films, such as SiCOH. Although most of the proposed mechanisms of plasma-induced damage focus on the role of ion bombardment and radical attack, we show that plasma-generated vacuum ultraviolet (VUV) photons can play a role in creating damage leading to increases in the dielectric constant of this material. Using a vacuum beam apparatus with a calibrated VUV lamp, we show that 147 nm VUV photons impacting SiCOH results in post-exposure adsorption and reaction with water vapour from the atmosphere to form silanol bonds, thereby raising the dielectric constant. Furthermore, the level of damage increases synergistically under simultaneous exposure to VUV photons and O2. The vacuum beam photon fluences are representative of typical plasma processes, as measured in a separate plasma tool. Fourier-transform infrared (FTIR) spectroscopy (ex situ) and mass spectrometry (in situ) imply that O2 reacts with methyl radicals formed from scissioned Si-C bonds to create CO2 and H2O, the latter combining with Si dangling bonds to generate more SiOH groups than with photon exposure alone. In addition, sample near-surface diffusivity, manipulated through ion bombardment and sample heating, can be seen to affect this process. These results demonstrate that VUV photo-generated surface reactions can be potent contributors to ultra-low-k dielectric SiCOH film plasma-induced damage, and suggest that they could play analogous roles in other plasma-surface interactions.

  9. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    NASA Astrophysics Data System (ADS)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  10. Equations for O2 and CO2 solubilities in saline and plasma: combining temperature and density dependences.

    PubMed

    Christmas, Kevin M; Bassingthwaighte, James B

    2017-05-01

    Solubilities of respiratory gasses in water, saline, and plasma decrease with rising temperatures and solute concentrations. Henry's Law, C = α·P, states that the equilibrium concentration of a dissolved gas is solubility times partial pressure. Solubilities in the water of a solution depend on temperature and the content of other solutes. Blood temperatures may differ more than 20°C between skin and heart, and an erythrocyte will undergo that range as blood circulates. The concentrations of O 2 and CO 2 are the driving forces for diffusion, exchanges, and for reactions. We provide an equation for O 2 and CO 2 solubilities, α, that allows for continuous changes in temperature, T, and solution density, ρ, in dynamically changing states:[Formula: see text]This two-exponential expression with a density scalar γ, and a density exponent β, accounts for solubility changes due to density changes of an aqueous solution. It fits experimental data on solubilities in water, saline, and plasma over temperatures from 20 to 40°C, and for plasma densities, ρ sol up to 1.020 g/ml with ~0.3% error. The amounts of additional bound O 2 (to Hb) and CO 2 (bicarbonate and carbamino) depend on the concentrations in the local water space and the reaction parameters. During exercise, solubility changes are large; both ρ sol and T change rapidly with spatial position and with time. In exercise hemoconcentration plasma, ρ sol exceeds 1.02, whereas T may range over 20°C. The six parameters for O 2 and the six for CO 2 are constants, so solubilities are calculable continuously as T and ρ sol change. NEW & NOTEWORTHY Solubilities for oxygen and carbon dioxide are dependent on the density of the solution, on temperature, and on the partial pressure. We provide a brief equation suitable for hand calculators or mathematical modeling, accounting for these factors over a wide range of temperatures and solution densities for use in rapidly changing conditions, such as extreme exercise or

  11. Vibrational excitation in O2and Cl2inductively-coupled plasmas and DC discharges

    NASA Astrophysics Data System (ADS)

    Booth, Jean-Paul; Marinov, Daniil; Foucher, Mickael; Annusova, Adriana; Guerra, Vasco

    2016-09-01

    Low-energy electrons can interact with molecules via resonances to cause vibrational excitation with large cross-sections. Such processes can absorb significant energy from the plasma electrons, affecting the electron energy distribution and potentially (via vibration-translation (VT) energy transfer) causing substantial gas heating. The presence of vibrationally excited molecules may significant increase the rates of collisional processes, including electron dissociative attachment and electron impact dissociation into neutral atoms. However, the cross-sections of these processes are often poorly known since they are extremely difficult to measure directly, and reliable theoretical calculations are only now appearing for simple diatomic molecules. We have measured the vibrational distributions in discharges in pure O2 and pure Cl2, using high-sensitivity ultra-broadband ultraviolet absorption spectroscopy. In O2 plasmas significant vibrational excitation is observed, up to v'' =18, with a tail temperature of around 8000K. In Cl2 excitation is only observed up to v'' =3, and the distribution appears to be in local equilibrium with the gas translational temperature (up to 1500K). We are developing a detailed self-consistent 0D global model of these systems including vibrational excitation. Work performed in the LABEX Plas@par project, with financial state aid (ANR-11-IDEX-0004-02 and ANR-13-BS09-0019).

  12. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  13. Fabrication and characterization of plasma-sprayed HA/SiO(2) coatings for biomedical application.

    PubMed

    Morks, M F

    2008-01-01

    Fused silica powder has been mixed with hydroxyapatite (HA) powder and plasma sprayed by using gas tunnel-type plasma jet. The influence of silica content (10 wt% and 20 wt%) on the microstructure and mechanical properties of HA-silica coatings was investigated. For investigating the microstructure and mechanical properties of HA-silica coatings, SUS 304 stainless steel was used as substrate material. The spraying was carried out on roughened substrate in an atmospheric chamber. Scanning electron microscope micrographs of cross-sectioned HA/SiO(2) coatings showed that the sprayed HA coatings with 10 and 20 wt% SiO(2) have dense structure with low porosity compared to the pure HA coatings. On the other hand, as the amount of silica was increased the coatings became denser, harder and exhibited high abrasive wear resistance. The presence of silica significantly improved the adhesive strength of HA/SiO(2) coatings mainly due to the increase in bonding strength of the coating at the interface.

  14. Effects of the exposure of TiO2 nanoparticles on basil (Ocimum basilicum) for two generations.

    PubMed

    Tan, Wenjuan; Du, Wenchao; Darrouzet-Nardi, Anthony J; Hernandez-Viezcas, Jose A; Ye, Yuqing; Peralta-Videa, Jose R; Gardea-Torresdey, Jorge L

    2018-09-15

    There is a lack of information about the transgenerational effects of titanium dioxide nanoparticles (nano-TiO 2 ) in plants. This study aimed to evaluate the impacts of successive exposure of nano-TiO 2 with different surface properties to basil (Ocimum basilicum). Seeds from plants exposed or re-exposed to pristine, hydrophobic, or hydrophilic nano-TiO 2 were cultivated for 65 days in soil unamended or amended with 750 mg·kg -1 of the respective particles. Plant growth, concentration of titanium and essential elements, as well as content of carbohydrates and chlorophyll were evaluated. There were no differences on Ti concentration in roots of plants sequentially exposed to pristine or hydrophobic nano-TiO 2 , or in roots of plants exposed to the corresponding particle, only in the second cycle. However, sequential exposure to hydrophilic particles resulted in 65.2% less Ti in roots, compared to roots of plants exposed the same particles, only in the second cycle. The Ti concentrations in shoots were similar in all treatments. On the other hand, pristine and hydrophilic particles reduced Mg in root by 115% and 81%, respectively, while pristine and hydrophobic particles reduced Ni in shoot by 84% and 75%, respectively, compared to unexposed plants in both cycles. Sequential exposure to pristine nano-TiO 2 increased stomatal conductance (214%, p ≤ 0.10), compared to plants that were never exposed. Hydrophobic and hydrophilic nano-TiO 2 reduced chlorophyll b (52%) and total chlorophyll (30%) but increased total sugar (186%) and reducing sugar (145%), compared to unexposed plants in both cycles. Sequential exposure to hydrophobic or hydrophilic nano-TiO 2 resulted in more adverse effects on photosynthesis but in positive effects on plant growth, compared to pristine nano-TiO 2 . Copyright © 2018 Elsevier B.V. All rights reserved.

  15. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muneshwar, Triratna, E-mail: muneshwa@ualberta.ca; Cadien, Ken; Shoute, Gem

    2016-09-15

    Although atomic layer deposition (ALD) of ZnO using diethyl zinc (DEZ) precursor has been extensively reported, variation in growth-per-cycle (GPC) values and the range of substrate temperature (T{sub sub}) for ALD growth between related studies remain unexplained. For identical processes, GPC for the characteristic self-limiting ALD growth is expected to be comparable. Hence, a significant variation in GPC among published ZnO ALD studies strongly suggests a concealed non-ALD growth component. To investigate this, the authors report plasma-enhanced ALD growth of ZnO using DEZ precursor and O{sub 2} inductively coupled plasma. The effect of T{sub sub} on ZnO GPC was studiedmore » with deposition cycles (1) 0.02 s–15 s–6 s–15 s, (2) 0.10 s–15 s–15 s–15 s, and (3) 0.20 s–15 s–30 s–15 s, where the cycle parameters t{sub 1}–t{sub 2}–t{sub 3}–t{sub 4} denote duration of DEZ pulse, post-DEZ purge, plasma exposure, and postplasma purge, respectively. The non-ALD growth characteristics observed at T{sub sub} ≥ 60 °C are discussed and attributed to DEZ precursor decomposition. The authors demonstrate ZnO growth at T{sub sub} = 50 °C to be self-limiting with respect to both t{sub 1} and t{sub 3} giving GPC of 0.101 ± 0.001 nm/cycle. The effect of precursor decomposition related (non-ALD) growth at T{sub sub} ≥ 60 °C is illustrated from comparison of optical dielectric function, electrical resistivity, and surface roughness of ZnO films deposited at T{sub sub} = 50, 125, and 200 °C.« less

  16. Effects of Cr2O3 Activating Flux on the Plasma Plume in Pulsed Laser Welding

    NASA Astrophysics Data System (ADS)

    Yi, Luo; Yunfei, Du; Xiaojian, Xie; Rui, Wan; Liang, Zhu; Jingtao, Han

    2016-11-01

    The effects of Cr2O3 activating flux on pulsed YAG laser welding of stainless steel and, particularly, on the behavior of the plasma plume in the welding process were investigated. According to the acoustic emission (AE) signals detected in the welding process, the possible mechanism for the improvement in penetration depth was discussed. The results indicated that the AE signals detected in the welding process reflected the behavior of the plasma plume as pulsed laser energy affecting the molten pool. The root-mean-square (RMS) waveform, AE count, and power spectrum of AE signals were three effective means to characterize the behavior of the plasma plume, which indicated the characteristics of energy released by the plasma plume. The activating flux affected by the laser beam helped to increase the duration and intensity of energy released by the plasma plume, which improved the recoil force and thermal effect transferred from the plasma plume to the molten pool. These results were the main mechanism for Cr2O3 activating flux addition improving the penetration depth in pulsed YAG laser welding.

  17. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment.

    PubMed

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-06

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS 2 film was deposited on TiO 2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO 2 nanorod arrays were treated with hydrogen plasma(H:TiO 2 ) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  18. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-01

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  19. Experimental results from plasma transport on Prototype-Material Plasma Exposure eXperiment and comparison with B2-Eirene modeling

    NASA Astrophysics Data System (ADS)

    Kafle, N.; Caneses, J. F.; Biewer, T. M.; Owen, L.; Showers, M.; Donovan, D.; Caughman, J. B.; Goulding, R. H.; Rapp, Juergen

    2017-10-01

    Proto-MPEX at ORNL is a linear plasma device that combines a helicon plasma source with additional microwave and RF heating to deliver high plasma heat and particle fluxes to a target. Double Langmuir probes and Thomson scattering are being used to measure local Te and ne at various radial and axial locations. A recently constructed Mach- double probe provides the added capability of simultaneously measuring Te, ne, and Mach number. With this diagnostic, it is possible to infer the plasma flow, particle flux, and convective heat flux at different locations along the plasma column in Proto-MPEX. Preliminary results show Mach numbers of 0.6 and 0.8 in either direction away from the helicon source, and no flow near the source for the case where the peak magnetic field was 1.0 T. In addition, the Thomson Scattering system has been upgraded to measure ne and Te profiles at two axial locations, upstream at the electron heating location and downstream close to the target. Measurements of particle flow and flux profiles, heat flux, and profiles of ne and Te will be discussed. The extensive coverage provided by these diagnostics permits data-constrained B2-Eirene modeling of the entire plasma column, and comparison with results of modeling of high density mode plasmas will be presented. Supported by the US. D.O.E. contract DE-AC05-00OR22725.

  20. Kinetics of highly vibrationally excited O2(X) molecules in inductively-coupled oxygen plasmas

    NASA Astrophysics Data System (ADS)

    Annušová, Adriana; Marinov, Daniil; Booth, Jean-Paul; Sirse, Nishant; Lino da Silva, Mário; Lopez, Bruno; Guerra, Vasco

    2018-04-01

    The high degree of vibrational excitation of O2 ground state molecules recently observed in inductively coupled plasma discharges is investigated experimentally in more detail and interpreted using a detailed self-consistent 0D global kinetic model for oxygen plasmas. Additional experimental results are presented and used to validate the model. The vibrational kinetics considers vibrational levels up to v = 41 and accounts for electron impact excitation and de-excitation (e-V), vibration-to-translation relaxation (V-T) in collisions with O2 molecules and O atoms, vibration-to-vibration energy exchanges (V-V), excitation of electronically excited states, dissociative electron attachment, and electron impact dissociation. Measurements were performed at pressures of 10–80 mTorr (1.33 and 10.67 Pa) and radio frequency (13.56 MHz) powers up to 500 W. The simulation results are compared with the absolute densities in each O2 vibrational level obtained by high sensitivity absorption spectroscopy measurements of the Schumann–Runge bands for O2(X, v = 4–18), O(3 P) atom density measurements by two-photon absorption laser induced fluorescence (TALIF) calibrated against Xe, and laser photodetachment measurements of the O‑ negative ions. The highly excited O2(X, v) distribution exhibits a shape similar to a Treanor-Gordiets distribution, but its origin lies in electron impact e-V collisions and not in V-V up-pumping, in contrast to what happens in all other molecular gases known to date. The relaxation of vibrational quanta is mainly due to V-T energy-transfer collisions with O atoms and to electron impact dissociation of vibrationally excited molecules, e+O2(X, v)→O(3P)+O(3P).

  1. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  2. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE PAGES

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; ...

    2017-10-26

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  3. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible lightmore » absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.« less

  4. Rapid destruction of the rhodamine B using TiO2 photocatalyst in the liquid phase plasma

    PubMed Central

    2013-01-01

    Background Rhodamine B (RhB) is widely used as a colorant in textiles and food stuffs, and is also a well-known water tracer fluorescent. It is harmful to human beings and animals, and causes irritation of the skin, eyes and respiratory tract. The carcinogenicity, reproductive and developmental toxicity, neurotoxicity and chronic toxicity toward humans and animals have been experimentally proven. RhB cannot be effectively removed by biological treatment due to the slow kinetics. Therefore, RhB is chosen as a model pollutant for liquid phase plasma (LPP) treatment in the present investigation. Results This paper presents experimental results for the bleaching of RhB from aqueous solutions in the presence of TiO2 photocatalyst with LPP system. Properties of generated plasma were investigated by optical emission spectroscopy methods. The results of electrical-discharge degradation of RhB showed that the decomposition rate increased with the applied voltage, pulse width, and frequency. The oxygen gas addition to reactant solution increases the degradation rate by active oxygen species. The RhB decomposition rate was shown to increase with the TiO2 particle dosage. Conclusion This work presents the conclusions on the photocatalytic oxidation of RhB, as a function of plasma conditions, oxygen gas bubbling as well as TiO2 particle dosage. We knew that using the liquid phase plasma system with TiO2 photocatalyst at high speed we could remove the organic matter in the water. PMID:24041151

  5. Acute hydrogen peroxide (H2O2) exposure does not cause oxidative stress in late-copepodite stage of Calanus finmarchicus.

    PubMed

    Hansen, Bjørn Henrik; Hallmann, Anna; Altin, Dag; Jenssen, Bjørn Munro; Ciesielski, Tomasz M

    2017-01-01

    Use of hydrogen peroxide (H 2 O 2 ) for removal of salmon lice in the aquaculture industry has created concern that non-target organisms might be affected during treatment scenarios. The aim of the present study was to examine the potential for H 2 O 2 to produce oxidative stress and reduce survival in one of the most abundant zooplankton species in Norwegian coastal areas, the copepod Calanus finmarchicus. Copepods were subjected to two 96-hr tests: (1) acute toxicity test where mortality was determined and (2) treated copepods were exposed to concentrations below the No Observed Effect Concentration (0.75 mg/L) H 2 O 2 and analyzed for antioxidant enzyme activities, as well as levels of glutathione (GSH) and malondialdehyde (MDA). Compared to available and comparable LC 50 values from the literature, our results suggest that C. finmarchicus is highly sensitive to H 2 O 2 . However, 96-hr exposure of C. finmarchicus to 0.75 mg H 2 O 2 /L did not significantly affect the antioxidant systems even though the concentration is just below the level where mortality is expected. Data suggest that aqueous H 2 O 2 exposure did not cause cellular accumulation with associated oxidative stress, but rather produced acute effects on copepod surface (carapace). Further investigation is required to ensure that aqueous exposure during H 2 O 2 treatment in salmon fish farms does not exert adverse effects on local non-target crustacean species and populations. In particular, studies on copepod developmental stages with a more permeable carapace are warranted.

  6. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-12-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency.

  7. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window.

    PubMed

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-15

    SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO 2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV-vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 samples fabricated by the containerless process and SPS between 852 °C-857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  8. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-01

    SrAl2O4-Sr3Al2O6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV–vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl2O4-Sr3Al2O6 samples fabricated by the containerless process and SPS between 852 °C–857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl2O4-Sr3Al2O6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  9. Optical emission spectroscopic studies and comparisons of CH{sub 3}F/CO{sub 2} and CH{sub 3}F/O{sub 2} inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lou, Qiaowei; Kaler, Sanbir; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2015-03-15

    A CH{sub 3}F/CO{sub 2} inductively coupled plasma (ICP), sustained in a compact plasma reactor, was investigated as a function of power (5–400 W) and feed gas composition, at a pressure of 10 mTorr, using optical emission spectroscopy and rare gas actinometry. Number densities of H, F, and O increased rapidly between 74% and 80% CO{sub 2}, ascribed to the transition from polymer-covered to polymer-free reactor walls, similar to that found previously in CH{sub 3}F/O{sub 2} ICPs at 48% O{sub 2}. Below 40% O{sub 2} or CO{sub 2}, relative emission intensity ratios were almost identical for most key species in CH{sub 3}F/O{submore » 2} and CH{sub 3}F/CO{sub 2} ICPs except for higher OH/Xe (a qualitative measure of OH and H{sub 2}O densities) over the full range of CH{sub 3}F/O{sub 2} composition. The number density of H, F, and O increased with power in CH{sub 3}F/CO{sub 2} (20%/80%) plasmas (polymer-free walls), reaching 4.0, 0.34, and 1.6 × 10{sup 13}/cm{sup 3}, respectively, at 300 W. The CO number density increased with power and was estimated, based on self-actinometry, to be 8.8 × 10{sup 13}/cm{sup 3} at 300 W. The CO{sub 2} number density was independent of power below 40 W (where very little decomposition occurred), and then decreased rapidly with increasing power, reaching 2.8 × 10{sup 13}/cm{sup 3} at 300 W, corresponding to 83% dissociation. Films deposited on p-Si, 10 cm from the open, downstream end of the plasma reactor, were analyzed by x-ray photoelectron spectroscopy. Between 10% and 40% CO{sub 2} or O{sub 2} addition to CH{sub 3}F, film deposition rates fell and O content in the films increased. Faster deposition rates in CH{sub 3}F/CO{sub 2} plasmas were ascribed mainly to a larger thermodynamic driving force to form solid carbon, compared with CH{sub 3}F/O{sub 2} plasmas. Oxygen content in the films increased with increasing CO{sub 2} or O{sub 2} addition, but for the same deposition rate, no substantial differences

  10. Room-temperature sensitivity to NO2 exposure of electrochemically-deposited nanostructured ZnO layers

    NASA Astrophysics Data System (ADS)

    Lovchinov, K.; Petrov, M.; Ganchev, M.; Georgieva, V.; Nichev, H.; Georgieva, B.; Dimova-Malinovska, D.

    2014-05-01

    This paper reports studies on the sensitivity of ZnO layers to NO2 exposure. ZnO layers were fabricated by electrochemical deposition on the surface of a quartz crystal microbalance (QCM) with Au electrodes. The sensitivity was estimated using the frequency-time characteristics of the QCM. For this purpose, the resonance frequency shift was measured. The sorption process was investigated in a NO2 gas flow. The change in the resonance frequency, f of the QCM as a function of the loaded mass of NO2 was followed for a NO2 concentration of 500 ppm. Under gas exposure, the frequency decreased and reached saturation in five min. A frequency shift of 38 Hz was measured and a mass loading of 8.39 ng was calculated. The resonance frequency showed a very good recovery within two minutes after the NO2 flow was switched off. The results demonstrate that the electrodeposited nanostructured ZnO layers have a potential for application as NO2 gas sensors.

  11. The Effect of Plasma Exposure on Tail Regeneration of Tadpoles Xenopus Laevis

    NASA Astrophysics Data System (ADS)

    June, Joyce; Rivie, Adonis; Ezuduemoih, Raphael; Menon, Jaishri; Martus, Kevin

    2014-03-01

    Wound healing requires a balanced combination of nutrients and growth factors for healing and tissue regeneration. The effect of plasma exposure on tail regeneration of tadpoles, Xenopus laevis is investigated. The exposure of the wound to the helium plasma immediately followed the amputation of 40% of the tail. Amputation of the tail initiates regeneration of spinal cord, muscle, notochord, skin and connective tissues. By 24 h, the wound was covered by wound epithelium and blastema was formed by day 5. There was increased angiogenesis in plasma exposed tail regenerate compared to the control following 5 d post amputation. Observed was an increase in NO production in the regenerate of plasma exposed tadpoles was derived from increased activity of nNOS and iNOS. Western blot analysis for vascular endothelial growth factor showed stronger bands for the protein in amputated tadpoles of both the groups. Analysis of the composition and characteristics of the plasma using optical emission spectroscopy indicates excited state species consisting of N2, N2+,and OH is present in the plasma. This study was supported, in part, by the NSF Grant 1040108.

  12. Heterogeneous processes in CF4/O2 plasmas probed using laser-induced fluorescence of CF2

    NASA Astrophysics Data System (ADS)

    Hansen, S. G.; Luckman, G.; Nieman, George C.; Colson, Steven D.

    1990-09-01

    Laser-induced fluorescence of CF2 is used to monitor heterogeneous processes in ≊300 mTorr CF4/O2 plasmas. CF2 is rapidly removed at fluorinated copper and silver surfaces in 13.56-MHz rf discharges as judged by a distinct dip in its spatial distribution. These metals, when employed as etch masks, are known to accelerate plasma etching of silicon, and the present results suggest catalytic dehalogenation of CF2 is involved in this process. In contrast, aluminum and silicon dioxide exhibit negligible reactivity with CF2, which suggests that aluminum masks will not appreciably accelerate silicon etching and that ground state CF2 does not efficiently etch silicon dioxide. Measurement of CF2 decay in a pulsed discharge coupled with direct laser sputtering of metal into the gas phase indicates the interaction between CF2 and the active metals is purely heterogeneous. Aluminum does, however, exhibit homogeneous reactivity with CF2. Redistribution of active metal by plasma sputtering readily occurs; silicon etch rates may also be enhanced by the metal's presence on the silicon surface. Polymers contribute CF2 to the plasma as they etch. The observation of an induction period suggests fluorination of the polymer surface is the first step in its degradation. Polymeric etch masks can therefore depress the silicon etch rate by removal of F atoms, the primary etchants.

  13. Experimental demonstration of single electron transistors featuring SiO{sub 2} plasma-enhanced atomic layer deposition in Ni-SiO{sub 2}-Ni tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karbasian, Golnaz, E-mail: Golnaz.Karbasian.1@nd.edu; McConnell, Michael S.; Orlov, Alexei O.

    The authors report the use of plasma-enhanced atomic layer deposition (PEALD) to fabricate single-electron transistors (SETs) featuring ultrathin (≈1 nm) tunnel-transparent SiO{sub 2} in Ni-SiO{sub 2}-Ni tunnel junctions. They show that, as a result of the O{sub 2} plasma steps in PEALD of SiO{sub 2}, the top surface of the underlying Ni electrode is oxidized. Additionally, the bottom surface of the upper Ni layer is also oxidized where it is in contact with the deposited SiO{sub 2}, most likely as a result of oxygen-containing species on the surface of the SiO{sub 2}. Due to the presence of these surface parasitic layersmore » of NiO, which exhibit features typical of thermally activated transport, the resistance of Ni-SiO{sub 2}-Ni tunnel junctions is drastically increased. Moreover, the transport mechanism is changed from quantum tunneling through the dielectric barrier to one consistent with thermally activated resistors in series with tunnel junctions. The reduction of NiO to Ni is therefore required to restore the metal-insulator-metal (MIM) structure of the junctions. Rapid thermal annealing in a forming gas ambient at elevated temperatures is presented as a technique to reduce both parasitic oxide layers. This method is of great interest for devices that rely on MIM tunnel junctions with ultrathin barriers. Using this technique, the authors successfully fabricated MIM SETs with minimal trace of parasitic NiO component. They demonstrate that the properties of the tunnel barrier in nanoscale tunnel junctions (with <10{sup −15} m{sup 2} in area) can be evaluated by electrical characterization of SETs.« less

  14. Optimizing Thermoelectric Properties of In Situ Plasma-Spray-Synthesized Sub-stoichiometric TiO2-x Deposits

    NASA Astrophysics Data System (ADS)

    Lee, Hwasoo; Seshadri, Ramachandran Chidambaram; Pala, Zdenek; Sampath, Sanjay

    2018-06-01

    In this article, an attempt has been made to relate the thermoelectric properties of thermal spray deposits of sub-stoichiometric titania to process-induced phase and microstructural variances. The TiO2-x deposits were formed through the in situ reaction of the TiO1.9 or TiO1.7 feedstock within the high-temperature plasma flame and manipulated via varying the amounts of hydrogen fed into in the thermal plasma. Changes in the flow rates of H2 in the plasma plume greatly affected the in-flight particle behavior and composition of the deposits. For reference, a high-velocity oxy-fuel spray torch was also used to deposit the two varieties of feedstocks. Refinements to the representation of the in-flight particle characteristics derived via single particle and ensemble diagnostic methods are proposed using the group parameters (melting index and kinetic energy). The results show that depending on the value of the melting index, there is an inverse proportional relationship between electrical conductivity and Seebeck coefficient, whereas thermal conductivity has a directly proportional relationship with the electrical conductivity. Retention of the original phase and reduced decomposition is beneficial to retain the high Seebeck coefficient or the high electrical conductivity in the TiO2 system.

  15. Influence of microstructure on hardness of plasma sprayed Al2O3-TiO2-MgO coatings with interface diffusion by heat treatment

    NASA Astrophysics Data System (ADS)

    Chen, Kunlun; Song, Peng; Li, Chao; Lu, Jiansheng

    2017-12-01

    The effect of heat treatment on the microstructure and mechanical properties of Al2O3-TiO2 coatings doped with 5 wt% MgO was investigated in this paper. The composite coatings were prepared by atmospheric plasma spraying (APS) and heat treated at 1000 °C for 24 h in Ar. The coatings were analyzed using scanning electron microscopy with electron probe x-ray microanalysis and x-ray diffraction. The hardness was determined using a Vickers hardness test on the as-sprayed coatings and after heat treatment. The results showed that the interface diffusion between the Al-rich and Ti-rich layers resulted in mutual pinning within the coating during the heat treatment. The newly formed MgAl2O4 phase promoted cracking-healing behavior within the coating. We conclude that increase of the hardness of the coatings was mainly caused by the mutual pinning interface and crack healing.

  16. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    PubMed Central

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-01-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency. PMID:28004829

  17. Surface reaction mechanisms during ozone and oxygen plasma assisted atomic layer deposition of aluminum oxide.

    PubMed

    Rai, Vikrant R; Vandalon, Vincent; Agarwal, Sumit

    2010-09-07

    We have elucidated the reaction mechanism and the role of the reactive intermediates in the atomic layer deposition (ALD) of aluminum oxide from trimethyl aluminum in conjunction with O(3) and an O(2) plasma. In situ attenuated total reflection Fourier transform infrared spectroscopy data show that both -OH groups and carbonates are formed on the surface during the oxidation cycle. These carbonates, once formed on the surface, are stable to prolonged O(3) exposure in the same cycle. However, in the case of plasma-assisted ALD, the carbonates decompose upon prolonged O(2) plasma exposure via a series reaction kinetics of the type, A (CH(3)) --> B (carbonates) --> C (Al(2)O(3)). The ratio of -OH groups to carbonates on the surface strongly depends on the oxidizing agent, and also the duration of the oxidation cycle in plasma-assisted ALD. However, in both O(3) and O(2) plasma cycles, carbonates are a small fraction of the total number of reactive sites compared to the hydroxyl groups.

  18. Exposure to tri-o-cresyl phosphate detected in jet airplane passengers.

    PubMed

    Liyasova, Mariya; Li, Bin; Schopfer, Lawrence M; Nachon, Florian; Masson, Patrick; Furlong, Clement E; Lockridge, Oksana

    2011-11-01

    The aircraft cabin and flight deck ventilation are supplied from partially compressed unfiltered bleed air directly from the engine. Worn or defective engine seals can result in the release of engine oil into the cabin air supply. Aircrew and passengers have complained of illness following such "fume events". Adverse health effects are hypothesized to result from exposure to tricresyl phosphate mixed esters, a chemical added to jet engine oil and hydraulic fluid for its anti-wear properties. Our goal was to develop a laboratory test for exposure to tricresyl phosphate. The assay was based on the fact that the active-site serine of butyrylcholinesterase reacts with the active metabolite of tri-o-cresyl phosphate, cresyl saligenin phosphate, to make a stable phosphorylated adduct with an added mass of 80 Da. No other organophosphorus agent makes this adduct in vivo on butyrylcholinesterase. Blood samples from jet airplane passengers were obtained 24-48 h after completing a flight. Butyrylcholinesterase was partially purified from 25 ml serum or plasma, digested with pepsin, enriched for phosphorylated peptides by binding to titanium oxide, and analyzed by mass spectrometry. Of 12 jet airplane passengers tested, 6 were positive for exposure to tri-o-cresyl phosphate that is, they had detectable amounts of the phosphorylated peptide FGEpSAGAAS. The level of exposure was very low. No more than 0.05 to 3% of plasma butyrylcholinesterase was modified. None of the subjects had toxic symptoms. Four of the positive subjects were retested 3 to 7 months following their last airplane trip and were found to be negative for phosphorylated butyrylcholinesterase. In conclusion, this is the first report of an assay that detects exposure to tri-o-cresyl phosphate in jet airplane travelers. Copyright © 2011 Elsevier Inc. All rights reserved.

  19. Exposure to tri-o-cresyl phosphate detected in jet airplane passengers

    PubMed Central

    Liyasova, Mariya; Li, Bin; Schopfer, Lawrence M.; Nachon, Florian; Masson, Patrick; Furlong, Clement E.; Lockridge, Oksana

    2011-01-01

    The aircraft cabin and flight deck ventilation are supplied from partially compressed unfiltered bleed air directly from the engine. Worn or defective engine seals can result in the release of engine oil into the cabin air supply. Aircrew and passengers have complained of illness following such “fume events”. Adverse health effects are hypothesized to result from exposure to tricresyl phosphate mixed esters, a chemical added to jet engine oil and hydraulic fluid for its anti-wear properties. Our goal was to develop a laboratory test for exposure to tricresyl phosphate. The assay was based on the fact that the active-site serine of butyrylcholinesterase reacts with the active metabolite of tri-o-cresyl phosphate, cresyl saligenin phosphate, to make a stable phosphorylated adduct with an added mass of 80 Da. No other organophosphorus agent makes this adduct in vivo on butyrylcholinesterase. Blood samples from jet airplane passengers were obtained 24–48 hours after completing a flight. Butyrylcholinesterase was partially purified from 25 ml serum or plasma, digested with pepsin, enriched for phosphorylated peptides by binding to titanium oxide, and analyzed by mass spectrometry. Of 12 jet airplane passengers tested, 6 were positive for exposure to tri-o-cresyl phosphate that is, they had detectable amounts of the phosphorylated peptide FGEpSAGAAS. The level of exposure was very low. No more than 0.05 to 3% of plasma butyrylcholinesterase was modified. None of the subjects had toxic symptoms. Four of the positive subjects were retested 3 to 7 months following their last airplane trip and were found to be negative for phosphorylated butyrylcholinesterase. In conclusion, this is the first report of an assay that detects exposure to tri-o-cresyl phosphate in jet airplane travelers. PMID:21723309

  20. Impression of plasma voltage on growth of α-V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Sharma, Rabindar Kumar; Kumar, Prabhat; Reddy, G. B.

    2015-06-01

    In this communication, we synthesized vanadium pentoxide (α-V2O5) nanostructured thin films (NSTs) accompanied with nanoflakes/ nanoplates on the Ni-coated glass substrates employing plasma assisted sublimation process (PASP) as a function of plasma voltage (Vp). The effect of plasma voltage on structural, morphological, compositional, and vibrational properties have been studied systematically. The structural analysis divulged that all films deposited at different Vp have pure orthorhombic phase, no impurity phase is detected under resolution limit of XRD and XPS. The morphological studies of samples is carried out by SEM, revealed that features as well as alignment of V2O5 NSTs is greatly monitored by Vp and the film possessing the best features is obtained at 2500volt. In addition, XPS results reveal that V5+ oxidation state is the most prominent state in sample V2, which represents better stoichiometric nature of film. The vibrational study of all samples is performed by FTIR and strongly support the XRD observations. All the results are in consonance with each other.

  1. Sterilization/disinfection using reduced-pressure plasmas: some differences between direct exposure of bacterial spores to a discharge and their exposure to a flowing afterglow

    NASA Astrophysics Data System (ADS)

    Moisan, M.; Levif, P.; Séguin, J.; Barbeau, J.

    2014-07-01

    The use of plasma for sterilization or disinfection offers a promising alternative to conventional steam or chemical approaches. Plasma can operate at temperatures less damaging to some heat-sensitive medical devices and, in contrast to chemicals, can be non-toxic and non-polluting for the operator and the environment, respectively. Direct exposure to the gaseous discharge (comprising an electric field and ions/electrons) or exposure to its afterglow (no E-field) can both be envisaged a priori, since these two methods can achieve sterility. However, important issues must be considered besides the sterility goal. Direct exposure to the discharge, although yielding a faster inactivation of microorganisms, is shown to be potentially more aggressive to materials and sometimes subjected to the shadowing effect that precludes the sterilization of complex-form items. These two drawbacks can be successfully minimized with an adequate flowing-afterglow exposure. Most importantly, the current paper shows that direct exposure to the discharge can lead to the dislodgment and release of viable microorganisms from their substratum. Such a phenomenon could be responsible for the recontamination of sterilized devices as well as possible contamination of the ambient surroundings, additionally yielding an erroneous over-appreciation of the inactivation efficiency. The operation of the N2-O2 flowing afterglow system being developed in our group is such that there are no ions and electrons left in the process chamber (late-afterglow regime) in full contrast with their presence in the discharge. The dislodgment and release of spores could be attributed, based on the literature, to their electrostatic charging by electrons, leading to an (outward) electrostatic stress that exceeds the adhesion of the spores on their substrate.

  2. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    NASA Astrophysics Data System (ADS)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  3. A comparative study: Effect of plasma on V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Singh, Megha; Kumar, Prabhat; Sharma, Rabindar K.; Reddy, G. B.

    2016-05-01

    Vanadium pentoxide nanostructured thin films (NSTs) have been studied to analyze the effect of plasma on nanostructures grown and morphology of films deposited using sublimation process. Nanostructured thin films were deposited on glass substrates, one in presence of oxygen plasma and other in oxygen environment (absence of plasma). Films were characterized using XRD, Raman spectroscopy, SEM and HRTEM. XRD studies revealed α-V2O5 films (orthorhombic phase) with good crystallinity. However, film deposited in presence of plasma have higher peak intensities as compared to those deposited in absence of plasma. Raman studies also support these finding following same trends of considerable increase in intensity in case of film deposited in presence of plasma. SEM micrographs makes the difference more visible, as film deposited in plasma have well defined plate like structures whereas other film have not-clearly-defined petal-like structures. HRTEM results show orthorhombic phase with 0.39 nm interplanar spacing, as reported by XRD. Results are hereby in good agreement with each other.

  4. Effects of SnO2 on spectroscopic properties of borosilicate glasses before and after plasma treatment and its mechanical properties

    NASA Astrophysics Data System (ADS)

    Abdel Wahab, E. A.; Shaaban, Kh S.

    2018-02-01

    B2O3-SiO2-Na2O-Al2O3-TiO2 glasses modified by SnO2 have prepared and characterized by UV-spectroscopy before and after plasma treatment and by ultrasonic techniques. Makishima-Mackenzie Model has been applied to determine the elastic moduli of glasses. The density and the elastic moduli either determined from the ultrasonic or that computed according to the Makishima-Mackenzie model increase as the SnO2 concentration increases. The values of the optical band gap E g before and after plasma treatment, and refractive index have been determined. It was found that these parameters are sensitive to the increase of SnO2 content. The vibration temperature of nitrogen glow discharge has been calculated using Boltzmann plots of second positive system N2 (C3Πu) → (B3 Πg). The obtained results of vibration temperature decrease with increasing of gas pressure at different discharge currents.

  5. Ion Energy and Ion Flux Distributions of CF4/Ar/O2 Inductively Coupled Plasmas in a GEC Cell

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Knowledge of ion kinetics in plasma processing gas mixtures, such as CF4:Ar:O2, is important for understanding plasma assisted etching and deposition of materials. Ion energies and ion fluxes were measured in this mixture for 80:10:10, 60:20:20, and 40:30:30 mixture ratios in the pressure range of 10-50 mTorr, and at 200 and 300 W of RF power. Ions from plasma, sampled through a 10 micron orifice in the center of the lower plane electrode, were energy and mass analyzed by a combination of electrostatic energy and quadrupole mass filters. CFx(+) (x = 1 - 3), F2(+), F(+), C(+) from CF4, Ar(+) from Ar, and O2(+) and O(+) from O2, and by-product ions SiFx(+)(x = 1 - 3) from etching of quartz coupling window, COFx(+)(x = 1 - 3), CO(+), CO2(+), and OF(+) were detected. In all conditions ion flux decreases with increase of pressure but increase with increase of RF power. Ar(+) signal decreases with increase of pressure while CF3(+), which is the dominant ion at all conditions, increases with increase in pressure. The loss mechanism for Ar(+) and increase of CF3(+) is due to large cross section for Ar(+) + CF4 yields Ar + CF3(+) + F. Ion energies, which range from 15-25 eV depending on plasma operating conditions, are nearly Gaussian. By-product ion signals are higher at lower pressures indicating stronger plasma interaction with quartz window.

  6. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  7. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  8. MPK6 controls H2 O2-induced root elongation by mediating Ca2+ influx across the plasma membrane of root cells in Arabidopsis seedlings.

    PubMed

    Han, Shuan; Fang, Lin; Ren, Xuejian; Wang, Wenle; Jiang, Jing

    2015-01-01

    Mitogen-activated protein kinases (MPKs) play critical roles in signalling and growth, and Ca(2+) and H2 O2 control plant growth processes associated with abscisic acid (ABA). However, it remains unclear how MPKs are involved in H2 O2 - and Ca(2+) -mediated root elongation. Root elongation in seedlings of the loss-of-function mutant Atmpk6 (Arabidopsis thaliana MPK6) was less sensitive to moderate H2 O2 or ABA than that in wild-type (WT) plants. The enhanced elongation was a result of root cell expansion. This effect disappeared when ABA-induced H2 O2 accumulation or the cytosolic Ca(2+) increase were defective. Molecular and biochemical evidence showed that increased expression of the cell wall peroxidase PRX34 in Atmpk6 root cells enhanced apoplastic H2 O2 generation; this promoted a cytosolic Ca(2+) increase and Ca(2+) influx across the plasma membrane. The plasma membrane damage caused by high levels of H2 O2 was ameliorated in a Ca(2+) -dependent manner. These results suggested that there was intensified PRX34-mediated H2 O2 generation in the apoplast and increased Ca(2+) flux into the cytosol of Atmpk6 root cells; that is, the spatial separation of apoplastic H2 O2 from cytosolic Ca(2+) in root cells prevented H2 O2 -induced inhibition of root elongation in Atmpk6 seedlings. © 2014 The Authors. New Phytologist © 2014 New Phytologist Trust.

  9. Dose-Dependent Effects of CeO2 on Microstructure and Antibacterial Property of Plasma-Sprayed TiO2 Coatings for Orthopedic Application

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaobing; Liu, Gaopeng; Zheng, Hai; Cao, Huiliang; Liu, Xuanyong

    2015-02-01

    Titanium and its alloys have been used extensively for orthopedic and dental implants. Although these devices have achieved high rates of success, two major complications may be encountered: the lack of osseointegration and the biomaterial-related infection. Accordingly, cerium oxide (CeO2)-doped titanium oxide (TiO2) materials were coated on titanium by an atmospheric plasma spraying (APS) technique. The phase structures, morphologies, and surface chemical states of the obtained coatings were characterized by x-ray diffraction, scanning electron microscopy, and x-ray photoelectron spectroscopy techniques. The in vitro antibacterial and cytocompatibility of the materials were studied with Staphylococcus aureus ( S. aureus, ATCC25923) and osteoblast precursor cell line MC3T3-E1. The results indicated that the addition of CeO2 shifts slightly the diffraction peaks of TiO2 matrix to low angles but does not change its rutile phase structure. In addition, the CeO2/TiO2 composite coatings possess dose-dependent corrosion resistance and antimicrobial properties. And doping of 10 wt.% CeO2 exhibits the highest activity against S. aureus, improved corrosion resistance, and competitive cytocompatibility, which argues a promising option for balancing the osteogenetic and antibacterial properties of titanium implants.

  10. Non-thermal Plasma Exposure Rapidly Attenuates Bacterial AHL-Dependent Quorum Sensing and Virulence.

    PubMed

    Flynn, Padrig B; Busetti, Alessandro; Wielogorska, Ewa; Chevallier, Olivier P; Elliott, Christopher T; Laverty, Garry; Gorman, Sean P; Graham, William G; Gilmore, Brendan F

    2016-05-31

    The antimicrobial activity of atmospheric pressure non-thermal plasma has been exhaustively characterised, however elucidation of the interactions between biomolecules produced and utilised by bacteria and short plasma exposures are required for optimisation and clinical translation of cold plasma technology. This study characterizes the effects of non-thermal plasma exposure on acyl homoserine lactone (AHL)-dependent quorum sensing (QS). Plasma exposure of AHLs reduced the ability of such molecules to elicit a QS response in bacterial reporter strains in a dose-dependent manner. Short exposures (30-60 s) produce of a series of secondary compounds capable of eliciting a QS response, followed by the complete loss of AHL-dependent signalling following longer exposures. UPLC-MS analysis confirmed the time-dependent degradation of AHL molecules and their conversion into a series of by-products. FT-IR analysis of plasma-exposed AHLs highlighted the appearance of an OH group. In vivo assessment of the exposure of AHLs to plasma was examined using a standard in vivo model. Lettuce leaves injected with the rhlI/lasI mutant PAO-MW1 alongside plasma treated N-butyryl-homoserine lactone and n-(3-oxo-dodecanoyl)-homoserine lactone, exhibited marked attenuation of virulence. This study highlights the capacity of atmospheric pressure non-thermal plasma to modify and degrade AHL autoinducers thereby attenuating QS-dependent virulence in P. aeruginosa.

  11. Non-thermal Plasma Exposure Rapidly Attenuates Bacterial AHL-Dependent Quorum Sensing and Virulence

    PubMed Central

    Flynn, Padrig B.; Busetti, Alessandro; Wielogorska, Ewa; Chevallier, Olivier P.; Elliott, Christopher T.; Laverty, Garry; Gorman, Sean P.; Graham, William G.; Gilmore, Brendan F.

    2016-01-01

    The antimicrobial activity of atmospheric pressure non-thermal plasma has been exhaustively characterised, however elucidation of the interactions between biomolecules produced and utilised by bacteria and short plasma exposures are required for optimisation and clinical translation of cold plasma technology. This study characterizes the effects of non-thermal plasma exposure on acyl homoserine lactone (AHL)-dependent quorum sensing (QS). Plasma exposure of AHLs reduced the ability of such molecules to elicit a QS response in bacterial reporter strains in a dose-dependent manner. Short exposures (30–60 s) produce of a series of secondary compounds capable of eliciting a QS response, followed by the complete loss of AHL-dependent signalling following longer exposures. UPLC-MS analysis confirmed the time-dependent degradation of AHL molecules and their conversion into a series of by-products. FT-IR analysis of plasma-exposed AHLs highlighted the appearance of an OH group. In vivo assessment of the exposure of AHLs to plasma was examined using a standard in vivo model. Lettuce leaves injected with the rhlI/lasI mutant PAO-MW1 alongside plasma treated N-butyryl-homoserine lactone and n-(3-oxo-dodecanoyl)-homoserine lactone, exhibited marked attenuation of virulence. This study highlights the capacity of atmospheric pressure non-thermal plasma to modify and degrade AHL autoinducers thereby attenuating QS-dependent virulence in P. aeruginosa. PMID:27242335

  12. Modelling deuterium release from tungsten after high flux high temperature deuterium plasma exposure

    NASA Astrophysics Data System (ADS)

    Grigorev, Petr; Matveev, Dmitry; Bakaeva, Anastasiia; Terentyev, Dmitry; Zhurkin, Evgeny E.; Van Oost, Guido; Noterdaeme, Jean-Marie

    2016-12-01

    Tungsten is a primary candidate for plasma facing materials for future fusion devices. An important safety concern in the design of plasma facing components is the retention of hydrogen isotopes. Available experimental data is vast and scattered, and a consistent physical model of retention of hydrogen isotopes in tungsten is still missing. In this work we propose a model of non-equilibrium hydrogen isotopes trapping under fusion relevant plasma exposure conditions. The model is coupled to a diffusion-trapping simulation tool and is used to interpret recent experiments involving high plasma flux exposures. From the computational analysis performed, it is concluded that high flux high temperature exposures (T = 1000 K, flux = 1024 D/m2/s and fluence of 1026 D/m2) result in generation of sub-surface damage and bulk diffusion, so that the retention is driven by both sub-surface plasma-induced defects (bubbles) and trapping at natural defects. On the basis of the non-equilibrium trapping model we have estimated the amount of H stored in the sub-surface region to be ∼10-5 at-1, while the bulk retention is about 4 × 10-7 at-1, calculated by assuming the sub-surface layer thickness of about 10 μm and adjusting the trap concentration to comply with the experimental results for the integral retention.

  13. Decomposition of acetaminophen in water by a gas phase dielectric barrier discharge plasma combined with TiO2-rGO nanocomposite: Mechanism and degradation pathway.

    PubMed

    Zhang, Guyu; Sun, Yabing; Zhang, Chunxiao; Yu, Zhongqing

    2017-02-05

    Acetaminophen (APAP) served as the model pollutant to evaluate the feasibility of pollutant removal by gas phase dielectric barrier discharge plasma combined with the titanium dioxide-reduced Graphene Oxide (TiO 2 -rGO) nanocomposite. TiO 2 -rGO nanocomposite was prepared using the modified hydrothermal method and characterized by TEM and XPS before and after plasma process. The results indicated that the APAP degradation efficiency was significantly improved to 92% after 18min of discharge plasma treatment coupling 0.25gL -1 TiO 2 -rGO 5%wt at 18kV, compared with the plasma alone and plasma combined with P25 TiO 2 . The degradation mechanism for APAP in this system was studied by investigating the effects of the operational variables (e.g. discharge voltage and pH value) and the amount of the generated active species; and the results showed that O 3 and H 2 O 2 yields were influenced notably by adding TiO 2 -rGO. Also, it was observed that, compared with unused TiO 2 -rGO, the photocatalytic performance of used TiO 2 -rGO declined after several recirculation times due to the further reduction of Graphene Oxide in plasma system. Finally, intermediate products were analyzed by UV-vis spectrometry and HPLC/MS, and possible transformation pathways were identified with the support of theoretically calculating the frontier electron density of APAP. Copyright © 2016 Elsevier B.V. All rights reserved.

  14. Electrochemical Characterization of O2 Plasma Functionalized Multi-Walled Carbon Nanotube Electrode for Legionella pneumophila DNA Sensor

    NASA Astrophysics Data System (ADS)

    Park, Eun Jin; Lee, Jun-Yong; Hyup Kim, Jun; Kug Kim, Sun; Lee, Cheol Jin; Min, Nam Ki

    2010-08-01

    An electrochemical DNA sensor for Legionella pneumophila detection was constructed using O2 plasma functionalized multi-walled carbon nanotube (MWCNT) film as a working electrode (WE). The cyclic voltammetry (CV) results revealed that the electrocatalytic activity of plasma functionalized MWCNT (pf-MWCNT) significantly changed depending on O2 plasma treatment time due to some oxygen containing functional groups on the pf-MWCNT surface. Scanning electron microscope (SEM) images and X-ray photoelectron spectroscopy (XPS) spectra were also presented the changes of their surface morphologies and oxygen composition before and after plasma treatment. From a comparison study, it was found that the pf-MWCNT WEs had higher electrocatalytic activity and more capability of probe DNA immobilization: therefore, electrochemical signal changes by probe DNA immobilization and hybridization on pf-MWCNT WEs were larger than on Au WEs. The pf-MWCNT based DNA sensor was able to detect a concentration range of 10 pM-100 nM of target DNA to detect L. pneumophila.

  15. Effect of O2 plasma treatment on density-of-states in a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Huang, Fei; Li, Sheng; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    This work reports an efficient route for enhancing the performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFT). The mobility was greatly improved by about 38% by means of O2 plasma treatment. Temperature-stress was carried out to investigate the stability and extract the parameters related to activation energy ( E a) and density-of-states (DOS). The DOS was calculated on the basis of the experimentally obtained E a, which can explain the experimental observation. A lower activation energy ( E a, 0.72 eV) and a smaller DOS were obtained in the O2 plasma treatment TFT based on the temperature-dependent transfer curves. The results showed that temperature stability and electrical properties enhancements in a-IGZO thin film transistors were attributed to the smaller DOS. [Figure not available: see fulltext.

  16. Micronucleus formation induced by dielectric barrier discharge plasma exposure in brain cancer cells

    NASA Astrophysics Data System (ADS)

    Kaushik, Nagendra K.; Uhm, Hansup; Ha Choi, Eun

    2012-02-01

    Induction of micronucleus formation (cytogenetic damage) in brain cancer cells upon exposure of dielectric barrier discharge plasma has been investigated. We have investigated the influence of exposure and incubation times on T98G brain cancer cells by using growth kinetic, clonogenic, and micronucleus formation assay. We found that micronucleus formation rate directly depends on the plasma exposure time. It is also shown that colony formation capacity of cells has been inhibited by the treatment of plasma at all doses. Cell death and micronucleus formation are shown to be significantly elevated by 120 and 240 s exposure of dielectric barrier discharge plasma.

  17. Synthesis of [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y Ceramic and its Application in Efficient Plasma Decomposition of CO2

    NASA Astrophysics Data System (ADS)

    Li, Ruixing; Tang, Qing; Yin, Shu; Sato, Tsugio

    According to both the first principle and materials chemistry, a method for fabricating [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y ceramic was investigated. It was considered that the sintering was promoted by self-accelerated diffusion due to the formation of point defects caused by doping with Li2Si2O5. Consequently, a concept of non-stoichiometrically activated sintering, which was enhanced by point defects without the help of a grain boundary phase, was systematically studied in the Ca1-xSrxTiO3-Li2Si2O5 system. The mechanical and dielectric properties of [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y were greatly enhanced by adding Li2Si2O5. To improve CO2 decomposition activity, [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y, which possesses both high permittivity and high dielectric strength was used as a dielectric barrier to decompose CO2 by dielectric barrier discharges (DBDs) plasma without using any catalyst and auxiliary substance. It successfully generated DBDs plasma and the CO2 conversion was much higher than that using an alumina or a silica glass barrier which was widely used as the dielectric barrier in previous studies.

  18. Gas-phase evolution of Ar/H2O and Ar/CH4 dielectric barrier discharge plasmas

    NASA Astrophysics Data System (ADS)

    Barni, Ruggero; Riccardi, Claudia

    2018-04-01

    We present some experimental results of an investigation aimed to hydrogen production with atmospheric pressure plasmas, based on the use of dielectric barrier discharges, fed with a high-voltage alternating signal at frequency 30-50 kHz, in mixtures of methane or water vapor diluted in argon. The plasma gas-phase of the discharge was investigated by means of optical and electrical diagnostics. The emission spectra of the discharges was measured with a wide band spectrometer and a photosensor module, based on a photomultiplier tube. A Rogowski coil allowed to measure the electric current flowing into the circuit and a high voltage probe was employed for evaluating the voltage at the electrodes. The analysis of the signals of voltage and current shows the presence of microdischarges between the electrodes in two alternating phases during the period of oscillation of the applied voltage. The hydrogen concentration in the gaseous mixture was measured too. Besides this experimental campaign, we present also results from a numerical modeling of chemical kinetics in the gas-phase of Ar/H2O and Ar/CH4 plasmas. The simulations were conducted under conditions of single discharge to study the evolution of the system and of fixed frequency repeated discharging. In particular in Ar/H2O mixtures we could study the evolution from early atomic dissociation in the discharge, to longer time scales, when chemical reactions take place producing an increase of the density of species such as OH, H2O2 and subsequently of H and H2. The results of numerical simulations provide some insights into the evolution happening in the plasma gas-phase during the hydrogen reforming process.

  19. Toxicity and trophic transfer of P25 TiO2 NPs from Dunaliella salina to Artemia salina: Effect of dietary and waterborne exposure.

    PubMed

    Bhuvaneshwari, M; Thiagarajan, Vignesh; Nemade, Prateek; Chandrasekaran, N; Mukherjee, Amitava

    2018-01-01

    The recent increase in nanoparticle (P25 TiO 2 NPs) usage has led to concerns regarding their potential implications on environment and human health. The food chain is the central pathway for nanoparticle transfer from lower to high trophic level organisms. The current study relies on the investigation of toxicity and trophic transfer potential of TiO 2 NPs from marine algae Dunaliella salina to marine crustacean Artemia salina. Toxicity was measured in two different modes of exposure such as waterborne (exposure of TiO 2 NPs to Artemia) and dietary exposure (NP-accumulated algal cells are used to feed the Artemia). The toxicity and accumulation of TiO 2 NPs in marine algae D. salina were also studied. Artemia was found to be more sensitive to TiO 2 NPs (48h LC 50 of 4.21mgL -1 ) as compared to marine algae, D. salina (48h LC 50 of 11.35mgL -1 ). The toxicity, uptake, and accumulation of TiO 2 NPs were observed to be more in waterborne exposure as compared to dietary exposure. Waterborne exposure seemed to cause higher ROS production and antioxidant enzyme (SOD and CAT) activity as compared to dietary exposure of TiO 2 NPs in Artemia. There were no observed biomagnification (BMF) and trophic transfer from algae to Artemia through dietary exposure. Histopathological studies confirmed the morphological and internal damages in Artemia. This study reiterates the possible effects of the different modes of exposure on trophic transfer potential of TiO 2 NPs and eventually the consequences on aquatic environment. Copyright © 2017 Elsevier Inc. All rights reserved.

  20. Fabrication of TiO2-modified polytetrafluoroethylene ultrafiltration membranes via plasma-enhanced surface graft pretreatment

    NASA Astrophysics Data System (ADS)

    Qian, Yingjia; Chi, Lina; Zhou, Weili; Yu, Zhenjiang; Zhang, Zhongzhi; Zhang, Zhenjia; Jiang, Zheng

    2016-01-01

    Surface hydrophilic modification of polymer ultrafiltration membrane using metal oxide represents an effective yet highly challenging solution to improve water flux and antifouling performance. Via plasma-enhanced graft of poly acryl acid (PAA) prior to coating TiO2, we successfully fixed TiO2 functional thin layer on super hydrophobic polytetrafluoroethylene (PTFE) ultrafiltration (UF) membranes. The characterization results evidenced TiO2 attached on the PTFE-based UF membranes through the chelating bidentate coordination between surface-grafted carboxyl group and Ti4+. The TiO2 surface modification may greatly reduce the water contact angle from 115.8° of the PTFE membrane to 35.0° without degradation in 30-day continuous filtration operations. The novel TiO2/PAA/PTFE membranes also exhibited excellent antifouling and self-cleaning performance due to the intrinsic hydrophilicity and photocatalysis properties of TiO2, which was further confirmed by the photo-degradation of MB under Xe lamp irradiation.

  1. Low temperature RF plasma nitriding of self-organized TiO2 nanotubes for effective bandgap reduction

    NASA Astrophysics Data System (ADS)

    Bonelli, Thiago Scremin; Pereyra, Inés

    2018-06-01

    Titanium dioxide is a widely studied semiconductor material found in many nanostructured forms, presenting very interesting properties for several applications, particularly photocatalysis. TiO2 nanotubes have a high surface-to-volume ratio and functional electronic properties for light harvesting. Despite these manifold advantages, TiO2 photocatalytic activity is limited to UV radiation due to its large band gap. In this work, TiO2 nanotubes produced by electrochemical anodization were submitted to plasma nitriding processes in a PECVD reactor. The plasma parameters were evaluated to find the best conditions for gap reduction, in order to increase their photocatalytic activity. The pressure and RF power density were varied from 0.66 to 2.66 mbar and 0.22 to 3.51 W/cm2 respectively. The best gap reduction, to 2.80 eV, was achieved using a pressure of 1.33 mbar and 1.75 W/cm2 RF power at 320 °C, during a 2-h process. This leads to a 14% reduction in the band gap value and an increase of 25.3% in methylene blue reduction, doubling the range of solar photons absorption from 5 to 10% of the solar spectrum.

  2. Effects of •OH and •NO radicals in the aqueous phase on H2O2 and \\text{NO}_{2}^{-} generated in plasma-activated medium

    NASA Astrophysics Data System (ADS)

    Kurake, Naoyuki; Tanaka, Hiromasa; Ishikawa, Kenji; Takeda, Keigo; Hashizume, Hiroshi; Nakamura, Kae; Kajiyama, Hiroaki; Kondo, Takashi; Kikkawa, Fumitaka; Mizuno, Masaaki; Hori, Masaru

    2017-04-01

    A plasma-activated medium (PAM), which means a cell-culture medium irradiated with cold atmospheric plasmas or non-equilibrium atmospheric pressure plasma (NEAPP), has shown strong antitumor effects on various kinds of cells such as gastric cancer cells, human lung adenocarcinoma cells, human breast cancer cells and so on. In order to clarify the mechanism, it is extremely important to investigate the behaviors of stable and unstable reactive oxygen nitrogen species in culture medium irradiated by NEAPP. The roles of hydroxyl radicals (•OH) and nitric oxide (•NO) were studied to understand the dominant synthetic pathways of H2O2 and \\text{NO}2- in culture medium irradiated with NEAPP. In the PAM, •OH in the aqueous phase was generated predominantly by photo-dissociation. However, most of the H2O2 nor \\text{NO}2- generated in the PAM did not originate from aqueous •OH and •NO. Pathways for the generation of H2O2 and \\text{NO}2- are suggested based on the high concentrations of intermediates generated at the gas/aqueous-phase interface following NEAPP irradiation. On the basis of these results, the reaction model of chemical species in the culture medium is proposed.

  3. Species sensitivity and dependence on exposure conditions impacting the phototoxicity of TiO2 nanoparticles to benthic organisms

    EPA Science Inventory

    Toxicity of TiO2 nanoparticles (nano-TiO2) to aquatic organisms can be greatly increased upon the exposure to ultraviolet radiation (UV). This phenomenon has received some attention for pelagic species, however, investigations of nano-TiO2 phototoxicity in benthic organisms are s...

  4. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE PAGES

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    2016-04-16

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  5. The low temperature oxidation of lithium thin films on HOPG by O 2 and H 2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wulfsberg, Steven M.; Koel, Bruce E.; Bernasek, Steven L.

    Lithiated graphite and lithium thin films have been used in fusion devices. In this environment, lithiated graphite will undergo oxidation by background gases. In order to gain insight into this oxidation process, thin (< 15 monolayer (ML)) lithium films on highly ordered pyrolytic graphite (HOPG) were exposed in this paper to O 2(g) and H 2O (g) in an ultra-high vacuum chamber. High resolution electron energy loss spectroscopy (HREELS) was used to identify the surface species formed during O 2(g) and H 2O (g) exposure. Auger electron spectroscopy (AES) was used to obtain the relative oxidation rates during O 2(g)more » and H 2O (g) exposure. AES showed that as the lithium film thickness decreased from 15 to 5 to 1 ML, the oxidation rate decreased for both O 2(g) and H 2O (g). HREELS showed that a 15 ML lithium film was fully oxidized after 9.7 L (L) of O 2(g) exposure and Li 2O was formed. HREELS also showed that during initial exposure (< 0.5 L) H 2O (g), lithium hydride and lithium hydroxide were formed on the surface of a 15 ML lithium film. Finally, after 0.5 L of H 2O (g) exposure, the H 2O (g) began to physisorb, and after 15 L of H 2O (g) exposure, the 15 ML lithium film was not fully oxidized.« less

  6. Determinants of the Associations between Ambient Concentrations and Personal Exposures to Ambient PM2.5, NO2, and O3 during DEARS

    EPA Science Inventory

    In this analysis, ambient concentrations and personal exposures to PM2.5, O3, and NO2, air exchange rates, meteorological parameters, and questionnaire survey responses collected during the Detroit Exposure and Aerosol Research Study (DEARS) are used: 1) to evaluate different met...

  7. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  8. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    NASA Astrophysics Data System (ADS)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (<100 eV) helium plasmas at temperatures between 900-1900 K in both laboratory experiments and tokamaks has been shown to cause severe nanoscale modification of the near surface resulting the growth of tungsten tendrils. We used a relatively low flux (2.5x1019 ions m-2 s-1) compact ECR plasma source at Sandia-California to investigate the early stages of helium induced tungsten damage. Exposures of polished tungsten discs were performed and characterized using SEM, AFM, and FIB cross section imaging. Bubbles have been seen on the exposed tungsten surface and in sub-surface cross sections growing to up to 150 nm in diameter. Comparisons were made between exposures of warm rolled Plansee tungsten discs and ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  9. Cold plasma inactivates Salmonella Stanley and Escherichia coli O157:H7 inoculated on golden delicious apples.

    PubMed

    Niemira, Brendan A; Sites, Joseph

    2008-07-01

    Cold plasma generated in a gliding arc was applied to outbreak strains of Escherichia coli O157:H7 and Salmonella Stanley on agar plates and inoculated onto the surfaces of Golden Delicious apples. This novel sanitizing technology inactivated both pathogens on agar plates, with higher flow rate (40 liters/min) observed to be more efficacious than were lower flow rates (20 liters/min), irrespective of treatment time (1 or 2 min). Golden Delicious apples were treated with various flow rates (10, 20, 30, or 40 liters/min) of cold plasma for various times (1, 2, or 3 min), applied to dried spot inoculations. All treatments resulted in significant (P < 0.05) reductions from the untreated control, with 40 liters/min more effective than were lower flow rates. Inactivation of Salmonella Stanley followed a time-dependent reduction for all flow rates. Reductions after 3 min ranged from 2.9 to 3.7 log CFU/ml, close to the limit of detection. For E. coli O157:H7, 40 liters/min gave similar reductions for all treatment times, 3.4 to 3.6 log CFU/ml. At lower flow rates, inactivation was related to exposure time, with 3 min resulting in reductions of 2.6 to 3 log CFU/ml. Temperature increase of the treated apples was related to exposure time for all flow rates. The maximum temperature of any plasma-treated apple was 50.8 degrees C (28 degrees C above ambient), after 20 liters/min for 3 min, indicating that antimicrobial effects were not the result of heat. These results indicate that cold plasma is a nonthermal process that can effectively reduce human pathogens inoculated onto fresh produce.

  10. Oxidative stress mediated toxicity of TiO2 nanoparticles after a concentration and time dependent exposure of the aquatic macrophyte Hydrilla verticillata.

    PubMed

    Spengler, Annette; Wanninger, Lena; Pflugmacher, Stephan

    2017-09-01

    The present study focused on oxidative stress effects in the aquatic macrophyte Hydrilla verticillata after exposure to titanium dioxide nanoparticles (TiO 2 -NPs). Experiments were conducted with different TiO 2 -NPs and concentrations (0.1 mg/L and 10 mg/L) in a time-dependent manner (0 h, 24 h, 48 h, 96 h, 168 h). To assess various levels of the oxidative stress response in H. verticillata, the level of hydrogen peroxide (H 2 O 2 ), the ratio of reduced to oxidized glutathione (GSH/GSSG), and activities of the antioxidative enzymes catalase (CAT) and glutathione reductase (GR) were evaluated. Study results imply oxidative stress effects after TiO 2 -NP exposure as adaptations in plant metabolism became apparent to counteract increased ROS formation. All TiO 2 -NPs caused elevated activities of the enzymes CAT and GR. Moreover, decreased ratios of GSH/GSSG indicated an activation of GSH-dependent pathways counteracting ROS formation. Plants exposed to a bulk-sized control revealed a size-dependent influence on the antioxidative stress response. As H 2 O 2 level increases were solely detected after exposure to 10 mg/L TiO 2 -NPs and nano-exposed plants showed normalization in its antioxidative stress response after 168h of exposure, it can be suggested that macrophytes are able to cope with currently predicted low-level exposures to TiO 2 -NPs. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goulding, Richard Howell; Caughman, John B.; Rapp, Juergen

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower densitymore » plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.« less

  12. Migration of Ag- and TiO2-(Nano)particles from textiles into artificial sweat under physical stress: experiments and exposure modeling.

    PubMed

    von Goetz, N; Lorenz, C; Windler, L; Nowack, B; Heuberger, M; Hungerbühler, K

    2013-09-03

    Engineered nanoparticles (ENP) are increasingly used to functionalize textiles taking advantage, e.g., of the antimicrobial activity of silver (Ag)-ENP or the UV-absorption of titania (TiO2)-ENP. Mobilization and migration of ENPs from the textile into human sweat can result in dermal exposure to these nanoobjects and their aggregates and agglomerates (NOAA). In this study we assessed exposure to NOAA migrating from commercially available textiles to artificial sweat by an experimental setup that simulates wear-and-tear during physical activity. By combining physical stress with incubation in alkaline and acidic artificial sweat solutions we experimentally realized a worst case scenario for wearing functionalized textiles during sports activities. This experimental approach is not limited to NOAA, but can be used for any other textile additive. Out of four investigated textiles, one T-shirt and one pair of trousers with claimed antimicrobial properties were found to release Ag <450 nm in detectable amounts (23-74 μg/g/L). Textiles containing TiO2 for UV protection did not release significant amounts of TiO2 <450 nm, but the antimicrobial T-shirt released both TiO2 and Ag <450 nm. The silver was present in dissolved and particulate form, whereas TiO2 was mainly found as particulate. On the basis of our experimental results we calculated external dermal exposure to Ag and TiO2 for male and female adults per use. For silver, maximal amounts of 17.1 and 8.2 μg/kg body weight were calculated for total and particulate Ag <450 nm, respectively. For TiO2, the exposure levels amount to maximal 11.6 μg/kg body weight for total (mainly particulate) TiO2. In comparison with other human exposure pathways, dermal exposure to NOAA from textiles can be considered comparably minor for TiO2-NOAA, but not for Ag-NOAA.

  13. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    PubMed

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  14. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  15. In-situ real time measurements of net erosion rates of copper during hydrogen plasma exposure

    NASA Astrophysics Data System (ADS)

    Kesler, Leigh; Wright, Graham; Peterson, Ethan; Whyte, Dennis

    2013-10-01

    In order to properly understand the dynamics of net erosion/deposition in fusion reactors, such as tokamaks, a diagnostic measuring the real time rates of net erosion/deposition during plasma exposure is necessary. The DIONISOS experiment produces real time measurements of net erosion/deposition by using Rutherford backscattering spectroscopy (RBS) ion beam analysis simultaneously with plasma exposure from a helicon plasma source. This in-situ method improves on ex-situ weight loss measurements by allowing measurement of possible synergistic effects of high ion implantation rates and net erosion rate and by giving a real time response to changes in plasma parameters. Previous work has validated this new technique for measuring copper (Cu) erosion from helium (He) plasma ion bombardment. This technique is now extended to measure copper erosion due to deuterium and hydrogen plasma ion exposure. Targets used were a 1.5 μm Cu layer on an aluminum substrate. Cu layer thickness is tracked in real time using 1.2 MeV proton RBS. Measured erosion rates will be compared to results from literature and He erosion rates. Supported by US DoE award DE-SC00-02060.

  16. Atomic scale simulation of H2O2 permeation through aquaporin: toward the understanding of plasma cancer treatment

    NASA Astrophysics Data System (ADS)

    Yusupov, Maksudbek; Yan, Dayun; Cordeiro, Rodrigo M.; Bogaerts, Annemie

    2018-03-01

    Experiments have demonstrated the potential selective anticancer capacity of cold atmospheric plasmas (CAPs), but the underlying mechanisms remain unclear. Using computer simulations, we try to shed light on the mechanism of selectivity, based on aquaporins (AQPs), i.e. transmembrane protein channels transferring external H2O2 and other reactive oxygen species, created e.g. by CAPs, to the cell interior. Specifically, we perform molecular dynamics simulations for the permeation of H2O2 through AQP1 (one of the members of the AQP family) and the palmitoyl-oleoyl-phosphatidylcholine (POPC) phospholipid bilayer (PLB). The free energy barrier of H2O2 across AQP1 is lower than for the POPC PLB, while the permeability coefficient, calculated using the free energy and diffusion rate profiles, is two orders of magnitude higher. This indicates that the delivery of H2O2 into the cell interior should be through AQP. Our study gives a better insight into the role of AQPs in the selectivity of CAPs for treating cancer cells.

  17. Characteristics of epoxy resin/SiO2 nanocomposite insulation: effects of plasma surface treatment on the nanoparticles.

    PubMed

    Yan, Wei; Phung, B T; Han, Zhao Jun; Ostrikov, Kostya

    2013-05-01

    The present study compares the effects of two different material processing techniques on modifying hydrophilic SiO2 nanoparticles. In one method, the nanoparticles undergo plasma treatment by using a custom-developed atmospheric-pressure non-equilibrium plasma reactor. With the other method, they undergo chemical treatment which grafts silane groups onto their surface and turns them into hydrophobic. The treated nanoparticles are then used to synthesize epoxy resin-based nanocomposites for electrical insulation applications. Their characteristics are investigated and compared with the pure epoxy resin and nanocomposite fabricated with unmodified nanofillers counterparts. The dispersion features of the nanoparticles in the epoxy resin matrix are examined through scanning electron microscopy (SEM) images. All samples show evidence that the agglomerations are smaller than 30 nm in their diameters. This indicates good dispersion uniformity. The Weibull plot of breakdown strength and the recorded partial discharge (PD) events of the epoxy resin/plasma-treated hydrophilic SiO2 nanocomposite (ER/PTI) suggest that the plasma-treated specimen yields higher breakdown strength and lower PD magnitude as compared to the untreated ones. In contrast, surprisingly, lower breakdown strength is found for the nanocomposite made by the chemically treated hydrophobic particles, whereas the PD magnitude and PD numbers remain at a similar level as the plasma-treated ones.

  18. Reactive spark plasma synthesis of CaZrTi2O7 zirconolite ceramics for plutonium disposition

    NASA Astrophysics Data System (ADS)

    Sun, Shi-Kuan; Stennett, Martin C.; Corkhill, Claire L.; Hyatt, Neil C.

    2018-03-01

    Near single phase zirconolite ceramics, prototypically CaZrTi2O7, were fabricated by reactive spark plasma sintering (RSPS), from commercially available CaTiO3, ZrO2 and TiO2 reagents, after processing at 1200 °C for only 1 h. Ceramics were of theoretical density and formed with a controlled mean grain size of 1.9 ± 0.6 μm. The reducing conditions of RSPS afforded the presence of paramagnetic Ti3+, as demonstrated by EPR spectroscopy. Overall, this study demonstrates the potential for RSPS to be a disruptive technology for disposition of surplus separated plutonium stockpiles in ceramic wasteforms, given its inherent advantage of near net shape products and rapid throughput.

  19. Atmospheric pressure plasma jet treatment of Salmonella Enteritidis inoculated eggshells.

    PubMed

    Moritz, Maike; Wiacek, Claudia; Koethe, Martin; Braun, Peggy G

    2017-03-20

    Contamination of eggshells with Salmonella Enteritidis remains a food safety concern. In many cases human salmonellosis within the EU can be traced back to raw or undercooked eggs and egg products. Atmospheric pressure plasma is a novel decontamination method that can reduce a wide range of pathogens. The aim of this work was to evaluate the possibility of using an effective short time cold plasma treatment to inactivate Salmonella Enteritidis on the eggshell. Therefore, artificially contaminated eggshells were treated with an atmospheric pressure plasma jet under different experimental settings with various exposure times (15-300s), distances from the plasma jet nozzle to the eggshell surface (5, 8 or 12mm), feed gas compositions (Ar, Ar with 0.2, 0.5 or 1.0% O 2 ), gas flow rates (5 and 7slm) and different inoculations of Salmonella Enteritidis (10 1 -10 6 CFU/cm 2 ). Atmospheric pressure plasma could reduce Salmonella Enteritidis on eggshells significantly. Reduction factors ranged between 0.22 and 2.27 log CFU (colony-forming units). Exposure time and, particularly at 10 4 CFU/cm 2 inoculation, feed gas had a major impact on Salmonella reduction. Precisely, longer exposure times led to higher reductions and Ar as feed gas was more effective than ArO 2 mixtures. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Electron-hole pairs generated in ZrO2 nanoparticle resist upon exposure to extreme ultraviolet radiation

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-02-01

    Metal oxide nanoparticle resists have attracted much attention as the next-generation resist used for the high-volume production of semiconductor devices. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In this study, the energy deposition in a zirconium oxide (ZrO2) nanoparticle resist was investigated. The numbers of electron-hole pairs generated in a ZrO2 core and an methacrylic acid (MAA) ligand shell upon exposure to 1 mJ cm-2 (exposure dose) extreme ultraviolet (EUV) radiations were theoretically estimated to be 0.16 at most and 0.04-0.17 cm2 mJ-1, respectively. By comparing the calculated distribution of electron-hole pairs with the line-and-space patterns of the ZrO2 nanoparticle resist fabricated by an EUV exposure tool, the number of electron-hole pairs required for the solubility change of the resist films was estimated to be 1.3-2.2 per NP. NP denotes a nanoparticle consisting of a metal oxide core with a ligand shell. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in the metal oxide core for the chemical change of ligand molecules.

  1. Feature Profile Evolution of SiO2 Trenches In Fluorocarbon Plasmas

    NASA Technical Reports Server (NTRS)

    Hwang, Helen; Govindan, T. R.; Meyyappan, M.; Arunachalam, Valli; Rauf, Shahid; Coronell, Dan; Carroll, Carol W. (Technical Monitor)

    1999-01-01

    Etching of silicon microstructures for semiconductor manufacturing in chlorine plasmas has been well characterized. The etching proceeds in a two-part process, where the chlorine neutrals passivate the Si surface and then the ions etch away SiClx. However, etching in more complicated gas mixtures and materials, such as etching of SiO2 in Ar/C4F8, requires knowledge of the ion and neutral distribution functions as a function of angle and velocity, in addition to modeling the gas surface reactions. In order to address these needs, we have developed and integrated a suite of models to simulate the etching process from the plasma reactor level to the feature profile evolution level. This arrangement allows for a better understanding, control, and prediction of the influence of equipment level process parameters on feature profile evolution. We are currently using the HPEM (Hybrid Plasma Equipment Model) and PCMCM (Plasma Chemistry Monte Carlo Model) to generate plasma properties and ion and neutral distribution functions for argon/fluorocarbon discharges in a GEC Reference Cell. These quantities are then input to the feature scale model, Simulation of Profile Evolution by Level Sets (SPELS). A surface chemistry model is used to determine the interaction of the incoming species with the substrate material and simulate the evolution of the trench profile. The impact of change of gas pressure and inductive power on the relative flux of CFx and F to the wafer, the etch and polymerization rates, and feature profiles will be examined. Comparisons to experimental profiles will also be presented.

  2. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  3. Fermi Level Unpinning of GaSb (100) using Plasma Enhanced Atomic Layer Deposition of Al2O3

    DTIC Science & Technology

    2010-01-01

    of high-/GaSb semiconductor interface. GaSb has a highly reactive surface and on exposure to air it will form a native oxide layer composed of Ga2O3 ...and Sb2O3 2GaSb+3O2→ Ga2O3 +Sb2O3. The Sb2O3 can fur- ther react with the GaSb surface forming elemental Sb and Ga2O3 Sb2O3+2GaSb→ Ga2O3 +4Sb.5,6...rights_and_permissions mentioned before, Sb2O3 reacts with GaSb forming Ga2O3 and elemental Sb.6 The kinetics of this reaction is enhanced at higher temperatures200 °C.14

  4. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  5. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  6. Plasma Proteome Dynamics: Analysis of Lipoproteins and Acute Phase Response Proteins with 2H2O Metabolic Labeling*

    PubMed Central

    Li, Ling; Willard, Belinda; Rachdaoui, Nadia; Kirwan, John P.; Sadygov, Rovshan G.; Stanley, William C.; Previs, Stephen; McCullough, Arthur J.; Kasumov, Takhar

    2012-01-01

    Understanding the pathologies related to the regulation of protein metabolism requires methods for studying the kinetics of individual proteins. We developed a 2H2O metabolic labeling technique and software for protein kinetic studies in free living organisms. This approach for proteome dynamic studies requires the measurement of total body water enrichments by GC-MS, isotopic distribution of the tryptic peptide by LC-MS/MS, and estimation of the asymptotical number of deuterium incorporated into a peptide by software. We applied this technique to measure the synthesis rates of several plasma lipoproteins and acute phase response proteins in rats. Samples were collected at different time points, and proteins were separated by a gradient gel electrophoresis. 2H labeling of tryptic peptides was analyzed by ion trap tandem mass spectrometry (LTQ MS/MS) for measurement of the fractional synthesis rates of plasma proteins. The high sensitivity of LTQ MS in zoom scan mode in combination with 2H label amplification in proteolytic peptides allows detection of the changes in plasma protein synthesis related to animal nutritional status. Our results demonstrate that fasting has divergent effects on the rate of synthesis of plasma proteins, increasing synthesis of ApoB 100 but decreasing formation of albumin and fibrinogen. We conclude that this technique can effectively measure the synthesis of plasma proteins and can be used to study the regulation of protein homeostasis under physiological and pathological conditions. PMID:22393261

  7. Synthesis, structural, thermal and optical properties of TeO2-Bi2O3-GeO2-Li2O glasses

    NASA Astrophysics Data System (ADS)

    Dimowa, Louiza; Piroeva, Iskra; Atanasova-Vladimirova, S.; Petrova, Nadia; Ganev, Valentin; Titorenkova, Rositsa; Yankov, Georgi; Petrov, Todor; Shivachev, Boris L.

    2016-10-01

    In this study, synthesis and characterization of novel quaternary tellurite glass system TeO2-Bi2O3-GeO2-Li2O is presented. The compositions include TeO2 and GeO2 as glass formers while different proportion of Bi2O3 and Li2O act as network modifiers. Differential thermal analysis, X-ray diffraction, scanning electron microscopy energy dispersive X-ray spectroscopy, laser ablation inductively coupled plasma mass spectrometry, UV-Vis and Raman spectroscopy are applied to study the structural, thermal and optical properties of the studied glasses. Obtained glasses possess a relatively low glass transition temperature (around 300 °C) if compared to other tellurite glasses, show good thermal transparency in the visible and near infra-red (from 2.4 to 0.4 μm) and can double the frequency of laser light from its original wavelength of 1064 nm to its second-harmonic at 532 nm (i.e. second harmonic generation).

  8. Impact of low-temperature plasmas on Deinococcus radiodurans and biomolecules

    NASA Technical Reports Server (NTRS)

    Mogul, Rakesh; Bol'shakov, Alexander A.; Chan, Suzanne L.; Stevens, Ramsey M.; Khare, Bishun N.; Meyyappan, M.; Trent, Jonathan D.

    2003-01-01

    The effects of cold plasma on Deinococcus radiodurans, plasmid DNA, and model proteins were assessed using microbiological, spectrometric, and biochemical techniques. In low power O(2) plasma (approximately 25 W, approximately 45 mTorr, 90 min), D. radiodurans, a radiation-resistant bacterium, showed a 99.999% reduction in bioburden. In higher power O(2) plasma (100 W and 500 mTorr), the reduction rate increased about 10-fold and observation by atomic force microscopy showed significant damage to the cell. Damage to cellular lipids, proteins, and chromosome was indicated by losses of infrared spectroscopic peaks at 2930, 1651, 1538, and 1245 cm(-1), respectively. In vitro experiments show that O(2) plasmas induce DNA strand scissions and cross-linking as well as reduction of enzyme activity. The observed degradation and removal of biomolecules was power-dependent. Exposures to 200 W at 500 mTorr removed biomolecules to below detection limits in 60 s. Emission spectroscopy indicated that D. radiodurans cells were volatilized into CO(2), CO, N(2), and H(2)O, confirming that these plasmas were removing complex biological matter from surfaces. A CO(2) plasma was not as effective as the O(2) plasma, indicating the importance of plasma composition and the dominant role of chemical degradation. Together, these findings have implications for NASA planetary protection schemes and for the contamination of Mars.

  9. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  10. The Josephson plasma resonance in Bi2Sr2CaCu2O8 in a tilted field

    NASA Astrophysics Data System (ADS)

    Bayrakci, S.; Tsui, Ophelia K. C.; Ong, N. P.; Kishio, K.; Watauchi, S.

    1999-04-01

    The dependence of the Josephson plasma frequency ωp in Bi2Sr2CaCu2O8 on a tilted field H is reported. Measurements over a large range of B and tilt angle θ allow a detailed comparison with a recent calculation by Koshelev. With a slight modification of the model, close agreement is obtained. From the fits, we find values for the in-plane correlation length and the zero-field critical current density Jc0 (4600 A/cm2 at 30 K). An analogy to Bragg diffraction is described, as well as a picture for the fractional-exponent behavior of ωp vs. H

  11. Formation of ZrO{sub 2} in coating on Mg–3 wt.%Al–1 wt.%Zn alloy via plasma electrolytic oxidation: Phase and structure of zirconia

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kang Min; Kim, Yeon Sung; Yang, Hae Woong

    2015-01-15

    An investigation of the coating structure formed on Mg–3 wt.%Al–1 wt.%Zn alloy sample subjected to plasma electrolytic oxidation was examined by field-emission transmission electron microscopy. The plasma electrolytic oxidation process was conducted in a phosphoric acid electrolyte containing K{sub 2}ZrF{sub 6} for 600 s. Microstructural observations showed that the coating consisting of MgO, MgF{sub 2}, and ZrO{sub 2} phases was divided into three distinctive parts, the barrier, intermediate, and outer layers. Nanocrystalline MgO and MgF{sub 2} compounds were observed mainly in the barrier layer of ~ 1 μm thick near to the substrate. From the intermediate to outer layers, variousmore » ZrO{sub 2} polymorphs appeared due to the effects of the plasma arcing temperature on the phase transition of ZrO{sub 2} compounds during the plasma electrolytic oxidation process. In the outer layer, MgO compound grew in the form of a dendrite-like structure surrounded by cubic ZrO{sub 2}. - Highlights: • The barrier layer containing MgO and MgF{sub 2} was observed near to the Mg substrate. • In the intermediate layer, m-, t-, and o-ZrO{sub 2} compounds were additionally detected. • The outer layer contained MgO with the dendrite-like structure surrounded by c-ZrO{sub 2}. • The grain sizes of compounds in oxide layer increased from barrier to outer layer.« less

  12. Corrosion behavior of plasma-sprayed Al 2O 3-Cr 2O 3 coatings in hot lithium molten salt

    NASA Astrophysics Data System (ADS)

    Cho, Soo Haeng; Park, Sung Bin; Kang, Dae Seong; Jeong, Myeong Soo; Park, Heong; Hur, Jin Mok; Lee, Han Soo

    2010-04-01

    In this study, hot corrosion studies were performed on bare as well as coated superalloy specimens after exposure to molten lithium chloride environment at 675 °C for 216 h under an oxidizing atmosphere. The substrates of the IN713LC superalloy specimens were sprayed with an aluminized NiCrAlY bond coat and then with an Al 2O 3-Cr 2O 3 top coat. The as-coated and tested specimens were examined by optical microscopy (OM), scanning electron microscopy (SEM)/X-ray energy dispersive spectrometry (EDS) and X-ray diffraction (XRD), respectively. The bare superalloy reveals an obvious weight loss, and the scale formed on the surface of the bare superalloy was spalled due to the rapid scale growth and thermal stress. The top coatings showed a much better hot corrosion resistance in the presence of LiCl-3 wt.% Li 2O molten salt when compared with those of the uncoated superalloy and the aluminized bond coatings. These coatings have been found to be beneficial for increasing to the hot corrosion resistance of the structural materials for handling high temperature lithium molten salts.

  13. Formation of Fine B2/ β + O Structure and Enhancement of Hardness in the Aged Ti2AlNb-Based Alloys Prepared by Spark Plasma Sintering

    NASA Astrophysics Data System (ADS)

    Li, Mengchen; Cai, Qi; Liu, Yongchang; Ma, Zongqing; Wang, Zumin; Huang, Yuan; Li, Huijun

    2017-09-01

    Ti2AlNb-based alloys synthesized at 1223 K (950 °C) by spark plasma sintering were aged at 973 K, 1023 K, 1073 K, and 1123 K (700 °C, 750 °C, 800 °C, and 850 °C), respectively. Phase composition, microstructure, and microhardness of the aged alloys were investigated in this study. Equiaxed O grains and Widmanstätten B2/ β + O laths were formed in the aged alloys, and the microhardness was improved in contrast with the spark plasma-sintered alloy without aging. The microhardness relies largely on the O-phase content, as well as the length and width of the O laths. In particular, complete Widmanstätten B2/ β + O laths, with locally finely dispersed β precipitates, were obtained in the alloy aged at 1073 K (800 °C), and the alloy exhibited the best microhardness performance. Such fine structure is due to the temperature-dependent transformations Oequiaxed→Oprimary + B2/ β primary, Oprimary→Osecondary + B2/ β secondary, and B2/ β primary→O.

  14. Oxidation of S(IV) in Seawater by Pulsed High Voltage Discharge Plasma with TiO2/Ti Electrode as Catalyst

    NASA Astrophysics Data System (ADS)

    Gong, Jianying; Zhang, Xingwang; Wang, Xiaoping; Lei, Lecheng

    2013-12-01

    Oxidation of S(IV) to S(VI) in the effluent of a flue gas desulfurization(FGD) system is very critical for industrial applications of seawater FGD. This paper reports a pulsed corona discharge oxidation process combined with a TiO2 photocatalyst to convert S(IV) to S(VI) in artificial seawater. Experimental results show that the oxidation of S(IV) in artificial seawater is enhanced in the pulsed discharge plasma process through the application of TiO2 coating electrodes. The oxidation rate of S(IV) using Ti metal as a ground electrode is about 2.0×10-4 mol · L-1 · min-1, the oxidation rate using TiO2/Ti electrode prepared by annealing at 500°C in air is 4.5×10-4 mol · L-1 · min-1, an increase with a factor 2.25. The annealing temperature for preparing TiO2/Ti electrode has a strong effect on the oxidation of S(IV) in artificial seawater. The results of in-situ emission spectroscopic analysis show that chemically active species (i.e. hydroxyl radicals and oxygen radicals) are produced in the pulsed discharge plasma process. Compared with the traditional air oxidation process and the sole plasma-induced oxidation process, the combined application of TiO2 photocatalysts and a pulsed high-voltage electrical discharge process is useful in enhancing the energy and conversion efficiency of S(IV) for the seawater FGD system.

  15. Highly effective fungal inactivation in He+O2 atmospheric-pressure nonequilibrium plasmas

    NASA Astrophysics Data System (ADS)

    Xiong, Z.; Lu, X. P.; Feng, A.; Pan, Y.; Ostrikov, K.

    2010-12-01

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O2 gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and after the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.

  16. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  17. Progress in magnet design activities for the material plasma exposure experiment

    DOE PAGES

    Duckworth, Robert; Lumsdaine, Arnold; Rapp, Juergen; ...

    2017-07-01

    One of the critical challenges for the development of next generation fusion facilities, such as a Fusion Nuclear Science Facility (FNSF) or DEMO, is the understanding of plasma material interactions (PMI). Making progress in PMI research will require integrated facilities that can provide the types of conditions that will be seen in the first wall and divertor regions of future fusion facilities. In order to meet this need, a new linear plasma facility, the Materials Plasma Exposure Experiment (MPEX), is proposed. In order to generate high ion fluence to simulate fusion divertor conditions, a steady-state plasma will be generated andmore » confined with superconducting magnets. Finally, the on-axis fields will range from 1 to 2.5 T in order to meet the requirements of the various plasma source and heating systems. Details on the pre-conceptual design of the magnets and cryogenic system are presented.« less

  18. Y2O3-MgO Nano-Composite Synthesized by Plasma Spraying and Thermal Decomposition of Solution Precursors

    NASA Astrophysics Data System (ADS)

    Muoto, Chigozie Kenechukwu

    This research aims to identify the key feedstock characteristics and processing conditions to produce Y2O3-MgO composite coatings with high density and hardness using solution precursor plasma spray (SPPS) and suspension plasma spray (SPS) processes, and also, to explore the phenomena involved in the production of homogenized nano-composite powders of this material system by thermal decomposition of solution precursor mixtures. The material system would find potential application in the fabrication of components for optical applications such as transparent windows. It was shown that a lack of major endothermic events during precursor decomposition and the resultant formation of highly dense particles upon pyrolysis are critical precursor characteristics for the deposition of dense and hard Y2O3-MgO coatings by SPPS. Using these principles, a new Y2O3-MgO precursor solution was developed, which yielded a coating with Vickers hardness of 560 Hv. This was a considerable improvement over the hardness of the coatings obtained using conventional solution precursors, which was as low as 110 Hv. In the thermal decomposition synthesis process, binary solution precursor mixtures of: yttrium nitrate (Y[n]) or yttrium acetate (Y[a]), with magnesium nitrate (Mg[n]) or magnesium acetate (Mg[a]) were used in order to study the effects of precursor chemistry on the structural characteristics of the resultant Y2O3-MgO powders. The phase domains were coarse and distributed rather inhomogeneously in the materials obtained from the Y[n]Mg[n] and Y[a]Mg[a] mixtures; finer and more homogeneously-distributed phase domains were obtained for ceramics produced from the Y[a]Mg[n] and Y[n]Mg[a] mixtures. It was established that these phenomena were related to the thermal characteristics for the decomposition of the precursors and their effect on phase separation during oxide crystallization. Addition of ammonium acetate to the Y[n[Mg[n] mixture changed the endothermic process to exothermic

  19. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  20. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  1. Mortality and Morbidity Due to Exposure to Ambient NO2, SO2, and O3 in Isfahan in 2013-2014.

    PubMed

    Abdolahnejad, Ali; Jafari, Negar; Mohammadi, Amir; Miri, Mohammad; Hajizadeh, Yaghoub

    2018-01-01

    The presence of air pollutants such as CO, NO 2 , SO 2 , O 3 , and PM in the ambient air mainly emitted from fossil fuels combustion has become a major health concern. The aims of this study were to estimate the attribution of NO 2 , SO 2 , and O 3 in the premature deaths and prevalence of cardiovascular and respiratory diseases in Isfahan in 2013-2014. In this study, short-term health effects (total mortality, cardiovascular and respiratory mortality, chronic obstructive pulmonary disease, and acute myocardial infarction) of exposure NO 2 , SO 2 , and O 3 on the population of Isfahan were assessed using AirQ 2.2.3 software suggested by the World Health Organization (WHO). The result showed that from nonaccident total mortality in 2013-2014 in Isfahan, the attributable proportion related to NO 2 , SO 2 , and O 3 were 1.03% (109 cases), 3.46% (365 cases), and 1.29% (136 cases), respectively. The percentage of days that people were exposed to the highest concentration of NO 2 (40-49 μg/m 3 ), SO 2 (60-69 μg/m 3 ), and O 3 (40-49 μg/m 3 ) was 34.46%, 16.85%, and 42.74% of a year, respectively. Total mortality attributed to NO 2 , SO 2 , and O 3 exposure was 0.36%, 0.79%, and 0.83%, respectively. The concentrations of NO 2 and SO 2 were upper than the WHO guidelines. The Air-Q software in spite of its limitations can provide useful information regarding the health outcome of the air pollutants. The results estimated in this study were considerable. This information can help the health authorities and policy makers to draw suitable strategies and fulfill effective emission control programs.

  2. Numerical and experimental study on the dynamics of a μs helium plasma gun with various amounts of O2 admixture

    NASA Astrophysics Data System (ADS)

    Viegas, Pedro; Damany, Xavier; Iseni, Sylvain; Pouvesle, Jean-Michel; Robert, Eric; Bourdon, Anne

    2016-09-01

    The use of admixtures (mostly O2 and N2) to a helium buffer has been studied recently to tailor the generation of reactive species in plasma jets for biomedical applications. So far, most experiments have been dedicated to the study of the plasma plume. For endoscopic treatments, it is also important to better understand and optimize the propagation of discharges in long dielectric tubes as catheters. In this work, we present an experimental and numerical study on the dynamics of a μs helium plasma discharge with O2 admixture in a long dielectric tube. In simulations, a 2D fluid model is used. For comparison purposes, the geometries of the set-ups used for simulations and experiments are as close as possible. We compare experiments and simulations for different amounts of O2 admixture added to the buffer gas and present results on the velocity of the discharge front for the various amounts of O2 and different applied voltages. In order to study the influence of different amounts of O2 admixture on the helium discharge dynamics, detailed kinetic schemes have been used. The influence of Penning and charge exchange reactions on the discharge structure and dynamics are studied, as well as the role of negative ions. P.V. is supported by an EDOM fellowship, and X.D. by an INEL/Region Centre-Val de Loire fellowship.

  3. Impression of plasma voltage on growth of α-V{sub 2}O{sub 5} nanostructured thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Rabindar Kumar, E-mail: rkrksharma6@gmail.com; Kumar, Prabhat; Reddy, G. B.

    2015-06-24

    In this communication, we synthesized vanadium pentoxide (α-V{sub 2}O{sub 5}) nanostructured thin films (NST{sub s}) accompanied with nanoflakes/ nanoplates on the Ni-coated glass substrates employing plasma assisted sublimation process (PASP) as a function of plasma voltage (V{sub p}). The effect of plasma voltage on structural, morphological, compositional, and vibrational properties have been studied systematically. The structural analysis divulged that all films deposited at different V{sub p} have pure orthorhombic phase, no impurity phase is detected under resolution limit of XRD and XPS. The morphological studies of samples is carried out by SEM, revealed that features as well as alignment ofmore » V{sub 2}O{sub 5} NST{sub s} is greatly monitored by V{sub p} and the film possessing the best features is obtained at 2500volt. In addition, XPS results reveal that V{sup 5+} oxidation state is the most prominent state in sample V{sub 2}, which represents better stoichiometric nature of film. The vibrational study of all samples is performed by FTIR and strongly support the XRD observations. All the results are in consonance with each other.« less

  4. SiO2-induced release of sVEGFRs from pulmonary macrophages.

    PubMed

    Chao, Jie; Lv, Yan; Chen, Jin; Wang, Jing; Yao, Honghong

    2018-01-01

    The inhalation of silicon dioxide (SiO 2 ) particles causes silicosis, a stubborn pulmonary disease that is characterized by alveolar inflammation during the early stage. Soluble cytokine receptors (SCRs) play important roles in regulating inflammation by either attenuating or promoting cytokine signaling. However, the role of SCRs in silicosis remains unknown. Luminex assays revealed increased soluble vascular endothelial growth factor receptor (sVEGFR) family levels in the plasma of silicosis patients. In an enzyme-linked immunosorbent assay (ELISA), cells from the differentiated human monocytic cell line U937 released sVEGFR family proteins after exposure to SiO 2 (50μg/cm 2 ). Further Western blot experiments revealed that VEGFR expression was also elevated in U937 cells. In contrast, levels of sVEGFR family members did not change in the supernatants of human umbilical vein endothelial cells (HUVECs) after exposure to SiO 2 (50μg/cm 2 ). Interestingly, VEGFR expression in HUVECs decreased after SiO 2 treatment. In a scratch assay, HUVECs exhibited cell migration ability, indicating the acquisition of mesenchymal properties. Our findings highlight the important role of sVEGFRs in both inflammation and fibrosis induced by SiO 2 , suggesting a possible mechanism for the fibrogenic effects observed in pulmonary diseases associated with fibrosis. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  6. Effect of W self-implantation and He plasma exposure on early-stage defect and bubble formation in tungsten

    NASA Astrophysics Data System (ADS)

    Thompson, M.; Drummond, D.; Sullivan, J.; Elliman, R.; Kluth, P.; Kirby, N.; Riley, D.; Corr, C. S.

    2018-06-01

    To determine the effect of pre-existing defects on helium-vacancy cluster nucleation and growth, tungsten samples were self-implanted with 1 MeV tungsten ions at varying fluences to induce radiation damage, then subsequently exposed to helium plasma in the MAGPIE linear plasma device. Positron annihilation lifetime spectroscopy was performed both immediately after self-implantation, and again after plasma exposure. After self-implantation vacancies clusters were not observed near the sample surface (<30 nm). At greater depths (30–150 nm) vacancy clusters formed, and were found to increase in size with increasing W-ion fluence. After helium plasma exposure in the MAGPIE linear plasma device at ~300 K with a fluence of 1023 He-m‑2, deep (30–150 nm) vacancy clusters showed similar positron lifetimes, while shallow (<30 nm) clusters were not observed. The intensity of positron lifetime signals fell for most samples after plasma exposure, indicating that defects were filling with helium. The absence of shallow clusters indicates that helium requires pre-existing defects in order to drive vacancy cluster growth at 300 K. Further samples that had not been pre-damaged with W-ions were also exposed to helium plasma in MAGPIE across fluences from 1  ×  1022 to 1.2  ×  1024 He-m‑2. Samples exposed to fluences up to 1  ×  1023 He-m‑2 showed no signs of damage. Fluences of 5  ×  1023 He-m‑2 and higher showed significant helium-cluster formation within the first 30 nm, with positron lifetimes in the vicinity 0.5–0.6 ns. The sample temperature was significantly higher for these higher fluence exposures (~400 K) due to plasma heating. This higher temperature likely enhanced bubble formation by significantly increasing the rate interstitial helium clusters generate vacancies, which is we suspect is the rate-limiting step for helium-vacancy cluster/bubble nucleation in the absence of pre-existing defects.

  7. Determination of Montelukast in Plasma Using β - Cyclodextrins Coated on CoFe2O4 Magnetic Nanoparticles in Luminol-H2O2 Chemiluminescence System Optimized by Doehlert Design.

    PubMed

    Samadi-Maybodi, Abdolraouf; Bakhtiar, Alireza; Fatemi, Mohammad Hossein

    2016-05-01

    A novel chemiluminescence method using β - cyclodextrins coated on CoFe2O4 magnetic nanoparticles is proposed for the chemiluminometric determination of montelukast in plasma. The effect of coated β - cyclodexterinon CoFe2O4 magnetic nanoparticles in the chemiluminescence of luminol-H2O2 system was investigated. It was found that β - cyclodexterin coated on CoFe2O4 magnetic nanoparticles could greatly enhance the chemiluminescence of the luminol-H2O2 system. Doehlert design was applied in order to optimize the number of experiments to be carried out to ascertain the possible interactions between the parameters and their effects on the chemiluminescence emission intensity. This design was selected because the levels of each variable may vary in a very efficient way with few experiments. Doehlert design and response surface methodology have been employed for optimization pH and concentrations of the components. Results showed under the optimized experimental conditions, the relative CL intensity (ΔI) is increased linearly in the concentration range of 0.003-0.586 μgml(-1) of montelukast with limit of detection (LOD) 1.09 × 10(-4) μgml(-1) at S/N ratio of 3, limit of quantitative (LOQ) 3.59 × 10(-4) μgml(-1) and the relative standard deviation 2.63 %. The method has been successfully applied to the determination of montelukast in plasma of human body. Results specified that relative chemiluminescence intensity (ΔI) has good proportional with the montelukast concentration with R(2) = 0.99979. The test of the recovery efficiency for known amounts of montelukast was also performed, the recoveries range obtained from 98.2 to 103.3 %, with RSDs of <4 % indicated that the proposed method was reliable.

  8. Deposition of nanocomposite Cu-TiO2 using heterogeneous colliding plasmas

    NASA Astrophysics Data System (ADS)

    Pandey, Pramod K.; Thareja, Raj K.; Singh, Ravi Pratap; Costello, John T.

    2018-03-01

    The formation of CuTiO2 nanocomposites has been observed in an experiment in which laser plasma plumes of Cu and Ti collide and stagnate in an oxygen atmosphere. The inherent advantage of this technique lies in its simplicity and flexibility where laser, target composition and geometry along with ambient atmosphere are all controllable parameters through which the stoichiometry of the deposited nanocomposites may be selected. The experiment has been performed at three oxygen ambient pressures 10-4, 10-2, 100 mbar and we observe its effect on stoichiometry, and morphology of the deposited nanocomposites. Here, we show how the stoichiometry of deposited nanocomposites can be readily controlled by changing just one parameter, namely the ambient oxygen pressure. The different peaks of photoluminescence spectra λ =390{ nm}( {E=3.18{ eV}} ) corresponding to the anatase phase of TiO2, along with the peaks at λ = 483 nm ( E = 2.56 eV) and 582 nm ( E = 2.13 eV) of deposited nanocomposites, shows the doping/blending effect on the band gaps which may potentially be of value in solar cell technology. The technique can, in principle, be extended to include nanocomposites of other materials making it potentially more widely applicable.

  9. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  10. The significance of nanomaterial post-exposure responses in Daphnia magna standard acute immobilisation assay: Example with testing TiO2 nanoparticles.

    PubMed

    Novak, Sara; Jemec Kokalj, Anita; Hočevar, Matej; Godec, Matjaž; Drobne, Damjana

    2018-05-15

    One of the most widely used aquatic standarized tests for the toxicity screening of chemicals is the acute toxicity test with the freshwater crustacean Daphnia magna, which has also been applied in the toxicity screening of manufactured nanoparticles (NPs). However, in the case of non-soluble NPs most of the results of this test have showed no effect. The aim of the work presented here was to modify the standardized test by the least possible extent to make it more sensitive for non-soluble particles. The standard acute immobilisation assay with daphnids was modified by prolonging the exposure period and by measuring additional endpoints. Daphnids were exposed to TiO 2 NPs in a standard acute test (48h of exposure), a standard acute test (48h of exposure) followed by 24h recovery period in clean medium or a prolonged exposure in the NPs solutions totaling 72h. Together with immobility, the adsorption of NPs to body surfaces was also observed as an alternative measure of the NPs effects. Our results showed almost no effect of TiO 2 NPs on D. magna after the 48h standard acute test, while immobility was increased when the exposure period to TiO 2 NPs was prolonged from 48h to 72h. Even when daphnids were transferred to clean medium for additional 24h after 48h of exposure to TiO 2 NPs the immobility increased. We conclude that by transferring the daphnids to clean medium at the end of the 48h exposure to TiO 2 NPs, the delayed effects of the tested material can be seen. This methodological step could improve the sensitivity of D. magna test as a model in nanomaterial environmental risk assessment. Copyright © 2018 Elsevier Inc. All rights reserved.

  11. Morphology-defined interaction of copper phthalocyanine with O2/H2O

    NASA Astrophysics Data System (ADS)

    Muckley, Eric S.; Miller, Nicholas; Jacobs, Christopher B.; Gredig, Thomas; Ivanov, Ilia N.

    2016-10-01

    Copper phthalocyanine (CuPc) is an important hole transport layer for organic photovoltaics (OPVs), but interaction with ambient gas/vapor may lead to changes in its electronic properties and limit OPV device lifetimes. CuPc films of thickness 25 and 100 nm were grown by thermal sublimation at 25°C, 150°C, and 250°C in order to vary morphology. We measured electrical resistance and film mass in situ during exposure to controlled pulses of O2 and H2O vapor. CuPc films deposited at 250°C showed a factor of 5 higher uptake of O2 as detected by a quartz crystal microbalance (QCM), possibly due to the formation of β-CuPc at T>200°C which allows higher O2 mobility between stacked molecules. While weight-based measurements stabilize after ˜10 min of gas exposure, resistance response stabilizes over times >1 h, suggesting that mass change occurs by rapid adsorption at active surface sites whereas resistive response is dominated by slow diffusion of adsorbates into the bulk film. The 25 nm films exhibit higher resistive response than 100 nm films after an hour of O2/H2O exposure due to fast analyte diffusion down to the film/electrode interface. We found evidence of decoupling of CuPc from the gold-coated QCM crystal due to preferential adsorption of O2/H2O molecules on gold.

  12. Soluble soybean polysaccharide/TiO2 bionanocomposite film for food application.

    PubMed

    Salarbashi, Davoud; Tafaghodi, Mohsen; Bazzaz, Bibi Sedigheh Fazly

    2018-04-15

    In the current study, a set of biodegradable soybean polysaccharide (SSPS) nanocomposites containing different ratios of TiO 2 nanoparticles was characterized as new packaging system. X-ray diffraction (XRD) measurement showed that the crystalline structure of the TiO 2 nanoparticles remained intact in the polysaccharide matrix and the surface of nanocomposites containing 1-3% TiO 2 was observed morphologically uniform under scanning electron microscopy (SEM). Dynamic mechanical thermal analysis revealed that the magnitude of storage modulus was 3.62-fold higher in SPSS/TiO 2 nanocomposites containing 7 wt.% of TiO 2 than control SSPS indicating improvement in the physical properties of the film supposed to be utilized for food packaging. With respect to the concern over the safety of these nanocomposites, inductively coupled plasma-optical emission spectroscopy (ICP-OES) showed that no TiO 2 was detected in bread samples covered by SSPS/TiO 2 film and stored for 6 months. Similarly, the nanocomposite films only released a minuscule amount (21.05 ± 0.054 ppm) of TiO 2 in water. TiO 2 nanoparticles were found in the plasma membrane of epithelial cell line after long-term exposure (10-day) of these cells to large amounts of the free nanoparticles. SSPS/TiO 2 nanocomposites showed excellent antimicrobial activity against Staphylococcus aureus PTCC 1431 (ATCC 25923), while neither anti-cancerous nor pro-cancerous activity was observed for these nanocomposites denoting their neutrality with respect to cancer suppression or progression in gastrointestinal tract. In conclusion, SSPS/TiO 2 nanocomposites could be a promising packaging system for food industries' objective regarding their physical characteristics, low rate of Ti transition, and low health risk. Copyright © 2018 Elsevier Ltd. All rights reserved.

  13. Exposures of tungsten nanostructures to divertor plasmas in DIII-D

    DOE PAGES

    Rudakov, D. L.; Wong, C. P. C.; Doerner, R. P.; ...

    2016-01-22

    Tungsten nanostructures (W-fuzz) prepared in the PISCES-A linear device have been found to survive direct exposure to divertor plasmas in DIII-D. W-fuzz was exposed in the lower divertor of DIII-D using the divertor material evaluation system. Two samples were exposed in lower single null (LSN) deuterium H-mode plasmas. The first sample was exposed in three discharges terminated by vertical displacement event disruptions, and the second in two discharges near the lowered X-point. More recently, three samples were exposed near the lower outer strike point in predominantly helium H-mode LSN plasmas. In all cases, the W-fuzz survived plasma exposure with littlemore » obvious damage except in the areas where unipolar arcing occurred. In conclusion, arcing is effective in W-fuzz removal, and it appears that surfaces covered with W-fuzz can be more prone to arcing than smooth W surfaces.« less

  14. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  15. Effects of titanium dioxide (TiO2 ) nanoparticles on caribbean reef-building coral (Montastraea faveolata).

    PubMed

    Jovanović, Boris; Guzmán, Héctor M

    2014-06-01

    Increased use of manufactured titanium dioxide nanoparticles (nano-TiO2 ) is causing a rise in their concentration in the aquatic environment, including coral reef ecosystems. Caribbean mountainous star coral (Montastraea faveolata) has frequently been used as a model species to study gene expression during stress and bleaching events. Specimens of M. faveolata were collected in Panama and exposed for 17 d to nano-TiO2 suspensions (0.1 mg L(-1) and 10 mg L(-1) ). Exposure to nano-TiO2 caused significant zooxanthellae expulsion in all the colonies, without mortality. Induction of the gene for heat-shock protein 70 (HSP70) was observed during an early stage of exposure (day 2), indicating acute stress. However, there was no statistical difference in HSP70 expression on day 7 or 17, indicating possible coral acclimation and recovery from stress. No other genes were significantly upregulated. Inductively coupled plasma mass spectrometry analysis revealed that nano-TiO2 was predominantly trapped and stored within the posterior layer of the coral fragment (burrowing sponges, bacterial and fungal mats). The bioconcentration factor in the posterior layer was close to 600 after exposure to 10 mg L(-1) of nano-TiO2 for 17 d. The transient increase in HSP70, expulsion of zooxanthellae, and bioaccumulation of nano-TiO2 in the microflora of the coral colony indicate the potential of such exposure to induce stress and possibly contribute to an overall decrease in coral populations. © 2014 SETAC.

  16. Neutral-neutral and neutral-ion collision integrals for Y2O3-Ar plasma system

    NASA Astrophysics Data System (ADS)

    Dhamale, Gayatri D.; Nath, Swastik; Mathe, Vikas L.; Ghorui, Srikumar

    2017-06-01

    A detailed investigation on the neutral-neutral and neutral-ion collision integrals is reported for Y2O3-Ar plasma, an important system of functional material with unique properties having a wide range of processing applications. The calculated integrals are indispensible pre-requisite for the estimation of transport properties needed in CFD modelling of associated plasma processes. Polarizability plays an important role in determining the integral values. Ambiguity in selecting appropriate polarizability data available in the literature and calculating effective number of electrons in the ionized species contributing to the polarizability are addressed. The integrals are evaluated using Lennard-Jones like phenomenological potential up to (l,s) = (4,4). Used interaction potential is suitable for both neutral-neutral and neutral-ion interactions. For atom-parent ion interactions, contribution coming from the inelastic resonant charge transfer process has been accounted properly together with that coming from the elastic counterpart. A total of 14 interacting species and 60 different interactions are considered. Key contributing factors like basic electronic properties of the interacting species and associated polarizability values are accounted carefully. Adopted methodology is first benchmarked against data reported in the literature and then applied to the Y2O3-Ar plasma system for estimating the collision integrals. Results are presented in the temperature range of 100 K-100 000 K.

  17. Accessing the application of in situ cosmogenic 14C to surface exposure dating of amorphous SiO2

    NASA Astrophysics Data System (ADS)

    Cesta, J. M.; Goehring, B. M.; Ward, D. J.

    2017-12-01

    We assess the feasibility and utility of in situ cosmogenic 14C as a geochronometer for landforms composed of amorphous SiO2 through the comparison of 14C surface exposure ages to independently determined eruption ages on Obsidian Dome, California. Landforms composed of amorphous SiO2 phases are difficult to date by conventional cosmogenic nuclide methods due to several complications that may arise (e.g., inability to remove meteoric contamination). The onset of an increased understanding of production rates and analytical measurement of in situ 14C in SiO2 provides an opportunity to address this limitation. Obsidian Dome is a 600-year-old phreatomagmatic dome of the Mono-Inyo Craters located in Inyo County, California, and consists of vesicular pumice, obsidian, and rhyolite. Exposure ages from eight obsidian and banded pumice and obsidian surface samples range from 3947 ± 678 to 914 ± 134 years, all significantly older than the accepted radiocarbon age of 650-550 years. δ13C values for the samples range between +2.65‰ and +1.34‰ and show a negative correlation with CO2 yield. The `too old' exposure ages coupled with this negative correlation between δ13C and CO2 yield suggests the incorporation of an atmospheric component of 14C. Measurement of 14C concentrations in shielded, subsurface samples will assist in isolating the atmospheric 14C component and aid in correcting the surface exposure ages.

  18. Will photosynthetic capacity of aspen trees acclimate after long term exposure to elevated CO2 and O3?

    Treesearch

    Joseph N.T. Darbah; Mark E. Kubiske; Neil Nelson; Katre Kets; Johanna Riikonen; Anu Sober; Lisa Rouse; David F. Karnosky

    2010-01-01

    Photosynthetic acclimation under elevated carbon dioxide (CO2) and/or ozone (O3) has been the topic of discussion in many papers recently. We examined whether or not aspen plants grown under elevated CO2 and/or O3 will acclimate after 11 years of exposure at the Aspen Face site...

  19. Tritium retention in S-65 beryllium after 100 eV plasma exposure

    NASA Astrophysics Data System (ADS)

    Causey, Rion A.; Longhurst, Glen R.; Harbin, Wally

    1997-02-01

    The tritium plasma experiment (TPE) has been used to measure the retention of tritium in S-65 beryllium under conditions similar to that expected for the international thermonuclear experimental reactor (ITER). Beryllium samples 2 mm thick and 50 mm in diameter were exposed to a plasma of tritium and deuterium. The particle flux striking the samples was varied from approximately 1 × 10 17 ( D + T)/ cm2s up to about 3 × 10 18 ( D + T)/ cm2s. The beryllium samples were negatively biased to elevate the energy of the impinging ions to 100 eV. The temperature of the samples was varied from 373 K to 973 K. Exposure times of 1 h were used. Subsequent to the plasma exposure, the samples were outgassed in a separate system where 99% He and 1% H 2 gas was swept over the samples during heating. The sweep gas along with the released tritium was sent through an ionization chamber, through a copper oxide catalyst bed, and into a series of glycol bubblers. The amount of released tritium was determined both by the ionization chamber and by liquid scintillation counting of the glycol. Tritium retention in the beryllium disks varied from a high of 2.4 × 10 17 ( D + T)/ cm2 at 373 K to a low of 1 × 10 16 ( D + T)/ cm2 at 573 K. For almost every case, the tritium retention in the beryllium was less than that calculated using the C = 0 boundary condition at the plasma facing surface. It is believed that this lower than expected retention is due to rapid release of tritium from the large specific surface area created in the implant zone due to the production of voids, bubbles, and blisters.

  20. Responses by pacific halibut to air exposure: Lack of correspondence among plasma constituents and mortality

    USGS Publications Warehouse

    Davis, M.W.; Schreck, C.B.

    2005-01-01

    Age-1 and age-2 Pacific halibut Hippoglossus stenolepis were exposed to a range of times in air (0-60 min) and air temperatures (10??C or 16??C) that simulated conditions on deck after capture to test for correspondence among responses in plasma constituents and mortality. Pacific halibut mortality generally did not correspond with cortisol, glucose, sodium, and potassium since the maximum observed plasma concentrations were reached after exposure to 30 min in air, while significant mortality occurred only after exposure to 40 min in air for age-1 fish and 60 min in air for age-2 fish. Predicting mortality in discarded Pacific halibut using these plasma constituents does not appear to be feasible. Lactate concentrations corresponded with mortality in age-1 fish exposed to 16??C and may be useful predictors of discard mortality under a limited set of fishing conditions.

  1. A Study of Gamma-Ray Exposure of Cu-SiO2 Programmable Metallization Cells

    NASA Astrophysics Data System (ADS)

    Chen, W.; Barnaby, H. J.; Kozicki, M. N.; Edwards, A. H.; Gonzalez-Velo, Y.; Fang, R.; Holbert, K. E.; Yu, S.; Yu, W.

    2015-12-01

    The Cu-SiO2 based programmable metallization cell (PMC) is a promising alternative to the Ag-chalcogenide glass PMC because of its low power consumption and CMOS-compatibility. Understanding its total ionizing dose (TID) response helps in assessing the reliability of this technology in ionizing radiation environments and benefits its expansion in the space electronics market. In this paper, the impacts of TID on the switching characteristics of Cu-SiO2 PMC are investigated for the first time. The devices were step irradiated with 60Co gamma-rays to a maximum dose of 7.1 Mrad ( SiO2). The results show that gamma-ray irradiation has a negligible impact on the virgin-state and on-state resistance of Cu-SiO2 PMCs. The off-state resistance slightly decreases after the first 1.5 Mrad( SiO2) of exposure, but this reduction saturates after higher levels of TID. Other switching characteristics such as the set voltage, multilevel switching capability and endurance were also studied, all of which did not show observable changes after gamma-ray radiation. The immunity to ionizing radiation is attributed to the suppression of the photo-doping process.

  2. Core-shell iron oxide-layered double hydroxide: High electrochemical sensing performance of H2O2 biomarker in live cancer cells with plasma therapeutics.

    PubMed

    Asif, Muhammad; Liu, Hongwei; Aziz, Ayesha; Wang, Haitao; Wang, Zhengyun; Ajmal, Muhammad; Xiao, Fei; Liu, Hongfang

    2017-11-15

    In this work, we develop a new type of multifunctional core-shell nanomaterial by controllable integration of CuAl layered double hydroxides (LDHs) over the surface of iron oxides (Fe 3 O 4 ) nanospheres (NSs) to fabricate (Fe 3 O 4 @CuAl NSs) hybrid material with interior tunability of LDH phase and explore its practical application in ultrasensitive detection of emerging biomarker, i.e., H 2 O 2 as cancer diagnostic probe. In addition, atmospheric pressure plasmas (APPs) have also been used as potential therapeutic approach for cancer treatment. Due to the synergistic combination of p-type semiconductive channels of LDHs with multi-functional properties, unique morphology and abundant surface active sites, the Fe 3 O 4 @CuAl NSs modified electrode exhibited attractive electrocatalytic activity towards H 2 O 2 reduction. Under the optimized conditions, the proposed biosensor demonstrated striking electrochemical sensing performances to H 2 O 2 including linear range as broad as 8 orders of magnitude, low real detection limit of 1nM (S/N = 3), high sensitivity, good reproducibility and long-term stability. Arising from the superb efficiency, the electrochemical biosensor has been used for in vitro determination of H 2 O 2 concentrations in human urine and serum samples prior to and following the intake of coffee, and real-time monitoring of H 2 O 2 efflux from different cancer cell lines in normal state and after plasma treatment. We believe that this novel nano-platform of structurally integrated core-shell nanohybrid materials combined with APPs will enhance diagnostic as well as therapeutic window for cancer diseases. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. On the Corrosion Performance of Monel 400 in Molten LiCl-Li2O-Li at 923 K

    NASA Astrophysics Data System (ADS)

    Phillips, William; Merwin, Augustus; Chidambaram, Dev

    2018-06-01

    The corrosion resistance of a Ni-Cu alloy, Monel 400, in molten LiCl-Li2O-Li at 923 K (650 °C) was investigated. Exposure testing of Monel 400 samples submerged in molten LiCl-2 wt pct Li2O solutions with Li concentrations between zero and 1 wt pct was performed at 923 K (650°C) for 20 hours. Post exposure surface analysis was performed using X-ray diffraction, scanning electron microscopy, energy dispersive X-ray spectroscopy, optical microscopy, micro-Vickers hardness testing, and X-ray photoelectron spectroscopy, while inductively coupled plasma optical emission spectroscopy was used to quantify the rate of material leaching. The extent of material degradation was observed to be strongly correlated to the concentration of metallic Li in the molten LiCl-Li2O system.

  4. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikia, Partha, E-mail: partha.008@gmail.com; Institute of Physics, Pontificia Universidad Católica de Chile, Av. Vicuña Mackenna 4860, Santiago; Saikia, Bipul Kumar

    2016-04-15

    We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO{sub 2} films. The parameters of the hydrogen-added Ar/O{sub 2} plasma influence the properties and the structural phases of the deposited TiO{sub 2} film. Therefore, the variation of plasma parameters such as electron temperature (T{sub e}), electron density (n{sub e}), ion density (n{sub i}), degree of ionization of Ar and degree of dissociation of H{sub 2} as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma.more » On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO{sub 2} film.« less

  5. The behavior of ZrO2/20%Y2O3 and Al2O3 coatings deposited on aluminum alloys at high temperature regime

    NASA Astrophysics Data System (ADS)

    Pintilei, G. L.; Crismaru, V. I.; Abrudeanu, M.; Munteanu, C.; Baciu, E. R.; Istrate, B.; Basescu, N.

    2015-10-01

    Aluminum alloy present numerous advantages like lightness, high specific strength and diversity which recommend them to a high number of applications from different fields. In extreme environments the protection of aluminum alloys is difficult and requires a high number of requirements like high temperature resistance, thermal fatigue resistance, corrosion fatigue resistance and galvanic corrosion resistance. To obtain these characteristics coatings can be applied to the surfaces so they can enhance the mechanical and chemical properties of the parts. In this paper two coatings were considered for deposition on an AA2024 aluminum alloy, ZrO2/20%Y2O3 and Al2O3. To obtain a better adherence of the coating to the base material an additional bond layer of NiCr is used. Both the coatings and bond layer were deposited by atmospheric plasma spraying on the samples. The samples were subjected to a temperature of 500 °C and after that slowly cooled to room temperature. The samples were analyzed by electron microscopy and X-ray diffraction to determine the morphological and phase changes that occurred during the temperature exposure. To determine the stress level in the parts due to thermal expansion a finite element analysis was performed in the same conditions as the tests.

  6. Influence of ZrO2 addition on the microstructure and discharge properties of Mg-Zr-O protective layers in alternating current plasma display panels

    NASA Astrophysics Data System (ADS)

    Guo, Bingang; Liu, Chunliang; Song, Zhongxiao; Liu, Liu; Fan, Yufeng; Xia, Xing; Fan, Duowang

    2005-08-01

    Mg-Zr-O protective layers for alternating current plasma display panels were deposited by e-beam evaporation. The effect of the ZrO2 addition on both the discharge properties [firing voltage Vf, minimum sustaining voltage Vs, and memory coefficient (MC)] and the microstructure of deposited Mg-Zr-O films were investigated. The results show that the film microstructure changes and the electron emission enhancement due to the ZrO2 addition are the main reasons for the improvements of the discharge properties of Mg-Zr-O films. A small amount of Zr solution in MgO under its solid solubility can effectively increase the outer-shell valence electron emission yield so as to decrease Vf and Vs compared with using a pure MgO protective layer. The ZrO2/(MgO +ZrO2) ratio has a great effect on the film surface conditions. Proper surface morphologies make a good contribution to obtain large MC in accordance with lower firing voltage.

  7. Plasma apolipoprotein O level increased in the patients with acute coronary syndrome

    PubMed Central

    Yu, Bi-lian; Wu, Chen-lu; Zhao, Shui-ping

    2012-01-01

    Apolipoprotein (apo) O is a novel apolipoprotein that is present predominantly in high density lipoprotein (HDL). However, overexpression of apoO does not impact on plasma HDL levels or functionality in human apoA-I transgenic mice. Thus, the physiological function of apoO is not yet known. In the present study, we investigated relationships between plasma apoO levels and high-sensitive C-reactive protein (hs-CRP) levels, as well as other lipid parameters in healthy subjects (n = 111) and patients with established acute coronary syndrome (ACS) (n = 50). ApoO was measured by the sandwich dot-blot technique with recombinant apoO as a protein standard. Mean apoO level in healthy subjects was 2.21 ± 0.83 µg/ml whereas it was 4.94 ± 1.59 µg/ml in ACS patients. There were significant differences in plasma level of apoO between two groups (P < 0.001). In univariate analysis, apoO correlated significantly with lg(hsCRP) (r = 0.48, P < 0.001) in ACS patients. Notably, no significant correlation between apoO and other lipid parameters was observed. Logistic regression analysis showed that plasma apoO level was an independent predictor of ACS (OR = 5.61, 95% CI 2.16–14.60, P < 0.001). In conclusion, apoO increased in ACS patients, and may be regarded as an independent inflammatory predictor of ACS patients. PMID:22693255

  8. Plasma-induced synthesis of Pt nanoparticles supported on TiO2 nanotubes for enhanced methanol electro-oxidation

    NASA Astrophysics Data System (ADS)

    Su, Nan; Hu, Xiulan; Zhang, Jianbo; Huang, Huihong; Cheng, Jiexu; Yu, Jinchen; Ge, Chao

    2017-03-01

    A Pt/C/TiO2 nanotube composite catalyst was successfully prepared for enhanced methanol electro-oxidation. Pt nanoparticles with a particle size of 2 nm were synthesized by plasma sputtering in water, and anatase TiO2 nanotubes with an inner diameter of approximately 100 nm were prepared by a simple two-step anodization method and annealing process. Field-emission scanning electron microscopy images indicated that the different morphologies of TiO2 synthesized on the surface of Ti foils were dependent on the different anodization parameters. The electrochemical performance of Pt/C/TiO2 catalysts for methanol oxidation showed that TiO2 nanotubes were more suitable for use as Pt nanoparticle support materials than irregular TiO2 short nanorods due to their tubular morphology and better electronic conductivity. X-ray photoelectron spectroscopy characterization showed that the binding energies of the Pt 4f of the Pt/C/TiO2 nanotubes exhibited a slightly positive shift caused by the relatively strong interaction between Pt and the TiO2 nanotubes, which could mitigate the poisoning of the Pt catalyst by COads, and further enhance the electrocatalytic performance. Thus, the as-obtained Pt/C/TiO2 nanotubes composites may become a promising catalyst for methanol electro-oxidation.

  9. Bacteria Adherence Properties of Nitrogen-Doped TiO2 Coatings by Plasma Surface Alloying Technique

    NASA Astrophysics Data System (ADS)

    Wang, Hefeng; Tang, Bin; Li, Xiuyan; Fan, Ailan

    Titanium nitride coatings on 316L stainless steel (S. S) were obtained by plasma surface alloying technique. Nitrogen-doped titanium dioxide (TiO2-xNx) was synthesized by oxidative annealing the resulted TiNx coatings in air. The reference TiO2 samples were also prepared by oxidation of sputtered Ti coatings. The as-prepared coatings were characterized by X-ray diffraction, glow discharge optical emission spectrometer (GDOES), scanning electron microscopy, X-ray hotoelectron spectroscopy and UV-Vis spectrophotometry, respectively. The bacteria adherence property of the TiO2-xNx coatings on stainless steel on the oral bacteria Streptococcus Mutans was investigated and compared with that of stainless steel by fluorescence microscopy. The mechanism of the bacteria adherence was discussed. The results show that the TiO2-xNx coatings are composed of anatase crystalline structure. SEM measurement indicates a rough surface morphology with three-dimensional homogenous protuberances after annealing treatment. Optical properties reveal an extended tailing of the absorption edge toward the visible region due to nitrogen presence. The band gap of the N-doped sample is reduced from 2.29 eV to 1.90 eV compared with the pure TiO2 one. Because of the different roughness and microstructure, the TiO2-xNx coatings inhibit the bacteria adherence.

  10. Experimental studies of radiation resistance of boron nitride, C2C ceramics Al2O3 and carbon-fiber composites using a PF-1000 plasma-focus device

    NASA Astrophysics Data System (ADS)

    Gribkov, V. A.; Tuniz, C.; Demina, E. V.; Dubrovsky, A. V.; Pimenov, V. N.; Maslyaev, S. V.; Gaffka, R.; Gryaznevich, M.; Skladnik-Sadowska, E.; Sadowski, M. J.; Miklaszewski, R.; Paduch, M.; Scholz, M.

    2011-04-01

    This paper reports on experiments undertaken to compare the radiation resistance of two types of ceramics, boron nitride (BN) and pure alumina (Al2O3), which are used in a TAEA antenna coil installed in the MAST spherical tokamak. Samples of the investigated materials (bulk BN and a 20 μm film of Al2O3 on Al substrate) were exposed on the axis of the plasma-focus PF-1000 device, which can emit intense streams of hot plasma (v≈107 cm s-1 and Npl≈1018 cm-3) and fast deuteron beams (Ei≈100 keV). The most powerful plasma-ion pulse lasted 0.2-1.0 μs and its intensity decayed in about 100 μs. The irradiation process was diagnosed using fast optical cameras, laser interferometry and optical spectrometry. Experiments were performed at power flux densities equal to 109-1010 W cm-2 or 108-109 W cm-2 during the most powerful stage of the interaction process. The irradiated specimens were investigated by means of optical microscopy and x-ray structure analysis (XRSA). It was shown that at 1010 W cm-2 pulses the Al2O3 coating was completely evaporated, whereas a surface of the BN sample became smoother than in the virgin one. A direct comparison of both samples after the action of 108 W cm-2 pulses demonstrated a wave-like structure (more distinct on Al2O3). Weighing of these samples showed, however, that the evaporation of BN was about two times stronger than that of Al2O3 in spite of the lower irradiation flux; the XRSA showed no evidence of cracking of Al2O3 after these pulses. The insulation properties of Al2O3 did not decline, and the Al2O3 coating may be potentially more beneficial, provided that it is kept below its melting point. Characteristic features of damages of a material based on the carbon-fiber composite with additions of silicium carbide (SiC; 8-40% volumetric) were also investigated. It was found that at q=109 W cm-2, the surface erosion is associated with sputtering and evaporation. The degree of this erosion depends on the fibers' orientation in

  11. Does environmental exposure to the greenhouse gas, N2O, contribute to etiological factors in neurodevelopmental disorders? A mini-review of the evidence.

    PubMed

    Fluegge, Keith

    2016-10-01

    Neurodevelopmental disorders are increasing in prevalence worldwide. Previous work suggests that exposure to the environmental air pollutant and greenhouse gas - nitrous oxide (N 2 O) - may be an etiological factor in neurodevelopmental disorders through the targeting of several neural correlates. While a number of recent systematic reviews have addressed the role of general anesthesia in the surgical setting and neurodevelopmental outcomes, a narrative mini-review was conducted to first define and characterize the relevant variables (i.e., N 2 O, attention-deficit hyperactivity disorder [ADHD] and autism spectrum disorders [ASD]) and their potential interactions into a coherent, hypothesis-generating work. The narrative mini-review merges basic principles in environmental science, anesthesiology, and psychiatry to more fully develop the novel hypotheses that neurodevelopmental impairment found in conditions like ADHD and ASD may be due to exposure to the increasing air pollutant, N 2 O. The results of the present mini-review indicate that exposure to N 2 O, even at non-toxic doses, may modulate central neurotransmission and target many neural substrates directly implicated in neurodevelopmental disorders, including the glutamatergic, opioidergic, cholinergic, and dopaminergic systems. Epidemiological studies also indicate that early and repeated exposure to general anesthesia, including N 2 O, may contribute to later adverse neurodevelopmental outcomes in children. The current evidence and subsequent hypotheses suggest that a renewed interest be taken in the toxicological assessment of environmental N 2 O exposure using validated biomarkers and psychiatric endpoints. Given the relevance of N 2 O as a greenhouse gas, societies may also wish to engage in a more robust monitoring and reporting of N 2 O levels in the environment for climactic benefit as well. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  13. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    NASA Astrophysics Data System (ADS)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  14. Investigation of radiation shielding properties for MeO-PbCl2-TeO2 (MeO = Bi2O3, MoO3, Sb2O3, WO3, ZnO) glasses

    NASA Astrophysics Data System (ADS)

    Sayyed, M. I.; Çelikbilek Ersundu, M.; Ersundu, A. E.; Lakshminarayana, G.; Kostka, P.

    2018-03-01

    In this work, glasses in the MeO-PbCl2-TeO2 (MeO = Bi2O3, MoO3, Sb2O3, WO3, ZnO) system, which show a great potential for optoelectronic applications, were used to evaluate their resistance under high energy ionizing radiations. The basic shielding quantities for determining the penetration of radiation in glass, such as mass attenuation coefficient (μ/ρ), half value layer (HVL), mean free path (MFP) and exposure buildup factor (EBF) values were investigated within the energy range 0.015 MeV ‒ 15 MeV using XCOM program and variation of shielding parameters were compared with different glass systems and ordinary concrete. From the derived results, it was determined that MeO-PbCl2-TeO2 (MeO = Bi2O3, MoO3, Sb2O3, WO3, ZnO) glasses show great potentiality to be used under high energy radiations. Among the studied glass compositions, Bi2O3 and WO3 containing glasses were found to possess superior gamma-ray shielding effectiveness.

  15. Plasma-enhanced pulsed-laser deposition of single-crystalline M o2C ultrathin superconducting films

    NASA Astrophysics Data System (ADS)

    Zhang, Fan; Zhang, Zhi; Wang, Huichao; Chan, Cheuk Ho; Chan, Ngai Yui; Chen, Xin Xin; Dai, Ji-Yan

    2017-08-01

    Transition-metal carbides (TMCs) possess many intriguing properties and inspiring application potentials, and recently the study of a two-dimensional form of TMCs has attracted great attention. Herein, we report successful fabrication of continuous M o2C ultrathin single-crystalline films at 700 ∘C with an approach of plasma-enhanced pulsed-laser deposition. By sophisticated structural analyses, the M o2C films are characterized as single crystal with a rarely reported face-centered cubic structure. In further electrical transport measurements, superconductivity observed in the M o2C films demonstrates a typical two-dimensional feature, which is consistent with Berezinskii-Kosterlitz-Thouless transitions. Besides, large upper critical magnetic fields are discovered in this system. Our work offers an approach to grow large-area and high-quality TMCs at relatively low temperatures. This study may stimulate more related investigations on the synthesis, characterizations, and applications of two-dimensional TMCs.

  16. Combined effect of short-term dehydration and sublethal acute oral dicrotophos exposure confounds the diagnosis of anticholinesterase exposure in common quail (Coturnix coturnix) using plasma cholinesterase activity.

    PubMed

    Heffernan, James; Mineau, Pierre; Falk, Ramona; Wickstrom, Mark

    2012-07-01

    Common Quail (Coturnix coturnix) were subjected to controlled and replicated experiments in the summer of 2008 to investigate the effects of short-term dehydration on cholinesterase activity in brain and plasma and the interaction between dehydration and exposure to the organophosphorus pesticide dicrotophos in these same tissues. Our objective was to determine if dehydration could confound the diagnosis of anticholinesterase exposure using inhibition of cholinesterase activity in quail tissues. The effect of dehydration was quantified using measures of plasma osmolality and hematocrit. Dicrotophos exposure caused significant inhibition of cholinesterase activity in brain, while the effects of dehydration and interaction were not significant. Dehydration caused significant duration-dependent increases in plasma osmolality and hematocrit. Dehydration also caused a significant increase in plasma cholinesterase activity. Variation in the change in plasma cholinesterase activity in response to dehydration was significantly and positively correlated with dehydration-induced variation in both the change in plasma osmolality and the change in hematocrit. These correlations suggest that plasma cholinesterase activity in quail is not limited to plasma but occupies some larger pool of the extracellular fluid volume, and we suggest lymph is part of that pool. The effects of dehydration on plasma cholinesterase activity masked the inhibitory effects of dicrotophos. Here, the combination of dehydration and dicrotophos exposure produced plasma cholinesterase activity that was not significantly different from reference and pre-exposure values, confounding the diagnosis of anticholinesterase exposure in dehydrated, dicrotophos-exposed quail. A method to adjust plasma cholinesterase activities for the confounding effects of dehydration and enable the diagnosis of anticholinesterase exposure in dehydrated, dicrotophos-exposed quail was developed. Clinicians and practitioners

  17. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  18. Short and long time effects of low temperature Plasma Activated Media on 3D multicellular tumor spheroids

    NASA Astrophysics Data System (ADS)

    Judée, Florian; Fongia, Céline; Ducommun, Bernard; Yousfi, Mohammed; Lobjois, Valérie; Merbahi, Nofel

    2016-02-01

    This work investigates the regionalized antiproliferative effects of plasma-activated medium (PAM) on colon adenocarcinoma multicellular tumor spheroid (MCTS), a model that mimics 3D organization and regionalization of a microtumor region. PAM was generated by dielectric barrier plasma jet setup crossed by helium carrier gas. MCTS were transferred in PAM at various times after plasma exposure up to 48 hours and effect on MCTS growth and DNA damage were evaluated. We report the impact of plasma exposure duration and delay before transfer on MCTS growth and DNA damage. Local accumulation of DNA damage revealed by histone H2AX phosphorylation is observed on outermost layers and is dependent on plasma exposure. DNA damage is completely reverted by catalase addition indicating that H2O2 plays major role in observed genotoxic effect while growth inhibitory effect is maintained suggesting that it is due to others reactive species. SOD and D-mannitol scavengers also reduced DNA damage by 30% indicating that and OH* are involved in H2O2 formation. Finally, PAM is able to retain its cytotoxic and genotoxic activity upon storage at +4 °C or -80 °C. These results suggest that plasma activated media may be a promising new antitumor strategy for colorectal cancer tumors.

  19. High exposure to nevirapine in plasma is associated with an improved virological response in HIV-1-infected individuals.

    PubMed

    Veldkamp, A I; Weverling, G J; Lange, J M; Montaner, J S; Reiss, P; Cooper, D A; Vella, S; Hall, D; Beijnen, J H; Hoetelmans, R M

    2001-06-15

    To explore relationships between exposure to nevirapine and the virological response in HIV-1-infected individuals participating in the INCAS trial. The elimination rate constant of plasma HIV-1 RNA (k) was calculated during the first 2 weeks of treatment with nevirapine, zidovudine and didanosine in 51 antiretroviral-naive HIV-1-infected patients. The relationships between the value of k, the time to reach an undetectable HIV-1 RNA concentration in plasma (< 20 copies/ml) and the success of therapy after 52 weeks of treatment as dependent variables and the exposure to nevirapine, baseline HIV-1 RNA and baseline CD4 cell count as independent variables, were explored using linear regression analyses, proportional hazard models and logistic analyses, respectively. The value of k for HIV-1 RNA in plasma was positively and significantly associated with the mean plasma nevirapine concentration during the first 2 weeks of therapy (P = 0.011) and the baseline HIV-1 RNA (P = 0.008). Patients with a higher exposure to nevirapine reached undetectable levels of HIV-1 RNA in plasma more rapidly (P = 0.03). From 12 weeks on, the median nevirapine plasma concentration was significantly correlated with success of therapy after 52 weeks (P < 0.02). A high exposure to nevirapine (in a twice daily regimen) is significantly associated with improved virological response in the short as well as in the long term. These findings suggest that optimization of nevirapine concentration might be used as a tool to improve virological outcome in (antiretroviral-naive) patients treated with nevirapine.

  20. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  1. Site-specific O-Glycosylation Analysis of Human Blood Plasma Proteins*

    PubMed Central

    Hoffmann, Marcus; Marx, Kristina; Reichl, Udo; Wuhrer, Manfred; Rapp, Erdmann

    2016-01-01

    Site-specific glycosylation analysis is key to investigate structure-function relationships of glycoproteins, e.g. in the context of antigenicity and disease progression. The analysis, though, is quite challenging and time consuming, in particular for O-glycosylated proteins. In consequence, despite their clinical and biopharmaceutical importance, many human blood plasma glycoproteins have not been characterized comprehensively with respect to their O-glycosylation. Here, we report on the site-specific O-glycosylation analysis of human blood plasma glycoproteins. To this end pooled human blood plasma of healthy donors was proteolytically digested using a broad-specific enzyme (Proteinase K), followed by a precipitation step, as well as a glycopeptide enrichment and fractionation step via hydrophilic interaction liquid chromatography, the latter being optimized for intact O-glycopeptides carrying short mucin-type core-1 and -2 O-glycans, which represent the vast majority of O-glycans on human blood plasma proteins. Enriched O-glycopeptide fractions were subjected to mass spectrometric analysis using reversed-phase liquid chromatography coupled online to an ion trap mass spectrometer operated in positive-ion mode. Peptide identity and glycan composition were derived from low-energy collision-induced dissociation fragment spectra acquired in multistage mode. To pinpoint the O-glycosylation sites glycopeptides were fragmented using electron transfer dissociation. Spectra were annotated by database searches as well as manually. Overall, 31 O-glycosylation sites and regions belonging to 22 proteins were identified, the majority being acute-phase proteins. Strikingly, also 11 novel O-glycosylation sites and regions were identified. In total 23 O-glycosylation sites could be pinpointed. Interestingly, the use of Proteinase K proved to be particularly beneficial in this context. The identified O-glycan compositions most probably correspond to mono- and disialylated core-1

  2. The formation of tungsten doped Al2O3/ZnO coatings on aluminum by plasma electrolytic oxidation and their application in photocatalysis

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Vasilić, Rastko; Radić, Nenad; Tadić, Nenad; Stefanov, Plamen; Grbić, Boško

    2016-07-01

    Tungsten doped Al2O3/ZnO coatings are formed by plasma electrolytic oxidation of aluminum substrate in supporting electrolyte (0.1 M boric acid + 0.05 M borax + 2 g/L ZnO) with addition of different concentrations of Na2WO4·2H2O. The morphology, crystal structure, chemical composition, and light absorption characteristics of formed surface coatings are investigated. The X-ray diffraction and X-ray photoelectron spectroscopy results indicate that formed surface coatings consist of alpha and gamma phase of Al2O3, ZnO, metallic tungsten and WO3. Obtained results showed that incorporated tungsten does not have any influence on the absorption spectra of Al2O3/ZnO coatings, which showed invariable band edge at about 385 nm. The photocatalytic activity of undoped and tungsten doped Al2O3/ZnO coatings is estimated by the photodegradation of methyl orange. The photocatalytic activity of tungsten doped Al2O3/ZnO coatings is higher thanof undoped Al2O3/ZnO coatings; the best photocatalytic activity is ascribed to coatings formed in supporting electrolyte with addition of 0.3 g/L Na2WO4·2H2O. Tungsten in Al2O3/ZnO coatings acts as a charge trap, thus reducing the recombination rate of photogenerated electron-hole pairs. The results of PL measurements are in agreement with photocatalytic activity. Declining PL intensity corresponds to increasing photocatalytic activity of the coatings, indicating slower recombination of electron-hole pairs.

  3. Production of Sn/SnO2/MWCNT composites by plasma oxidation after thermal evaporation from pure Sn targets onto buckypapers.

    PubMed

    Alaf, M; Gultekin, D; Akbulut, H

    2012-12-01

    In this study, tin/tinoxide/multi oxide/multi walled carbon nano tube (Sn/SnO2/MWCNT) composites were produced by thermal evaporation and then subsequent plasma oxidation. Buckypapers having controlled porosity were prepared by vacuum filtration from functionalized MWCNTs. Pure metallic tin was thermally evaporated on the buckypapers in argon atmosphere with different thicknesses. It was determined that the evaporated pure tin nano crystals were mechanically penetrated into pores of buckypaper to form a nanocomposite. The tin/MWCNT composites were subjected to plasma oxidation process at oxygen/argon gas mixture. Three different plasma oxidation times (30, 45 and 60 minutes) were used to investigate oxidation and physical and microstructural properties. The effect of coating thickness and oxidation time was investigated to understand the effect of process parameters on the Sn and SnO2 phases after plasma oxidation. Quantitative phase analysis was performed in order to determine the relative phase amounts. The structural properties were studied by field-emission gun scanning electron microscopy (FEG-SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD).

  4. Removal of NO in NO/N2, NO/N2/O2, NO/CH4/N2, and NO/CH4/O2/N2 systems by flowing microwave discharges.

    PubMed

    Hueso, José L; Gonzalez-Elipe, Agustín R; Cotrino, José; Caballero, Alfonso

    2007-02-15

    In this paper, continuing previous work, we report on experiments carried out to investigate the removal of NO from simulated flue gas in nonthermal plasmas. The plasma-induced decomposition of small concentrations of NO in N2 used as the carrier gas and O2 and CH4 as minority components has been studied in a surface wave discharge induced with a surfatron launcher. The reaction products and efficiency have been monitored by mass spectrometry as a function of the composition of the mixture. NO is effectively decomposed into N2 and O2 even in the presence of O2, provided always that enough CH4 is also present in the mixture. Other majority products of the plasma reactions under these conditions are NH3, CO, and H2. In the absence of O2, decomposition of NO also occurs, although in that case HCN accompanies the other reaction products as a majority component. The plasma for the different reaction mixtures has been characterized by optical emission spectroscopy. Intermediate excited species of NO*, C*, CN*, NH*, and CH* have been monitored depending on the gas mixture. The type of species detected and their evolution with the gas composition are in agreement with the reaction products detected in each case. The observations by mass spectrometry and optical emission spectroscopy are in agreement with the kinetic reaction models available in literature for simple plasma reactions in simple reaction mixtures.

  5. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  6. In situ glow discharge plasma electrolytic synthesis of reduced TiO2 for enhanced visible light photocatalysis

    NASA Astrophysics Data System (ADS)

    Feng, Guang; Wu, Botao; Qayyum Khan, Abdul; Zeng, Heping

    2018-05-01

    Reduced titanium dioxide (TiO2‑x) due to its extraordinary visible light absorption has been widely investigated in photodegradation and water splitting nowadays. However, conventional routes to synthesize reduced TiO2 usually demand multiple preparation steps, harsh controlled conditions or expensive facilities. Here we developed a single-step in situ approach to prepare the gray TiO2‑x nanoparticles (sub-10 nm) effectively by the glow discharge plasma electrolysis (GDPE) under atmospheric pressure. The co-existence of self-doped oxygen vacancies and Ti3+ in the generated TiO2‑x nanoparticles is demonstrated by electron paramagnetic resonance (EPR). The tunable ratio of bulk/surface defect can be realized by controlling the glow discharge power directly. It should be noticed that Ti3+ in the synthesized TiO2‑x are quite stable in ambient air. The UV–vis spectra of gray TiO2‑x show an enhanced visible light absorption, which leads to high visible-light photocatalytic activity. Moreover, the as-prepared TiO2‑x after 6 months storage still shows excellent stability during photocatalytic reactions. Owing to its simplicity and effectivity, this preparation method with GDPE should provide a large-scale production for TiO2‑x with high photoactivity.

  7. Plasma dynamic synthesis and obtaining ultrafine powders of iron oxides with high content of ε-Fe2O3

    NASA Astrophysics Data System (ADS)

    Sivkov, Alexander; Naiden, Evgenii; Ivashutenko, Alexander; Shanenkov, Ivan

    2016-05-01

    The ultrafine iron oxide powders were successfully synthesized using the plasma dynamic synthesis method, based on the use of a coaxial magnetoplasma accelerator with the iron electrode system. The synthesis was implemented in the high-speed iron-containing plasma jet, flowing into the space of the sealed chamber, filled with the gaseous mixture of oxygen and argon at different ratios. The XRD investigations showed that the synthesized products were heterophase and consisted of three main phases such as magnetite Fe3O4, hematite α-Fe2O3 and ε-Fe2O3. The SEM data confirmed the presence of three particle types: the hollow spheroids with sizes about hundreds of micrometers (magnetite), the particles with sizes up to 100 μm from the porous material of sintered submicron particles (hematite), and nanoscale particles (ε-phase). We found that at the higher oxygen concentration the content of ε-Fe2O3 is increased up to 50% at the same time with decreasing the Fe3O4 phase. The magnetic properties of the products are mainly determined by magnetite characteristics and are significantly reduced with decreasing its content in the powder. In order to investigate the synthesized ε-Fe2O3 on the ability to absorb the electromagnetic radiation in the millimeter wavelength range, we separated the product with the higher ε-phase concentration. The fraction mainly, consisting of ε-Fe2O3, showed the occurrence of the natural resonance at frequencies of 8.3 GHz and 130 GHz.

  8. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  9. Effect of 'PC Game Room' use and polycyclic aromatic hydrocarbon exposure on plasma testosterone concentrations in young male Koreans.

    PubMed

    Kim, Heon; Kang, Jong-Won; Ku, Seung-Yup; Kim, Seok Hyun; Cho, Soo-Hun; Koong, Sung-Soo; Kim, Yong-Dae; Lee, Chul-Ho

    2005-03-01

    'PC Game Rooms' were first popularized in Korea, although the concept is now becoming popular worldwide. PC Game Rooms provide users with high-performance PC connected to the high-speed internet, and access to computer games. However, PC Game Room users are exposed to various hazardous agents such as cigarette smoke in a confined environment, and thus it is likely that excessive PC Game Room use involves abnormal exposure to polycyclic aromatic hydrocarbons (PAH) as well as being associated with disturbed sleep or circadian rhythm. In this cross-sectional study, the exposure to PAH was evaluated by measuring urinary 1-hydroxypyrene (1-OHP) and 2-naphthol. The correlations between PC Game Room use and PAH exposure and plasma testosterone and LH levels were analysed in 208 young male Koreans. Urinary 1-OHP concentrations increased (P = 0.0001) and plasma testosterone levels decreased (P = 0.0153) significantly with increased duration of PC Game Room use. Correlation analysis showed that plasma testosterone concentrations were significantly negatively correlated with urinary 1-OHP (r = -0.22, P = 0.0012) and 2-naphthol (r = -0.15, P = 0.0308) concentrations. Moreover, these associations persisted after adjusting for other independent variables. However, the duration of PC Game Room use itself was not found to be an independent significant determinant of plasma testosterone level. Rather, PC Game Room use increased PAH exposure, which decreased plasma testosterone level. The younger age group (15-19 years) showed a more prominent decrease in plasma testosterone concentrations with increasing duration of PC Game Room use than the older age group (20-24 years) (r2 = 0.355, P = 0.0301 versus r2 = 0.213, P = 0.0001). These results imply that the excessive use of PC Game Rooms is related to an adverse impact on sex hormonal status in young male Koreans via PAH exposure. This effect was more prominent in the younger age group.

  10. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac){sub 2} reactions and enhancement by H{sub 2} and Ar plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish

    2016-09-15

    Thermal atomic layer etching (ALE) of crystalline aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac){sub 2}] as the reactants. Film thicknesses were monitored versus number of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlO{sub x}N{sub y} layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE experiments established the HF and Sn(acac){submore » 2} exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF{sub 3} layer on the surface. The metal precursor, Sn(acac){sub 2}, then accepts fluorine from the AlF{sub 3} layer and transfers an acac ligand to the AlF{sub 3} layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac){sub 3} or AlF(acac){sub 2}. Adding a H{sub 2} plasma exposure after each Sn(acac){sub 2} exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H{sub 2} plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H{sub 2} plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac){sub 2} exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species.« less

  11. Highly effective fungal inactivation in He+O{sub 2} atmospheric-pressure nonequilibrium plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiong, Z.; Lu, X. P.; Pan, Y.

    2010-12-15

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O{sub 2} gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and aftermore » the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.« less

  12. Selective Iron(III) ion uptake using CuO-TiO2 nanostructure by inductively coupled plasma-optical emission spectrometry

    PubMed Central

    2012-01-01

    Background CuO-TiO2 nanosheets (NSs), a kind of nanomaterials is one of the most attracting class of transition doped semiconductor materials due to its interesting and important optical, electrical, and structural properties and has many technical applications, such as in metal ions detection, photocatalysis, Chemi-sensors, bio-sensors, solar cells and so on. In this paper the synthesis of CuO-TiO2 nanosheets by the wet-chemically technique is reported. Methods CuO-TiO2 NSs were prepared by a wet-chemical process using reducing agents in alkaline medium and characterized by UV/vis., FT-IR spectroscopy, X-ray photoelectron spectroscopy (XPS), powder X-ray diffraction (XRD), and field-emission scanning electron microscopy (FE-SEM) etc. Results The structural and optical evaluation of synthesized NSs were measured by XRD pattern, Fourier transform infrared (FT-IR) and UV–vis spectroscopy, respectively which confirmed that the obtained NSs are well-crystalline CuO-TiO2 and possessing good optical properties. The morphological analysis of CuO-TiO2 NSs was executed by FE-SEM, which confirmed that the doped products were sheet-shaped and growth in large quantity. Here, the analytical efficiency of the NSs was applied for a selective adsorption of iron(III) ion prior to detection by inductively coupled plasma-optical emission spectrometry (ICP-OES). The selectivity of NSs towards various metal ions, including Au(III), Cd(II), Co(II), Cr(III), Fe(III), Pd(II), and Zn(II) was analyzed. Conclusions Based on the selectivity study, it was confirmed that the selectivity of doped NSs phase was the most towards Fe(III) ion. The static adsorption capacity for Fe(III) was calculated to be 110.06 mgg−1. Results from adsorption isotherm also verified that the adsorption process was mainly monolayer-adsorption onto a surface containing a finite number of CuO-TiO2 NSs adsorption sites. PMID:23244218

  13. Comparison of the growth kinetics of In{sub 2}O{sub 3} and Ga{sub 2}O{sub 3} and their suboxide desorption during plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vogt, Patrick, E-mail: vogt@pdi-berlin.de; Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de

    2016-08-08

    We present a comprehensive study of the In{sub 2}O{sub 3} growth kinetics during plasma-assisted molecular beam epitaxy and compare it to that of the related oxide Ga{sub 2}O{sub 3} [P. Vogt and O. Bierwagen, Appl. Phys. Lett. 108, 072101 (2016)]. The growth rate and desorbing fluxes were measured during growth in-situ by a laser reflectometry set-up and line-of-sight quadrupole mass spectrometer, respectively. We extracted the In incorporation as a function of the provided In flux, different growth temperatures T{sub G}, and In-to-O flux ratios r. The data are discussed in terms of the competing formation of In{sub 2}O{sub 3} andmore » desorption of the suboxide In{sub 2}O and O. The same three growth regimes as in the case of Ga{sub 2}O{sub 3} can be distinguished: (i) In-transport limited, O-rich (ii) In{sub 2}O-desorption limited, O-rich, and (iii) O-transport limited, In-rich. In regime (iii), In droplets are formed on the growth surface at low T{sub G}. The growth kinetics follows qualitatively that of Ga{sub 2}O{sub 3} in agreement with their common oxide and suboxide stoichiometry. The quantitative differences are mainly rationalized by the difference in In{sub 2}O and Ga{sub 2}O desorption rates and vapor pressures. For the In{sub 2}O, Ga{sub 2}O, and O desorption, we extracted the activation energies and frequency factors by means of Arrhenius-plots.« less

  14. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    NASA Astrophysics Data System (ADS)

    Donovan, David; Buchenauer, Dean; Whaley, Josh; Friddle, Raymond; Wright, Graham

    2014-10-01

    Exposure of tungsten to low energy (<100 eV) helium plasmas at temperatures between 900-1900 K in both laboratory experiments and tokamaks has been shown to cause severe nanoscale modification of the near surface resulting the growth of tungsten tendrils. We are exploring the potential for using a compact ECR plasma in situ with scanning tunneling microscopy (STM) to investigate the early stages of helium induced tungsten migration. Here we report on characterization of the plasma source for helium plasmas with a desired ion flux of ~1 × 1019 ions m-2 s-1 and the surface morphology changes seen on the exposed tungsten surfaces. Exposures of polished tungsten discs have been performed and characterized using SEM, AFM, and FIB cross section imaging. Bubbles have been seen on the exposed tungsten surface and in sub-surface cross sections growing to up to 150 nm in diameter. Comparisons are made between exposures of warm rolled Plansee tungsten discs and ALMT ITER grade tungsten samples. Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  15. Changes in peak oxygen uptake and plasma volume in fit and unfit subjects following exposure to a simulation of microgravity

    NASA Technical Reports Server (NTRS)

    Convertino, V. A.

    1998-01-01

    To test the hypothesis that the magnitude of reduction in plasma volume and work capacity following exposure to simulated microgravity is dependent on the initial level of aerobic fitness, peak oxygen uptake (VO2peak) was measured in a group of physically fit subjects and compared with VO2peak in a group of relatively unfit subjects before and after 10 days of continuous 6 degrees head-down tilt (HDT). Ten fit subjects (40 +/- 2 year) with mean +/- SE VO2peak = 48.9 +/- 1.7 mL kg-1 min-1 were matched for age, height, and lean body weight with 10 unfit subjects (VO2peak = 37.7 +/- 1.6 mL kg-1 min-1). Before and after HDT, plasma, blood, and red cell volumes and body composition were measured and all subjects underwent a graded supine cycle ergometer test to determine VO2peak period needed. Reduced VO2peak in fit subjects (-16.2%) was greater than that of unfit subjects (-6.1%). Similarly, reductions in plasma (-18.3%) and blood volumes (-16.0%) in fit subjects were larger than those of unfit subjects (blood volume = -5.6%; plasma volume = -6.6%). Reduced plasma volume was associated with greater negative body fluid balance during the initial 24 h of HDT in the fit group (912 +/- 154 mL) compared with unfit subjects (453 +/- 200 mL). The percentage change for VO2peak correlated with percentage change in plasma volume (r = +0.79). Following exposure to simulated microgravity, fit subjects demonstrated larger reductions in VO2peak than unfit subjects which was associated with larger reductions in plasma and blood volume. These data suggest that the magnitude of physical deconditioning induced by exposure to microgravity without intervention of countermeasures was influenced by the initial fitness of the subjects.

  16. Enhancement in volatile organic compound sensitivity of aged Ag nanoparticle aggregates by plasma exposure

    NASA Astrophysics Data System (ADS)

    Hosomi, Kei; Ozaki, Koichi; Nishiyama, Fumitaka; Takahiro, Katsumi

    2018-01-01

    Silver nanoparticles (Ag NPs) tarnish easily upon exposure to ambient air, and eventually lose their ability as a plasmonic sensor via weakened localized surface plasmon resonance (LSPR). We have demonstrated the enhancement in plasmonic sensitivity of tarnished Ag NP aggregates to vapors of volatile organic compounds (VOCs) such as ethanol and butanol by Ar plasma exposure. The response of Ag NP aggregates to the VOC vapors was examined by measuring the change in optical extinction spectra before and after exposure to the vapors. The sensitivity of Ag NP aggregates decreased gradually when stored in ambient air. The performance of tarnished Ag NPs for ethanol sensing was recovered by exposure to argon (Ar) plasma for 15 s. The reduction from oxidized Ag to metallic one was recognized, while morphological change was hardly noticeable after the plasma exposure. We conclude, therefore, that a compositional change rather than a morphological change occurred on Ag NP surfaces enhances the sensing ability of tarnished Ag NP aggregates to the VOC vapors.

  17. Study of low resistivity and high work function ITO films prepared by oxygen flow rates and N2O plasma treatment for amorphous/crystalline silicon heterojunction solar cells.

    PubMed

    Hussain, Shahzada Qamar; Oh, Woong-Kyo; Kim, Sunbo; Ahn, Shihyun; Le, Anh Huy Tuan; Park, Hyeongsik; Lee, Youngseok; Dao, Vinh Ai; Velumani, S; Yi, Junsin

    2014-12-01

    Pulsed DC magnetron sputtered indium tin oxide (ITO) films deposited on glass substrates with lowest resistivity of 2.62 x 10(-4) Ω x cm and high transmittance of about 89% in the visible wavelength region. We report the enhancement of ITO work function (Φ(ITO)) by the variation of oxygen (O2) flow rate and N2O surface plasma treatment. The Φ(ITO) increased from 4.43 to 4.56 eV with the increase in O2 flow rate from 0 to 4 sccm while surface treatment of N2O plasma further enhanced the ITO work function to 4.65 eV. The crystallinity of the ITO films improved with increasing O2 flow rate, as revealed by XRD analysis. The ITO work function was increased by the interfacial dipole resulting from the surface rich in O- ions and by the dipole moment formed at the ITO surface during N2O plasma treatment. The ITO films with high work functions can be used to modify the front barrier height in heterojunction with intrinsic thin layer (HIT) solar cells.

  18. Use of statistical design of experiments for surface modification of Kapton films by CF4sbnd O2 microwave plasma treatment

    NASA Astrophysics Data System (ADS)

    Grandoni, Andrea; Mannini, Giacomo; Glisenti, Antonella; Manariti, Antonella; Galli, Giancarlo

    2017-10-01

    A statistical design of experiments (DoE) was used to evaluate the effects of CF4sbnd O2 plasma on Kapton films in which the duration of treatment, volume ratio of plasma gases, and microwave power were selected as effective experimental factors for systematic investigation of surface modification. Static water contact angle (θW), polar component of surface free energy (γSp) and surface O/C atomic ratio were analyzed as response variables. A significant enhancement in wettability and polarity of the treated films compared to untreated Kapton films was observed; depending on the experimental conditions, θW very significantly decreased, showing full wettability, and γSp rose dramatically, up to ten times. Within the DoE the conditions of plasma treatment were identified that resulted in selected optimal values of θW, γSp and O/C responses. Surface chemical changes were detected by XPS and ATR-IR investigations that evidenced both the introduction of fluorinated groups and the opening of the imide ring in the plasma-treated films.

  19. Effects of plasma-induced charging damage on random telegraph noise in metal-oxide-semiconductor field-effect transistors with SiO2 and high-k gate dielectrics

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2014-01-01

    We clarified in this study how plasma-induced charging damage (PCD) affects the so-called “random telegraph noise (RTN)” — a principal concern in designing ultimately scaled large-scale integrated circuits (LSIs). Metal-oxide-semiconductor field-effect transistors (MOSFETs) with SiO2 and high-k gate dielectric were exposed to an inductively coupled plasma (ICP) with Ar gas. Drain current vs gate voltage (Ids-Vg) characteristics were obtained before and after the ICP plasma exposure for the same device. Then, the time evolution of Ids fluctuation defined as Ids/μIds was measured, where μIds is the mean Ids. This value corresponds to an RTN feature, and RTN was obtained under various gate voltages (Vg) by a customized measurement technique. We focused on the statistical distribution width of (Ids/μIds), δ(Ids/μIds), in order to clarify the effects of PCD on RTN. δ(Ids/μIds) was increased by PCD for both MOSFETs with the SiO2 and high-k gate dielectrics, suggesting that RTN can be used as a measure of PCD, i.e., a distribution width increase directly indicates the presence of PCD. The dependence of δ(Ids/μIds) on the overdrive voltage Vg-Vth, where Vth is the threshold voltage, was investigated by the present technique. It was confirmed that δ(Ids/μIds) increased with a decrease in the overdrive voltage for MOSFETs with the SiO2 and high-k gate dielectrics. The presence of created carrier trap sites with PCD was characterized by the time constants for carrier capture and emission. The threshold voltage shift (ΔVth) induced by PCD was also evaluated and compared with the RTN change, to correlate the RTN increase with ΔVth induced by PCD. Although the estimated time constants exhibited complex behaviors due to the nature of trap sites created by PCD, δ(Ids/μIds) showed a straightforward tendency in accordance with the amount of PCD. These findings provide an in-depth understanding of plasma-induced RTN characteristic changes in future MOSFETs.

  20. Influence of Operating Parameters on Surface Properties of RF Glow Discharge Oxygen Plasma Treated TiO2/PET Film for Biomedical Application

    EPA Science Inventory

    Thin transparent titania (TiO2) films were coated on the surface of flexible poly (ethylene terephthalate) (PET) surface using standard sol gel techniques. The TiO2/PET thin film surfaces were further modified by exposing the films to a RF glow discharge oxygen plasma. The exposu...

  1. One-reactor plasma assisted fabrication of ZnO@TiO 2 multishell nanotubes: assessing the impact of a full coverage on the photovoltaic performance.

    PubMed

    Filippin, Alejandro Nicolas; Macias-Montero, Manuel; Saghi, Zineb; Idígoras, Jesús; Burdet, Pierre; Sanchez-Valencia, Juan R; Barranco, Angel; Migdley, Paul A; Anta, Juan A; Borras, Ana

    2017-08-29

    This paper addresses the fabrication of vertically aligned ZnO@TiO 2 multishell nanotubes by a combined full vacuum-plasma approach at mild temperatures. The growth is carried out within the premises of a one-reactor approach, i.e. minimizing the number of vacuum chambers and sample transferences. In this way, the interface between ZnO and TiO 2 is fully preserved from humidity thus increasing ZnO durability and stability. These nanostructures are studied by scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM) and energy dispersive X-ray spectroscopy in STEM (EDX-STEM). High density one-dimensional arrays of these nanotubes formed on FTO substrates are applied as photoanode in a dye-sensitized solar cell (DSC). The evolution of the dye adsorption capacity and solar cells parameters are explored as a function of the crystallinity and thickness of the TiO 2 shell. The results show the critical effect of a full coverage by TiO 2 of ZnO core to explain the mixed results found in the literature.

  2. In-situ etch rate study of Hf{sub x}La{sub y}O{sub z} in Cl{sub 2}/BCl{sub 3} plasmas using the quartz crystal microbalance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marchack, Nathan; Kim, Taeseung; Chang, Jane P., E-mail: jpchang@seas.ucla.edu

    2015-05-15

    The etch rate of Hf{sub x}La{sub y}O{sub z} films in Cl{sub 2}/BCl{sub 3} plasmas was measured in-situ in an inductively coupled plasma reactor using a quartz crystal microbalance and corroborated by cross-sectional SEM measurements. The etch rate depended on the ion energy as well as the plasma chemistry. In contrast to other Hf-based ternary oxides, the etch rate of Hf{sub x}La{sub y}O{sub z} films was higher in Cl{sub 2} than in BCl{sub 3}. In the etching of Hf{sub 0.25}La{sub 0.12}O{sub 0.63}, Hf appeared to be preferentially removed in Cl{sub 2} plasmas, per surface compositional analysis by x-ray photoelectron spectroscopy andmore » the detection of HfCl{sub 3} generation in mass spectroscopy. These findings were consistent with the higher etch rate of Hf{sub 0.25}La{sub 0.12}O{sub 0.63} than that of La{sub 2}O{sub 3}.« less

  3. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  4. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  5. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes

    PubMed Central

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-01

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10−5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime. PMID:28059160

  6. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes.

    PubMed

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-06

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO 2 , NO, H 2 O, as well as the related fragments during the O 2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO 2 during the complex surface chemical reaction of the ligand and O 2 plasma were monitored using the QCM. The remote PEALD ZrO 2 /zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10 -5  g/m 2 /day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.

  7. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-01

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10-5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.

  8. Maternal Blood, Plasma, and Breast Milk Lead: Lactational Transfer and Contribution to Infant Exposure

    PubMed Central

    Roy, Ananya; Amarasiriwardena, Chitra J.; Smith, Donald; Lupoli, Nicola; Mercado-García, Adriana; Lamadrid-Figueroa, Hector; Tellez-Rojo, Martha Maria; Hu, Howard; Hernández-Avila, Mauricio

    2013-01-01

    Background: Human milk is a potential source of lead exposure. Yet lactational transfer of lead from maternal blood into breast milk and its contribution to infant lead burden remains poorly understood. Objectives: We explored the dose–response relationships between maternal blood, plasma, and breast milk to better understand lactational transfer of lead from blood and plasma into milk and, ultimately, to the breastfeeding infant. Methods: We measured lead in 81 maternal blood, plasma, and breast milk samples at 1 month postpartum and in 60 infant blood samples at 3 months of age. Milk-to-plasma (M/P) lead ratios were calculated. Multivariate linear, piecewise, and generalized additive models were used to examine dose–response relationships between blood, plasma, and milk lead levels. Results: Maternal lead levels (mean ± SD) were as follows: blood: 7.7 ± 4.0 μg/dL; plasma: 0.1 ± 0.1 μg/L; milk: 0.8 ± 0.7 μg/L. The average M/P lead ratio was 7.7 (range, 0.6–39.8) with 97% of the ratios being > 1. The dose–response relationship between plasma lead and M/P ratio was nonlinear (empirical distribution function = 6.5, p = 0.0006) with the M/P ratio decreasing by 16.6 and 0.6 per 0.1 μg/L of plasma lead, respectively, below and above 0.1 μg/L plasma lead. Infant blood lead level (3.4 ± 2.2 μg/dL) increased by 1.8 μg/dL per 1 μg/L milk lead (p < 0.0001, R2 = 0.3). Conclusions: The M/P ratio for lead in humans is substantially higher than previously reported, and transfer of lead from plasma to milk may be higher at lower levels of plasma lead. Breast milk is an important determinant of lead burden among breastfeeding infants. Citation: Ettinger AS, Roy A, Amarasiriwardena CJ, Smith DR, Lupoli N, Mercado-García A, Lamadrid-Figueroa H, Tellez-Rojo MM, Hu H, Hernández-Avila M. 2014. Maternal blood, plasma, and breast milk lead: lactational transfer and contribution to infant exposure. Environ Health Perspect 122:87–92; http://dx.doi.org/10.1289/ehp

  9. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  10. Friction and Wear Behavior of Plasma-Sprayed Al2O3-13 wt.%TiO2 Coatings Under the Lubrication of Liquid Paraffin

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaoqin; An, Yulong; Hou, Guoliang; Zhou, Huidi; Chen, Jianmin

    2014-04-01

    Two types of ceramic composite coatings (denoted as N-AT13 coating and M-AT13 coating) were fabricated on 1Cr18Ni9Ti stainless steel substrate from ultra-fine and coarse Al2O3-13%TiO2 feedstocks by air plasma spraying. The friction and wear behavior of as-prepared coatings sliding against Al2O3 and stainless steel balls under the lubrication of liquid paraffin was evaluated with an SRV friction and wear tester (Optimol, Germany). The fractured and worn surfaces of the coatings were observed using a scanning electron microscope and a field-emission scanning electron microscope; and the wear mechanisms of the coatings were discussed based on scanning electron microscopic analysis and energy dispersive spectrometric analysis. Results show that N-AT13 coating possesses a unique microstructure and strong inter-splat bonding, thereby showing increased microhardness and bonding strength as well as much better friction-reduction and wear resistance than M-AT13 coating. Moreover, there exist differences in the wear mechanisms of N-AT13 and M-AT13 coatings which slide against ceramic and stainless steel balls under the lubrication of liquid paraffin. Namely, with the increase of normal load, the burnishing of N-AT13 coating coupled with Al2O3 ball is gradually transformed to grain-abrasion and deformation, while M-AT13 coating is dominated by grain-pullout and brittle fracture in the whole range of tested normal load.

  11. High Plasma Exposure of Statins Associated With Increased Risk of Contrast-Induced Acute Kidney Injury in Chinese Patients With Coronary Artery Disease.

    PubMed

    Cai, Liyun; Bai, Xue; Lei, Heping; Wu, Hong; Liu, Yong; Zhu, Qian; Zhang, Shanshan; Liu, Yibin; Lin, Qiuxiong; Chen, Jiyan; Zhang, Bin; He, Guodong; Geng, Qingshan; Huang, Min; Zhong, Shilong

    2018-01-01

    The role of statins in reducing the incidence of contrast-induced acute kidney injury (CI-AKI) remains controversial. We sought to evaluate the association between CI-AKI and high plasma exposure of statins in coronary artery disease (CAD) patients undergoing coronary angiography (CAG). This association was first evaluated in 1,219 patients with CAD receiving atorvastatin (AT) therapy and validated in 635 patients receiving rosuvastatin (RST) therapy. The plasma concentrations of statins were quantified using validated UPLC-MS/MS methods and CI-AKI incidence was assessed during the first 48 h postoperatively. Among all participants ( n = 1,854), AKI occurred in 57 of 1219 (4.7%) in the AT cohort and 30 of 635 (4.7%) in the RST cohort. High plasma AT-all exposure was associated with increased risk of CI-AKI (odds ratio [OR]: 2.265; 95% confidence interval [CI]: 1.609-3.187; p < 0.0001). Plasma AT-all concentration in the CI-AKI group (22.40 ± 24.63 ng/mL) was 2.6-fold higher than that in the control group (8.60 ± 9.65 ng/mL). High plasma RST exposure also significantly increased the risk of CI-AKI (OR: 2.281; 95% CI: 1.441-3.612; p = 0.0004). We further divided patients into two subgroups for each statin according to baseline renal function, and association between high plasma statin exposure and CI-AKI still remained highly significant in both subgroups. This study suggests for the first time that high plasma exposure of statins may significantly increase the risk of CI-AKI. Statins should be used with greater caution in CAD patients undergoing CAG to reduce the occurrence of CI-AKI.

  12. Design and Demonstration of a Material-Plasma Exposure Target Station for Neutron Irradiated Samples

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rapp, Juergen; Aaron, A. M.; Bell, Gary L.

    2015-10-20

    steady-state heat fluxes of 5–20 MW/m 2 and ion fluxes up to 10 24 m -2s -1. Since PFCs will have to withstand neutron irradiation displacement damage up to 50 dpa, the target station design must accommodate radioactive specimens (materials to be irradiated in HFIR or at SNS) to enable investigations of the impact of neutron damage on materials. Therefore, the system will have to be able to install and extract irradiated specimens using equipment and methods to avoid sample modification, control contamination, and minimize worker dose. Included in the design considerations will be an assessment of all the steps between neutron irradiation and post-exposure materials examination/characterization, as well as an evaluation of the facility hazard categorization. In particular, the factors associated with the acquisition of radioactive specimens and their preparation, transportation, experimental configuration at the plasma-specimen interface, post-plasma-exposure sample handling, and specimen preparation will be evaluated. Neutronics calculations to determine the dose rates of the samples were carried out for a large number of potential plasma-facing materials.« less

  13. Prenatal Testosterone Exposure Decreases Aldosterone Production but Maintains Normal Plasma Volume and Increases Blood Pressure in Adult Female Rats.

    PubMed

    More, Amar S; Mishra, Jay S; Hankins, Gary D; Kumar, Sathish

    2016-08-01

    Plasma testosterone levels are elevated in pregnant women with preeclampsia and polycystic ovaries; their offspring are at increased risk for hypertension during adult life. We tested the hypothesis that prenatal testosterone exposure induces dysregulation of the renin-angiotensin-aldosterone system, which is known to play an important role in water and electrolyte balance and blood pressure regulation. Female rats (6 mo old) prenatally exposed to testosterone were examined for adrenal expression of steroidogenic genes, telemetric blood pressure, blood volume and Na(+) and K(+) levels, plasma aldosterone, angiotensin II and vasopressin levels, and vascular responses to angiotensin II and arg(8)-vasopressin. The levels of Cyp11b2 (aldosterone synthase), but not the other adrenal steroidogenic genes, were decreased in testosterone females. Accordingly, plasma aldosterone levels were lower in testosterone females. Plasma volume and serum and urine Na(+) and K(+) levels were not significantly different between control and testosterone females; however, prenatal testosterone exposure significantly increased plasma vasopressin and angiotensin II levels and arterial pressure in adult females. In testosterone females, mesenteric artery contractile responses to angiotensin II were significantly greater, while contractile responses to vasopressin were unaffected. Angiotensin II type-1 receptor expression was increased, while angiotensin II type-2 receptor was decreased in testosterone arteries. These results suggest that prenatal testosterone exposure downregulates adrenal Cyp11b2 expression, leading to decreased plasma aldosterone levels. Elevated angiotensin II and vasopressin levels along with enhanced vascular responsiveness to angiotensin II may serve as an underlying mechanism to maintain plasma volume and Na(+) and K(+) levels and mediate hypertension in adult testosterone females. © 2016 by the Society for the Study of Reproduction, Inc.

  14. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  15. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that <100> oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  16. Sterilization of Surfaces with a Handheld Atmospheric Pressure Plasma

    NASA Astrophysics Data System (ADS)

    Hicks, Robert; Habib, Sara; Chan, Wai; Gonzalez, Eleazar; Tijerina, A.; Sloan, Mark

    2009-10-01

    Low temperature, atmospheric pressure plasmas have shown great promise for decontaminating the surfaces of materials and equipment. In this study, an atmospheric pressure, oxygen and argon plasma was investigated for the destruction of viruses, bacteria, and spores. The plasma was operated at an argon flow rate of 30 L/min, an oxygen flow rate of 20 mL/min, a power density of 101.0 W/cm^3 (beam area = 5.1 cm^2), and at a distance from the surface of 7.1 mm. An average 6log10 reduction of viable spores was obtained after only 45 seconds of exposure to the reactive gas. By contrast, it takes more than 35 minutes at 121^oC to sterilize anthrax in an autoclave. The plasma properties were investigated by numerical modeling and chemical titration with nitric oxide. The numerical model included a detailed reaction mechanism for the discharge as well as for the afterglow. It was predicted that at a delivered power density of 29.3 W/cm^3, 30 L/min argon, and 0.01 volume% O2, the plasma generated 1.9 x 10^14 cm-3 O atoms, 1.6 x 10^12 cm-3 ozone, 9.3 x 10^13 cm-3 O2(^1δg), and 2.9 x 10^12 cm-3 O2(^1σ^+g) at 1 cm downstream of the source. The O atom density measured by chemical titration with NO was 6.0 x 10^14 cm-3 at the same conditions. It is believe that the oxygen atoms and the O2(^1δg) metastables were responsible for killing the anthrax and other microorganisms.

  17. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  18. Discovery of a low-systemic-exposure DGAT-1 inhibitor with a picolinoylpyrrolidine-2-carboxylic acid moiety.

    PubMed

    Yan, Jianwei; Wang, Gaihong; Dang, Xiangyu; Guo, Binbin; Chen, Wuhong; Wang, Ting; Zeng, Limin; Wang, Heyao; Hu, Youhong

    2017-09-01

    A series of diacylglycerol O-acyltransferase 1 (DGAT-1) inhibitors with a picolinoylpyrrolidine-2-carboxylic acid moiety were designed and synthesized. Of these compounds, compound 22 exhibited excellent DGAT-1-inhibitory activity (hDGAT-1 enzyme assay, 50% inhibitory concentration [IC 50 ]=3.5±0.9nM) and effectively reduced the intracellular triglyceride contents in 3T3-L1, HepG2 and Caco-2 cells. A preliminary study of the plasma and tissue distributions of compound 22 in mice revealed low plasma exposure and high concentrations in different segments of the intestine and liver, which may facilitate targeting DGAT-1. Furthermore, in an acute lipid challenge test, compound 22 showed a dose-dependent inhibitory effect on high-serum triglycerides in C57/KSJ mice induced by olive oil (1, 3, and 10mg/kg, i.g.). Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Behavior of W-SiC/SiC dual layer tiles under LHD plasma exposure

    NASA Astrophysics Data System (ADS)

    Mohrez, Waleed A.; Kishimoto, Hirotatsu; Kohno, Yutaka; Hirotaki, S.; Kohyama, Akira

    2013-11-01

    Towards the early realization of fusion power reactors, high performance first wall and plasma facing components (PFCs) are essentially required. As one of the biggest challenges for this, high heat flux component (HHFC) design and R & D has been emphasized. This report provides the high performance HHFC materials R & D status and the first plasma exposure test result from large helical device (LHD). W-SiC/SiC dual layer tiles (hereafter, W-SiC/SiC) were developed by applied NITE process. This is the realistic concept of tungsten armor with ceramic composite substrates for fusion power reactors. The dual layer tiles were fabricated and tested their survival under the LHD divertor plasma exposure (Nominally 10 MW/m2 maximum heat load for 6 s operation cycle). The microstructure evolution, including crack and pore formation, was analyzed, besides the behavior of bonding layer between tungsten and SiC/SiC was evaluated by C-scanning images of ultrasonic method and Electron probe Micro-analyzer (EPMA). Thermal analysis was conducted by finite element method, where ANSYS code release 13.0 was used.

  20. Using Lymphocyte and Plasma Hsp70 as Biomarkers for Assessing Coke Oven Exposure among Steel Workers

    PubMed Central

    Yang, Xiaobo; Zheng, Jinping; Bai, Yun; Tian, Fengjie; Yuan, Jing; Sun, Jianya; Liang, Huashan; Guo, Liang; Tan, Hao; Chen, Weihong; Tanguay, Robert M.; Wu, Tangchun

    2007-01-01

    Background Hsp70, an early-response protein induced when organisms are confronted with simple or complicated environmental stresses, can act as either a cellular protector or a danger signal. Objectives The goal of this study was to evaluate levels of lymphocyte and/or plasma Hsp70 as biomarkers for assessing exposure response to complex coke oven emissions (COEs). Methods We recruited 101 coke oven workers and determined levels of polycyclic aromatic hydrocarbon (PAH) exposure, urinary 1-hydroxypyrene (1-OHP), genotoxic damage by comet assay and micronuclei test, and other markers of damage, including plasma malondialdehyde (MDA) and lactate dehydrogenase (LDH). These were compared to levels of lymphocyte (intra-cellular) and plasma (extracellular) Hsp70 using Western blots and enzyme-linked immunosorbent assays (ELISA), respectively. Results We observed a COEs-related dose-dependent increase in levels of DNA damage, micronuclei rate, MDA concentration, and LDH activity. Lymphocyte Hsp70 levels increased in the intermediate-exposure group (1.39 ± 0.88) but decreased in the high-exposure group (1.10 ± 0.55), compared with the low-exposure group. In contrast, plasma Hsp70 levels progressively increased as the dose of exposure increased. Negative correlations were seen between lymphocyte Hsp70 levels and olive tail moment and LDH activity in the intermediate- and high-exposure groups. However, we observed positive correlations between plasma Hsp70 levels and LDH activity in the low and intermediate groups. Conclusions In workers exposed to COEs, high lymphocyte Hsp70 levels may provide protection and high plasma Hsp70 levels may serve as a danger marker. Larger validation studies are needed to establish the utility of Hsp70 as a response marker. PMID:18007987

  1. Copper Bioaccumulation and Depuration in Common Carp (Cyprinus carpio) Following Co-exposure to TiO2 and CuO Nanoparticles.

    PubMed

    Mansouri, Borhan; Maleki, Afshin; Johari, Seyed Ali; Shahmoradi, Behzad; Mohammadi, Ebrahim; Shahsavari, Siros; Davari, Behroz

    2016-11-01

    Metal oxide nanoparticles (NPs), such as TiO 2 and CuO, are widely applied in an increasing number of products and applications, and therefore their release to the aquatic ecosystems is unavoidable. However, little is known about joint toxicity of different NPs on tissues of aquatic organisms, such as fish. This study was conducted to assess the uptake and depuration of Cu following exposure to CuO NPs in the presence of TiO 2 NPs in the liver, intestine, muscle, and gill of common carp, Cyprinus carpio. Carps with a mean total length of 23 ± 1.5 cm and mean weight of 13 ± 1.3 g were divided into 6 groups of 15 each (1 control group) and exposed to TiO 2 NPs, CuO NPs, and a mixture of TiO 2 and CuO NPs for periods of 20 days for uptake and 10 days for depuration. The determination of total Cu concentration was carried out by an ICP-OES. The order of Cu uptake in different tissues of the carps was liver > gill > muscle > intestine in both levels of CuO NPs alone; results showed that the total Cu concentrations in the presence of TiO 2 nanoparticles were increased and were in the sequence of liver > gill > intestine > muscle. In depuration period, Cu concentrations were decreased in all treatments in the sequence of gill > intestine > muscle > liver. Uptake of Cu in different tissues of common carp increased with increasing concentration and time and was tissues- and time-dependent. In conclusion, this study suggested that the uptake of Cu in the tissues of common carp increased in the joint presence of TiO 2 NPs.

  2. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  3. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  4. Nrf2 protects against oxidative stress induced by SiO2 nanoparticles.

    PubMed

    Liu, Wei; Hu, Tao; Zhou, Li; Wu, Desheng; Huang, Xinfeng; Ren, Xiaohu; Lv, Yuan; Hong, Wenxu; Huang, Guanqin; Lin, Zequn; Liu, Jianjun

    2017-10-01

    The aim of our study was to explore the role of nuclear factor erythroid 2 (NF-E2)-related factor 2 (Nrf2) on the exposure of SiO 2 nanoparticles (NPs) and its influence. To understand the mechanism of NP-induced oxidative stress, the involvement of oxidative-stress-responding transcription factors and the Nrf2/antioxidant reactive element (ARE) signaling pathway in the toxicity of SiO 2 NPs' exposure was investigated via in vivo and in vitro models. A549 cells showed a significant cytotoxic effect while A549-shNrf2 cells showed decreased cell viability after nm-SiO 2 exposure. SiO 2 NPs' exposure activated the Nrf2/ARE signaling pathway. Nrf2 -/- exposed mice showed increased reactive oxygen species, 8-hydroxyl deoxyguanosine level and decreased total antioxidant capacity. Nrf2/ARE signaling pathway activation disrupted, leading inhibition of heme oxygenase-1 and upregulation of PKR-like endoplasmic-reticulum-regulated kinase. Our findings suggested that Nrf2 could protect against oxidative stress induced by SiO 2 NPs, and the Nrf2/ARE pathway might be involved in mild-to-moderate SiO 2 NP-induced oxidative stress that was evident from dampened activity of Nrf2.

  5. Spatial structure of radio frequency ring-shaped magnetized discharge sputtering plasma using two facing ZnO/Al2O3 cylindrical targets for Al-doped ZnO thin film preparation

    NASA Astrophysics Data System (ADS)

    Sumiyama, Takashi; Fukumoto, Takaya; Ohtsu, Yasunori; Tabaru, Tatsuo

    2017-05-01

    Spatial structure of high-density radio frequency ring-shaped magnetized discharge plasma sputtering with two facing ZnO/Al2O3 cylindrical targets mounted in ring-shaped hollow cathode has been measured and Al-doped ZnO (AZO) thin film is deposited without substrate heating. The plasma density has a peak at ring-shaped hollow trench near the cathode. The radial profile becomes uniform with increasing the distance from the target cathode. A low ion current flowing to the substrate of 0.19 mA/cm2 is attained. Large area AZO films with a resistivity of 4.1 - 6.7×10-4 Ω cm can be prepared at a substrate room temperature. The transmittance is 84.5 % in a visible region. The surface roughnesses of AZO films are 0.86, 0.68, 0.64, 1.7 nm at radial positions of r = 0, 15, 30, 40 mm, respectively, while diffraction peak of AZO films is 34.26°. The grains exhibit a preferential orientation along (002) axis.

  6. A cost- and time-saving strategy of spraying TiO2 self-cleaning coatings in tubular substrates by air cold plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Lujie; Yu, Shuang; Wang, Kaile; Zhang, Jue; Fang, Jing

    2017-11-01

    In this study, using an atmospheric pressure air plasma jet generated by a dielectric barrier structure with hollow electrodes (HEDBS), we developed an ultrafast process for spraying TiO2 self-cleaning films inside tubular substrates. Importantly, SEM images showed that the TiO2 particles were dispersed evenly in the tubular substrates. Furthermore, Raman and XRD pattern indicated the anatase structure of the HEDBS-spayed TiO2 coating after heating at 270 °C. Further results of the self cleaning test suggested that the proposed cost- and time-saving HEDBS approach with air working gas could provide a feasible way for synthesizing thin TiO2 nanofilms.

  7. Langmuir Probe Measurements of Inductively Coupled Plasma in CF4/AR/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i)), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad lip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  8. Langmuir Probe Measurements of Inductively Coupled Plasmas in CF4/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF (radio frequency) power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad dip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  9. Paroxetine decreased plasma exposure of glyburide partly via inhibiting intestinal absorption in rats.

    PubMed

    Jiang, Shuwen; Zhao, Weiman; Chen, Yang; Zhong, Zeyu; Zhang, Mian; Li, Feng; Xu, Ping; Zhao, Kaijing; Li, Ying; Liu, Li; Liu, Xiaodong

    2015-06-01

    Accumulating evidences have shown that diabetes is often accompanied with depression, thus it is possible that oral antidiabetic agent glyburide and antidepressive agent paroxetine are co-administered in diabetic patients. The aim of this study was to assess interactions between glyburide and paroxetine in rats. Effect of paroxetine on pharmacokinetics of orally administered glyburide was investigated. Effect of naringin (NAR), an inhibitor of rat intestinal organic anion transporting polypeptides 1a5 (Oatp1a5), on pharmacokinetics of glyburide was also studied. The results showed that co-administration of paroxetine markedly reduced plasma exposure and prolonged Tmax of glyburide, accompanied by significant increase in fecal excretion of glyburide. Co-administration of naringin also significantly decreased plasma exposure of glyburide. Data from intestinal perfusion experiments showed that both paroxetine and naringin significantly inhibited intestinal absorption of glyburide. Caco-2 cells were used to investigate whether paroxetine and naringin affected intestinal transport of glyburide and fexofenadine (a substrate of Oatp1a5). The results showed that both paroxetine and naringin greatly inhibited absorption of glyburide and fexofenadine. All results gave a conclusion that co-administration of paroxetine decreased plasma exposure of glyburide in rats via inhibiting intestinal absorption of glyburide, which may partly be attributed to the inhibition of intestinal Oatp1a5 activity. Copyright © 2015 The Japanese Society for the Study of Xenobiotics. Published by Elsevier Ltd. All rights reserved.

  10. Effect of growth parameters on crystallinity and properties of ZnO films grown by plasma assisted MOCVD

    NASA Astrophysics Data System (ADS)

    Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.

    2007-07-01

    Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.

  11. Interaction of SO2 and CO with the Ti2O3(101¯2) surface

    NASA Astrophysics Data System (ADS)

    Smith, Kevin E.; Henrich, Victor E.

    1985-10-01

    The interaction of sulfur dioxide with the nearly perfect (101¯2) surface of the corundum transition-metal oxide Ti2O3 has been studied using ultraviolet and x-ray photoemission spectroscopies and low-energy electron diffraction. The reaction of SO2 with Ti2O3 is found to be extremely vigorous, with SO2 adsorbing dissociatively and catalyzing the complete oxidation of the surface to TiO2 and TiS2. This result is significant since exposure to large amounts of O2 does not result in the production of large amounts of TiO2 at the Ti2O3 surface. Dissociative adsorption of SO2 continues for exposures up to at least 104 L (1 L=10-6Torr sec). The reaction is accompanied by large scale surface disorder and by an increase in the work function of 1.32 eV. In contrast, CO adsorbs molecularly for exposures >=105 L, with an extramolecular relaxation-polarization shift of 3.0 eV. For CO exposures <=104 L, the chemisorption mechanism is tentatively identified as dissociative adsorption at defect sites. Inclusive of this study, the interaction of four oxygen-containing molecules (SO2, CO, H2O, and O2) with Ti2O3(101¯2) surfaces has been studied, and their behavior is compared and trends isolated with a view to understanding the oxidation of Ti2O3.

  12. Ionization instability induced striations in low frequency and pulsed He/H2O atmospheric pressure plasmas

    NASA Astrophysics Data System (ADS)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J.

    2018-01-01

    In previous work [Kawamura et al., Plasma Sources Sci. Technol. 25, 054009 (2016)] and [Kawamura et al., J. Phys. D: Appl. Phys. 50, 145204 (2017)], 1D kinetic particle-in-cell (PIC) simulations of narrow gap (1 to 4 mm), high frequency (27 MHz) or dc-driven, He/2%H2O atmospheric pressure plasmas (APPs) showed an ionization instability resulting in standing striations (spatial oscillations) in the bulk plasma. We developed a steady-state striation theory which showed that the striations are due to non-local electron kinetics. In both the high frequency and dc-driven cases, the equilibrium electron density n0 in the plasma bulk was stationary. In this work, we first conduct 1D PIC simulations of a 1 mm gap He/2%H2O APP, driven by a sinusoidal current at a low frequency of f = 50 kHz such that ω = 2πf is well below the ionization frequency νiz. In this case, n0 varies with time, and we observe a time-varying instability which quasistatically depends on n0(t). At each phase of the rf cycle, the discharge resembles a dc discharge at the same n0. At higher frequencies (200 kHz-1 MHz), ω approaches νiz, and quasistatic equilibrium at each phase breaks down. The discharge is also driven with a 200 kHz, 50% duty cycle square wave pulse with a short rise and fall time of 0.1 μs in an attempt to directly measure the striation growth rate s during the on-cycle before it saturated. However, the spike in current during the rise time leads to a spike in electron temperature Te and hence νiz and s at the beginning of the rise which saturated during the beginning of the on-cycle. To predict the instability growth rate and saturation during and after the current spike, we extend our striation theory to include time-varying n0, Te, νiz, as well as terms for the nonlinear saturation and noise floor of the striation amplitude. The time-varying global model predictions are compared to the PIC simulations, showing reasonable agreement.

  13. Conditions for the formation of various surface-plasma states upon quasi-steady-state exposure to CO2 laser radiation

    NASA Astrophysics Data System (ADS)

    Danshchikov, E. V.; Dymshakov, V. A.; Lebedev, F. V.; Riazanov, A. V.

    1985-09-01

    Experiments were carried out to study the conditions for the formation of an erosion flame in a target vapor on the surface of various metals during quasi-steady-state exposure to CO2 laser radiation. The duration of the CO2 laser pulses was 1 ms. The composition of the metal target specimens and the locations of the focusing spots are given in a table, together with the ambient gas pressures. The formation of an optical discharge in the ambient gas near the surface of the metal target specimens is described in detail. Some fundamental relationships between the laser parameters and the plasma characteristics of the different metal specimens are discussed on the basis of the experimental data.

  14. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  15. Simultaneous population pharmacokinetic modelling of plasma and intracellular PBMC miltefosine concentrations in New World cutaneous leishmaniasis and exploration of exposure-response relationships.

    PubMed

    Kip, Anke E; Castro, María Del Mar; Gomez, Maria Adelaida; Cossio, Alexandra; Schellens, Jan H M; Beijnen, Jos H; Saravia, Nancy Gore; Dorlo, Thomas P C

    2018-05-10

    Leishmania parasites reside within macrophages and the direct target of antileishmanial drugs is therefore intracellular. We aimed to characterize the intracellular PBMC miltefosine kinetics by developing a population pharmacokinetic (PK) model simultaneously describing plasma and intracellular PBMC pharmacokinetics. Furthermore, we explored exposure-response relationships and simulated alternative dosing regimens. A population PK model was developed with NONMEM, based on 339 plasma and 194 PBMC miltefosine concentrations from Colombian cutaneous leishmaniasis patients [29 children (2-12 years old) and 22 adults] receiving 1.8-2.5 mg/kg/day miltefosine for 28 days. A three-compartment model with miltefosine distribution into an intracellular PBMC effect compartment best fitted the data. Intracellular PBMC distribution was described with an intracellular-to-plasma concentration ratio of 2.17 [relative standard error (RSE) 4.9%] and intracellular distribution rate constant of 1.23 day-1 (RSE 14%). In exploring exposure-response relationships, both plasma and intracellular model-based exposure estimates significantly influenced probability of cure. A proposed PK target for the area under the plasma concentration-time curve (day 0-28) of >535 mg·day/L corresponded to >95% probability of cure. In linear dosing simulations, 18.3% of children compared with 2.8% of adults failed to reach 535 mg·day/L. In children, this decreased to 1.8% after allometric dosing simulation. The developed population PK model described the rate and extent of miltefosine distribution from plasma into PBMCs. Miltefosine exposure was significantly related to probability of cure in this cutaneous leishmaniasis patient population. We propose an exploratory PK target, which should be validated in a larger cohort study.

  16. Highly vibrationally excited O2 molecules in low-pressure inductively-coupled plasmas detected by high sensitivity ultra-broad-band optical absorption spectroscopy

    NASA Astrophysics Data System (ADS)

    Foucher, Mickaël; Marinov, Daniil; Carbone, Emile; Chabert, Pascal; Booth, Jean-Paul

    2015-08-01

    Inductively-coupled plasmas in pure O2 (at pressures of 5-80 mTorr and radiofrequency power up to 500 W) were studied by optical absorption spectroscopy over the spectral range 200-450 nm, showing the presence of highly vibrationally excited O2 molecules (up to vʺ = 18) by Schumann-Runge band absorption. Analysis of the relative band intensities indicates a vibrational temperature up to 10,000 K, but these hot molecules only represent a fraction of the total O2 density. By analysing the (11-0) band at higher spectral resolution the O2 rotational temperature was also determined, and was found to increase with both pressure and power, reaching 900 K at 80 mTorr 500 W. These measurements were achieved using a new high-sensitivity ultra-broad-band absorption spectroscopy setup, based on a laser-plasma light source, achromatic optics and an aberration-corrected spectrograph. This setup allows the measurement of weak broadband absorbances due to a baseline variability lower than 2   ×   10-5 across a spectral range of 250 nm.

  17. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  18. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  19. ZnO, TiO(2), SiO(2,) and Al(2)O(3) nanoparticles-induced toxic effects on human fetal lung fibroblasts.

    PubMed

    Zhang, Xiao Qiang; Yin, Li Hong; Tang, Meng; Pu, Yue Pu

    2011-12-01

    This study aims to investigate and compare the toxic effects of four types of metal oxide (ZnO, TiO(2), SiO(2,) and Al(2)O(3)) nanoparticles with similar primary size (∼20 nm) on human fetal lung fibroblasts (HFL1) in vitro. The HFL1 cells were exposed to the nanoparticles, and toxic effects were analyzed by using MTT assay, cellular morphology observation and Hoechst 33 258 staining. The results show that the four types of metal oxide nanoparticles lead to cellular mitochondrial dysfunction, morphological modifications and apoptosis at the concentration range of 0.25-1.50 mg/mL and the toxic effects are obviously displayed in dose-dependent manner. ZnO is the most toxic nanomaterials followed by TiO(2), SiO(2), and Al(2)O(3) nanoparticles in a descending order. The results highlight the differential cytotoxicity associated with exposure to ZnO, TiO(2), SiO(2), and Al(2)O(3) nanoparticles, and suggest an extreme attention to safety utilization of these nanomaterials. Copyright © 2011 The Editorial Board of Biomedical and Environmental Sciences. Published by Elsevier B.V. All rights reserved.

  20. Control of multidrug-resistant planktonic Acinetobacter baumannii: biocidal efficacy study by atmospheric-pressure air plasma

    NASA Astrophysics Data System (ADS)

    Zhe, RUAN; Yajun, GUO; Jing, GAO; Chunjun, YANG; Yan, LAN; Jie, SHEN; Zimu, XU; Cheng, CHENG; Xinghao, LIU; Shumei, ZHANG; Wenhui, DU; Paul, K. CHU

    2018-04-01

    In this research, an atmospheric-pressure air plasma is used to inactivate the multidrug-resistant Acinetobacter baumannii in liquid. The efficacy of the air plasma on bacterial deactivation and the cytobiological variations after the plasma treatment are investigated. According to colony forming units, nearly all the bacteria (6-log) are inactivated after 10 min of air plasma treatment. However, 7% of the bacteria enter a viable but non-culturable state detected by the resazurin based assay during the same period of plasma exposure. Meanwhile, 86% of the bacteria lose their membrane integrity in the light of SYTO 9/PI staining assay. The morphological changes in the cells are examined by scanning electron microscopy and bacteria with morphological changes are rare after plasma exposure in the liquid. The concentrations of the long-living RS, such as H2O2, {{{{NO}}}3}-, and O3, in liquid induced by plasma treatment are measured, and they increase with plasma treatment time. The changes of the intracellular ROS may be related to cell death, which may be attributed to oxidative stress and other damage effects induced by RS plasma generated in liquid. The rapid and effective bacteria inactivation may stem from the RS in the liquid generated by plasma and air plasmas may become a valuable therapy in the treatment of infected wounds.

  1. The Analysis of 2-amino-2-thiazoline-4-carboxylic Acid in the Plasma of Smokers and Non-Smokers

    DTIC Science & Technology

    2009-01-01

    Yamanaka, S., Takaku, S., Takaesu, Y., and Nishimura, M. 1991. Validity of salivary thiocyanate as an indicator of cyanide exposure from smoking . Bull. Tokyo Dental Call. 32:157- 163. ...Concentrations of AKA in the plasma of smoking and non- smoking human volunteers were analyzed using gas- chromatography mass-spectrometry to establish the...feasibility of using AKA as a marker for cyanide exposure. The levels of AKA in plasma of smoking volunteers. 17.2 ng/ml. were found to be significantly

  2. Is plasma {beta}-glucuronidase a novel human biomarker for monitoring anticholinesterase pesticides exposure? A Malaysian experience

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Inayat-Hussain, Salmaan H.; Lubis, Syarif Husin; Sakian, Noor Ibrahim Mohamed

    A cross-sectional study was conducted to investigate the effects of acute and chronic pesticide exposure on the plasma {beta}-glucuronidase enzyme activity among five patients of acute pesticide poisoning in Tengku Ampuan Rahimah Hospital, Klang, 230 farmers in the MADA area, Kedah and 49 fishermen in Setiu, Terengganu. The duration of pesticide exposure among the patients was unknown, but the plasma samples from patients were collected on day one in the hospital. The duration of pesticide exposure among the farmers was between 1 and 45 years. The {beta}-glucuronidase activity was compared with plasma cholinesterase activity in the same individual. The plasmamore » cholinesterase activity was measured using Cholinesterase (PTC) Reagent set kit (Teco Diagnostics, UK) based on colorimetric method, while the plasma {beta}-glucuronidase activity was measured fluorometrically based on {beta}-glucuronidase assay. The plasma cholinesterase activity was significantly reduced (p < 0.05) among the patients (1386.786 {+-} 791.291 U/L/min) but the inhibition in plasma cholinesterase activity among the farmers (7346.5 {+-} 1860.786 U/L/min) was not significant (p > 0.05). The plasma {beta}-glucuronidase activity among the farmers was significantly elevated (p < 0.05) (0.737 {+-} 0.425 {mu}M/h) but not significant among the patients (p > 0.05). The plasma cholinesterase activity was positively correlated with the plasma {beta}-glucuronidase activity among the farmers (r = 0.205, p < 0.01) but not among the patients (r = 0.79, p > 0.05). Thus, plasma {beta}-glucuronidase enzyme activity can be measured as a biomarker for the chronic exposure of pesticide. However, further studies need to be performed to confirm whether plasma {beta}-glucuronidase can be a sensitive biomarker for anticholinesterase pesticide poisoning.« less

  3. New ZrO2/Al2O3 Nanocomposite Fabricated from Hybrid Nanoparticles Prepared by CO2 Laser Co-Vaporization

    PubMed Central

    Bartolomé, José F.; Smirnov, Anton; Kurland, Heinz-Dieter; Grabow, Janet; Müller, Frank A.

    2016-01-01

    Alumina toughened zirconia (ATZ) and zirconia toughened alumina (ZTA) are currently the materials of choice to meet the need for tough, strong, and bioinert ceramics for medical devices. However, the mechanical properties of ZrO2/Al2O3 dispersion ceramics could be considerably increased by reducing the corresponding grain sizes and by improving the homogeneity of the phase dispersion. Here, we prepare nanoparticles with an intraparticular phase distribution of Zr(1−x)AlxO(2−x/2) and (γ-, δ-)Al2O3 by the simultaneous gas phase condensation of laser co-vaporized zirconia and alumina raw powders. During subsequent spark plasma sintering the zirconia defect structures and transition alumina phases transform to a homogeneously distributed dispersion of tetragonal ZrO2 (52.4 vol%) and α-Al2O3 (47.6 vol%). Ceramics sintered by spark plasma sintering are completely dense with average grain sizes in the range around 250 nm. Outstanding mechanical properties (flexural strength σf = 1500 MPa, fracture toughness KIc = 6.8 MPa m1/2) together with a high resistance against low temperature degradation make these materials promising candidates for next generation bioceramics in total hip replacements and for dental implants. PMID:26846310

  4. New ZrO2/Al2O3 Nanocomposite Fabricated from Hybrid Nanoparticles Prepared by CO2 Laser Co-Vaporization

    NASA Astrophysics Data System (ADS)

    Bartolomé, José F.; Smirnov, Anton; Kurland, Heinz-Dieter; Grabow, Janet; Müller, Frank A.

    2016-02-01

    Alumina toughened zirconia (ATZ) and zirconia toughened alumina (ZTA) are currently the materials of choice to meet the need for tough, strong, and bioinert ceramics for medical devices. However, the mechanical properties of ZrO2/Al2O3 dispersion ceramics could be considerably increased by reducing the corresponding grain sizes and by improving the homogeneity of the phase dispersion. Here, we prepare nanoparticles with an intraparticular phase distribution of Zr(1-x)AlxO(2-x/2) and (γ-, δ-)Al2O3 by the simultaneous gas phase condensation of laser co-vaporized zirconia and alumina raw powders. During subsequent spark plasma sintering the zirconia defect structures and transition alumina phases transform to a homogeneously distributed dispersion of tetragonal ZrO2 (52.4 vol%) and α-Al2O3 (47.6 vol%). Ceramics sintered by spark plasma sintering are completely dense with average grain sizes in the range around 250 nm. Outstanding mechanical properties (flexural strength σf = 1500 MPa, fracture toughness KIc = 6.8 MPa m1/2) together with a high resistance against low temperature degradation make these materials promising candidates for next generation bioceramics in total hip replacements and for dental implants.

  5. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  6. Photocatalytic characteristic and photodegradation kinetics of toluene using N-doped TiO2 modified by radio frequency plasma.

    PubMed

    Shie, Je-Lueng; Lee, Chiu-Hsuan; Chiou, Chyow-San; Chen, Yi-Hung; Chang, Ching-Yuan

    2014-01-01

    This study investigates the feasibility of applications of the plasma surface modification of photocatalysts and the removal of toluene from indoor environments. N-doped TiO2 is prepared by precipitation methods and calcined using a muffle furnace (MF) and modified by radio frequency plasma (RF) at different temperatures with light sources from a visible light lamp (VLL), a white light-emitting diode (WLED) and an ultraviolet light-emitting diode (UVLED). The operation parameters and influential factors are addressed and prepared for characteristic analysis and photo-decomposition examination. Furthermore, related kinetic models are established and used to simulate the experimental data. The characteristic analysis results show that the RF plasma-calcination method enhanced the Brunauer Emmett Teller surface area of the modified photocatalysts effectively. For the elemental analysis, the mass percentages of N for the RF-modified photocatalyst are larger than those of MF by six times. The aerodynamic diameters of the RF-modifiedphotocatalyst are all smaller than those of MF. Photocatalytic decompositions of toluene are elucidated according to the Langmuir-Hinshelwood model. Decomposition efficiencies (eta) of toluene for RF-calcined methods are all higher than those of commercial TiO2 (P25). Reaction kinetics ofphoto-decomposition reactions using RF-calcined methods with WLED are proposed. A comparison of the simulation results with experimental data is also made and indicates good agreement. All the results provide useful information and design specifications. Thus, this study shows the feasibility and potential use of plasma modification via LED in photocatalysis.

  7. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    PubMed

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  8. Interface studies of N2 plasma-treated ZnSnO nanowire transistors using low-frequency noise measurements.

    PubMed

    Kim, Seongmin; Kim, Hwansoo; Janes, David B; Ju, Sanghyun

    2013-08-02

    Due to the large surface-to-volume ratio of nanowires, the quality of nanowire-insulator interfaces as well as the nanowire surface characteristics significantly influence the electrical characteristics of nanowire transistors (NWTs). To improve the electrical characteristics by doping or post-processing, it is important to evaluate the interface characteristics and stability of NWTs. In this study, we have synthesized ZnSnO (ZTO) nanowires using the chemical vapor deposition method, characterized the composition of ZTO nanowires using x-ray photoelectron spectroscopy, and fabricated ZTO NWTs. We have characterized the current-voltage characteristics and low-frequency noise of ZTO NWTs in order to investigate the effects of interface states on subthreshold slope (SS) and the noise before and after N2 plasma treatments. The as-fabricated device exhibited a SS of 0.29 V/dec and Hooge parameter of ~1.20 × 10(-2). Upon N2 plasma treatment with N2 gas flow rate of 40 sccm (20 sccm), the SS improved to 0.12 V/dec (0.21 V/dec) and the Hooge parameter decreased to ~4.99 × 10(-3) (8.14 × 10(-3)). The interface trap densities inferred from both SS and low-frequency noise decrease upon plasma treatment, with the highest flow rate yielding the smallest trap density. These results demonstrate that the N2 plasma treatment decreases the interface trap states and defects on ZTO nanowires, thereby enabling the fabrication of high-quality nanowire interfaces.

  9. Influence of O2 or H2O in a plasma jet and its environment on plasma electrical and biochemical performances

    NASA Astrophysics Data System (ADS)

    Adhikari, Ek R.; Samara, Vladimir; Ptasinska, Sylwia

    2018-05-01

    Because environmental conditions, such as room temperature and humidity, fluctuate arbitrarily, effects of atmospheric pressure plasma jets (APPJs) used in medical applications operating at various places and time might vary. Therefore, understanding the possible effects of air components in and outside APPJs is essential for clinical use, which requires reproducibility of plasma performance. These air components can influence the formation of reactive species in the APPJ, and the type and amount of these species formed depend on the feed gas inside the APPJ and the plasma jet environment. In this study, we monitored changes in plasma current and power, as well as in the level of DNA damage attributable to plasma irradiation, by adjusting the fraction of oxygen and water vapor in the plasma jet environment and feed gas. Here, DNA was used as a molecular probe to identify chemical changes that occurred in the plasma jet under these various environmental conditions. The damaged and undamaged fractions of DNA were quantified using agarose gel electrophoresis. We obtained an optimal amount of oxygen or water vapor in the plasma jet environment, as well as in the feed gas, which increased the level of DNA damage significantly. This increase can be attributed primarily to the formation of reactive species caused by water and oxygen decomposition in the APPJ detected with mass spectrometry. Moreover, we observed that the plasma power remained the same or decreased when gas was added to the jet environment or the feed gas, respectively, but in both cases, DNA damage increased. This indicates the superiority of plasma chemistry over the electrical power applied for APPJ ignition of the plasma sources used in medical applications.

  10. Surface-wave-sustained plasma torch for water treatment

    NASA Astrophysics Data System (ADS)

    Marinova, P.; Benova, E.; Todorova, Y.; Topalova, Y.; Yotinov, I.; Atanasova, M.; Krcma, F.

    2018-02-01

    In this study the effects of water treatment by surface-wave-sustained plasma torch at 2.45 GHz are studied. Changes in two directions are obtained: (i) changes of the plasma characteristics during the interaction with the water; (ii) water physical and chemical characteristics modification as a result of the plasma treatment. In addition, deactivation of Gram positive and Gram negative bacteria in suspension are registered. A number of charged and excited particles from the plasma interact with the water. As a result the water chemical and physical characteristics such as the water conductivity, pH, H2O2 concentration are modified. It is observed that the effect depends on the treatment time, wave power, and volume of the treated liquid. At specific discharge conditions determined by the wave power, gas flow, discharge tube radius, thickness and permittivity, the surface-wave-sustained discharge (SWD) operating at atmospheric pressure in argon is strongly non-equilibrium with electron temperature T e much higher than the temperature of the heavy particles (gas temperature T g). It has been observed that SWD argon plasma with T g close to the room temperature is able to produce H2O2 in the water with high efficiency at short exposure times (less than 60 sec). The H2O2 decomposition is strongly dependant on the temperature thus the low operating gas temperature is crucial for the H2O2 production efficiency. After scaling up the device, the observed effects can be applied for the waste water treatment in different facilities. The innovation will be useful especially for the treatment of waters and materials for medical application.

  11. Variation of energy absorption and exposure buildup factors with incident photon energy and penetration depth for boro-tellurite (B2O3-TeO2) glasses

    NASA Astrophysics Data System (ADS)

    Sayyed, M. I.; Elhouichet, H.

    2017-01-01

    The gamma ray energy absorption (EABF) and exposure buildup factors (EBF) of (100-x)TeO2-xB2O3 glass systems (where x=5, 10, 15, 20, 22.5 and 25 mol%) have been calculated in the energy region 0.015-15 MeV up to a penetration depth of 40 mfp (mean free path). The five parameters (G-P) fitting method has been used to estimate both EABF and EBF values. Variations of EABF and EBF with incident photon energy and penetration depth have been studied. It was found that EABF and EBF values were higher in the intermediate energy region, for all the glass systems. Furthermore, boro-tellurite glass with 5 mol% B2O3, was found to present the lowest EABF and EBF values, hence it is superior gamma-ray shielding material. The results indicate that the boro-tellurite glasses can be used as radiation shielding materials.

  12. Association Between Arsenic Exposure From Drinking Water and Plasma Levels of Cardiovascular Markers

    PubMed Central

    Wu, Fen; Jasmine, Farzana; Kibriya, Muhammad G.; Liu, Mengling; Wójcik, Oktawia; Parvez, Faruque; Rahaman, Ronald; Roy, Shantanu; Paul-Brutus, Rachelle; Segers, Stephanie; Slavkovich, Vesna; Islam, Tariqul; Levy, Diane; Mey, Jacob L.; van Geen, Alexander; Graziano, Joseph H.; Ahsan, Habibul; Chen, Yu

    2012-01-01

    The authors conducted a cross-sectional study to assess the relation between arsenic exposure from drinking water and plasma levels of markers of systemic inflammation and endothelial dysfunction (matrix metalloproteinase-9, myeloperoxidase, plasminogen activator inhibitor-1, soluble E-selectin, soluble intercellular adhesion molecule-1 (ICAM-1), and soluble vascular adhesion molecule-1 (VCAM-1)) using baseline data from 668 participants (age, >30 years) in the Health Effects of Arsenic Longitudinal Study in Bangladesh (2007–2008). Both well water arsenic and urinary arsenic were positively associated with plasma levels of soluble VCAM-1. For every 1-unit increase in log-transformed well water arsenic (ln μg/L) and urinary arsenic (ln μg/g creatinine), plasma soluble VCAM-1 was 1.02 (95% confidence interval: 1.01, 1.03) and 1.04 (95% confidence interval: 1.01, 1.07) times greater, respectively. There was a significant interaction between arsenic exposure and higher body mass index, such that the increased levels of plasminogen activator inhibitor-1 and soluble VCAM-1 associated with arsenic exposure were stronger among people with higher body mass index. The findings indicate an effect of chronic arsenic exposure from drinking water on vascular inflammation and endothelial dysfunction that could be modified by body mass index and also suggest a potential mechanism underlying the association between arsenic exposure and cardiovascular disease. PMID:22534204

  13. Association between arsenic exposure from drinking water and plasma levels of cardiovascular markers.

    PubMed

    Wu, Fen; Jasmine, Farzana; Kibriya, Muhammad G; Liu, Mengling; Wójcik, Oktawia; Parvez, Faruque; Rahaman, Ronald; Roy, Shantanu; Paul-Brutus, Rachelle; Segers, Stephanie; Slavkovich, Vesna; Islam, Tariqul; Levy, Diane; Mey, Jacob L; van Geen, Alexander; Graziano, Joseph H; Ahsan, Habibul; Chen, Yu

    2012-06-15

    The authors conducted a cross-sectional study to assess the relation between arsenic exposure from drinking water and plasma levels of markers of systemic inflammation and endothelial dysfunction (matrix metalloproteinase-9, myeloperoxidase, plasminogen activator inhibitor-1, soluble E-selectin, soluble intercellular adhesion molecule-1 (ICAM-1), and soluble vascular adhesion molecule-1 (VCAM-1)) using baseline data from 668 participants (age, >30 years) in the Health Effects of Arsenic Longitudinal Study in Bangladesh (2007-2008). Both well water arsenic and urinary arsenic were positively associated with plasma levels of soluble VCAM-1. For every 1-unit increase in log-transformed well water arsenic (ln μg/L) and urinary arsenic (ln μg/g creatinine), plasma soluble VCAM-1 was 1.02 (95% confidence interval: 1.01, 1.03) and 1.04 (95% confidence interval: 1.01, 1.07) times greater, respectively. There was a significant interaction between arsenic exposure and higher body mass index, such that the increased levels of plasminogen activator inhibitor-1 and soluble VCAM-1 associated with arsenic exposure were stronger among people with higher body mass index. The findings indicate an effect of chronic arsenic exposure from drinking water on vascular inflammation and endothelial dysfunction that could be modified by body mass index and also suggest a potential mechanism underlying the association between arsenic exposure and cardiovascular disease.

  14. Ionizing radiation effects on electrical and reliability characteristics of sputtered Ta2O5/Si interface

    NASA Astrophysics Data System (ADS)

    Rao, Ashwath; Verma, Ankita; Singh, B. R.

    2015-06-01

    This paper describes the effect of ionizing radiation on the interface properties of Al/Ta2O5/Si metal oxide semiconductor (MOS) capacitors using capacitance-voltage (C-V) and current-voltage (I-V) characteristics. The devices were irradiated with X-rays at different doses ranging from 100 rad to 1 Mrad. The leakage behavior, which is an important parameter for memory applications of Al/Ta2O5/Si MOS capacitors, along with interface properties such as effective oxide charges and interface trap density with and without irradiation has been investigated. Lower accumulation capacitance and shift in flat band voltage toward negative value were observed in annealed devices after exposure to radiation. The increase in interfacial oxide layer thickness after irradiation was confirmed by Rutherford Back Scattering measurement. The effect of post-deposition annealing on the electrical behavior of Ta2O5 MOS capacitors was also investigated. Improved electrical and interface properties were obtained for samples deposited in N2 ambient. The density of interface trap states (Dit) at Ta2O5/Si interface sputtered in pure argon ambient was higher compared to samples reactively sputtered in nitrogen-containing plasma. Our results show that reactive sputtering in nitrogen-containing plasma is a promising approach to improve the radiation hardness of Ta2O5/Si MOS devices.

  15. Effects of Acute Exposure to Increased Plasma Branched-Chain Amino Acid Concentrations on Insulin-Mediated Plasma Glucose Turnover in Healthy Young Subjects

    PubMed Central

    Everman, Sarah; Mandarino, Lawrence J.; Carroll, Chad C.; Katsanos, Christos S.

    2015-01-01

    Background Plasma branched-chain amino acids (BCAA) are inversely related to insulin sensitivity of glucose metabolism in humans. However, currently, it is not known whether there is a cause-and-effect relationship between increased plasma BCAA concentrations and decreased insulin sensitivity. Objective To determine the effects of acute exposure to increased plasma BCAA concentrations on insulin-mediated plasma glucose turnover in humans. Methods Ten healthy subjects were randomly assigned to an experiment where insulin was infused at 40 mU/m2/min (40U) during the second half of a 6-hour intravenous infusion of a BCAA mixture (i.e., BCAA; N = 5) to stimulate plasma glucose turnover or under the same conditions without BCAA infusion (Control; N = 5). In a separate experiment, seven healthy subjects were randomly assigned to receive insulin infusion at 80 mU/m2/min (80U) in association with the above BCAA infusion (N = 4) or under the same conditions without BCAA infusion (N = 3). Plasma glucose turnover was measured prior to and during insulin infusion. Results Insulin infusion completely suppressed the endogenous glucose production (EGP) across all groups. The percent suppression of EGP was not different between Control and BCAA in either the 40U or 80U experiments (P > 0.05). Insulin infusion stimulated whole-body glucose disposal rate (GDR) across all groups. However, the increase (%) in GDR was not different [median (1st quartile – 3rd quartile)] between Control and BCAA in either the 40U ([199 (167–278) vs. 186 (94–308)] or 80 U ([491 (414–548) vs. 478 (409–857)] experiments (P > 0.05). Likewise, insulin stimulated the glucose metabolic clearance in all experiments (P < 0.05) with no differences between Control and BCAA in either of the experiments (P > 0.05). Conclusion Short-term exposure of young healthy subjects to increased plasma BCAA concentrations does not alter the insulin sensitivity of glucose metabolism. PMID:25781654

  16. Effects of acute exposure to increased plasma branched-chain amino acid concentrations on insulin-mediated plasma glucose turnover in healthy young subjects.

    PubMed

    Everman, Sarah; Mandarino, Lawrence J; Carroll, Chad C; Katsanos, Christos S

    2015-01-01

    Plasma branched-chain amino acids (BCAA) are inversely related to insulin sensitivity of glucose metabolism in humans. However, currently, it is not known whether there is a cause-and-effect relationship between increased plasma BCAA concentrations and decreased insulin sensitivity. To determine the effects of acute exposure to increased plasma BCAA concentrations on insulin-mediated plasma glucose turnover in humans. Ten healthy subjects were randomly assigned to an experiment where insulin was infused at 40 mU/m2/min (40U) during the second half of a 6-hour intravenous infusion of a BCAA mixture (i.e., BCAA; N = 5) to stimulate plasma glucose turnover or under the same conditions without BCAA infusion (Control; N = 5). In a separate experiment, seven healthy subjects were randomly assigned to receive insulin infusion at 80 mU/m2/min (80U) in association with the above BCAA infusion (N = 4) or under the same conditions without BCAA infusion (N = 3). Plasma glucose turnover was measured prior to and during insulin infusion. Insulin infusion completely suppressed the endogenous glucose production (EGP) across all groups. The percent suppression of EGP was not different between Control and BCAA in either the 40U or 80U experiments (P > 0.05). Insulin infusion stimulated whole-body glucose disposal rate (GDR) across all groups. However, the increase (%) in GDR was not different [median (1st quartile - 3rd quartile)] between Control and BCAA in either the 40U ([199 (167-278) vs. 186 (94-308)] or 80 U ([491 (414-548) vs. 478 (409-857)] experiments (P > 0.05). Likewise, insulin stimulated the glucose metabolic clearance in all experiments (P < 0.05) with no differences between Control and BCAA in either of the experiments (P > 0.05). Short-term exposure of young healthy subjects to increased plasma BCAA concentrations does not alter the insulin sensitivity of glucose metabolism.

  17. Physical Simulation of a Prolonged Plasma-Plume Exposure of a Space Debris Object

    NASA Astrophysics Data System (ADS)

    Shuvalov, V. A.; Gorev, N. B.; Tokmak, N. A.; Kochubei, G. S.

    2018-05-01

    A methodology has been developed for the physical (laboratory) simulation of the prolonged exposure of a space debris object to high-energy ions of a plasma plume for removing the object into low-Earth orbit with its subsequent burning in the Earth's atmosphere. The methodology is based on the equivalence criteria of two modes of exposure (in the Earth's ionosphere and in the setup) and the procedure for accelerated resource tests in terms of the sputtering of the space debris material and its deceleration by a plasma jet in the Earth's ionosphere.

  18. Chlorobenzene degeradation by non-thermal plasma combined with EG-TiO2/ZnO as a photocatalyst: Effect of photocatalyst on CO2 selectivity and byproducts reduction.

    PubMed

    Ghorbani Shahna, Farshid; Bahrami, Abdulrahman; Alimohammadi, Iraj; Yarahmadi, Rassuol; Jaleh, Babak; Gandomi, Mastaneh; Ebrahimi, Hossein; Ad-Din Abedi, Kamal

    2017-02-15

    The non-thermal plasma (NTP) technique, which suffers from low selectivity in complete oxidation of volatile organic compounds to CO 2 and H 2 O, creates unwanted and harmful byproducts. NTP in concert with photocatalyst can resolve this limitation due to additional oxidation. TiO 2 and ZnO nanoparticles were coated on the surface of the expanded graphite and placed downstream of the NTP reactor under UV light. In this study, to compare the performance of NTP and the combined system, chlorobenzene removal, selectivity of CO 2 and byproducts formation were investigated. The results showed that the combined system enhanced both the removal efficiency and CO 2 selectivity. The output gas of the NTP reactor contained chlorobenzene, phosgene, O 3 , NO, NO 2 , CO, CO 2 , HCL and CL. The bulk of these byproducts was oxidized on the surface of the nanocomposite; as a result, the content of the byproducts in the output gas of the combined system decreased dramatically. The removal efficiency and CO 2 selectivity increased by rising the applied voltage and residence time because the collision between active species and pollutant molecules increases. Based on these results, the combined system is preferred due to a higher performance and lower formation of harmful byproducts. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. Effects of TiO2 and Co3O4 Nanoparticles on Circulating Angiogenic Cells

    PubMed Central

    Spigoni, Valentina; Cito, Monia; Alinovi, Rossella; Pinelli, Silvana; Passeri, Giovanni; Zavaroni, Ivana; Goldoni, Matteo; Campanini, Marco; Aliatis, Irene; Mutti, Antonio

    2015-01-01

    Background and Aim Sparse evidence suggests a possible link between exposure to airborne nanoparticles (NPs) and cardiovascular (CV) risk, perhaps through mechanisms involving oxidative stress and inflammation. We assessed the effects of TiO2 and Co3O4 NPs in human circulating angiogenic cells (CACs), which take part in vascular endothelium repair/replacement. Methods CACs were isolated from healthy donors’ buffy coats after culturing lymphomonocytes on fibronectin-coated dishes in endothelial medium for 7 days. CACs were pre-incubated with increasing concentration of TiO2 and Co3O4 (from 1 to 100 μg/ml) to test the effects of NP – characterized by Transmission Electron Microscopy – on CAC viability, apoptosis (caspase 3/7 activation), function (fibronectin adhesion assay), oxidative stress and inflammatory cytokine gene expression. Results Neither oxidative stress nor cell death were associated with exposure to TiO2 NP (except at the highest concentration tested), which, however, induced a higher pro-inflammatory effect compared to Co3O4 NPs (p<0.01). Exposure to Co3O4 NPs significantly reduced cell viability (p<0.01) and increased caspase activity (p<0.01), lipid peroxidation end-products (p<0.05) and pro-inflammatory cytokine gene expression (p<0.05 or lower). Notably, CAC functional activity was impaired after exposure to both TiO2 (p<0.05 or lower) and Co3O4 (p<0.01) NPs. Conclusions In vitro exposure to TiO2 and Co3O4 NPs exerts detrimental effects on CAC viability and function, possibly mediated by accelerated apoptosis, increased oxidant stress (Co3O4 NPs only) and enhancement of inflammatory pathways (both TiO2 and Co3O4 NPs). Such adverse effects may be relevant for a potential role of exposure to TiO2 and Co3O4 NPs in enhancing CV risk in humans. PMID:25803285

  20. Radiation stability of SiO2 micro- and nanopowders under electron and proton exposure

    NASA Astrophysics Data System (ADS)

    Li, Chundong; Mikhailov, M. M.; Neshchimenko, V. V.

    2014-01-01

    The effects of proton and electron (E = 100 keV, F = 5 × 1015 сm-2) exposure on the reflective spectra of SiO2 micro- and nanopowders in wavelength range from 250 to 2500 nm have been investigated. It has been established that the reflectance and radiation stability of nanopowders is less than that of micropowders. This effect is caused by the high concentration of radiation defects, which act as surface absorption centers (Es‧ centers) near the energies 5.47 and 4.45 eV, and peroxide silicon defects (tbnd Sisbnd Osbnd Osbnd Sitbnd) near the energy 3.84 eV.

  1. In vitro evaluation of bioactivity of SiO2-CaO-P2O5-Na2O-CaF2-ZnO glass-ceramics

    NASA Astrophysics Data System (ADS)

    Riaz, Madeeha; Zia, Rehana; Saleemi, Farhat; Bashir, Farooq; Hossain, Tousif; Kayani, Zohra

    2014-09-01

    Zinc is an essential trace element that stimulates bone formation but it is also known as an inhibitor of apatite crystal growth. In this work addition of ZnO to SiO2-CaO-P2O5-Na2O-CaF2 glass-ceramic system was made by conventional melt-quenching technique. DSC curves showed that the addition of ZnO moved the endothermic and exothermic peaks to lower temperatures. X-ray diffraction analysis did not reveal any additional phase caused by ZnO addition and showed the presence of wollastonite and hydroxyapatite crystalline phases only in all the glass-ceramic samples. As bio-implant apatite forming ability is an essential condition, the surface reactivity of the prepared glass-ceramic specimens was studied in vitro in Kokubo's simulated body fluid (SBF) [1] with ion concentration nearly equal to human blood plasma for 30 days at 37 °C under static condition. Atomic absorption spectroscopy (AAS) was used to study the changes in element concentrations in soaking solutions and XRD, FT-IR and SEM were used to elucidate surface properties of prepared glass-ceramics, which confirmed the formation of HCAp on the surface of all glass-ceramics. It was found that the addition of ZnO had a positive effect on bioactivity of glass-ceramics and made it a potential candidate for restoration of damaged bones.

  2. Use of Cold Atmospheric Plasma to Detoxify Hazelnuts from Aflatoxins

    PubMed Central

    Siciliano, Ilenia; Spadaro, Davide; Prelle, Ambra; Vallauri, Dario; Cavallero, Maria Chiara; Garibaldi, Angelo; Gullino, Maria Lodovica

    2016-01-01

    Aflatoxins, produced by Aspergillus flavus and A. parasiticus, can contaminate different foodstuffs, such as nuts. Cold atmospheric pressure plasma has the potential to be used for mycotoxin detoxification. In this study, the operating parameters of cold atmospheric pressure plasma were optimized to reduce the presence of aflatoxins on dehulled hazelnuts. First, the effect of different gases was tested (N2, 0.1% O2 and 1% O2, 21% O2), then power (400, 700, 1000, 1150 W) and exposure time (1, 2, 4, and 12 min) were optimized. In preliminary tests on aflatoxin standard solutions, this method allowed to obtain a complete detoxification using a high power for a few minutes. On hazelnuts, in similar conditions (1000 W, 12 min), a reduction in the concentration of total aflatoxins and AFB1 of over 70% was obtained. Aflatoxins B1 and G1 were more sensitive to plasma treatments compared to aflatoxins B2 and G2, respectively. Under plasma treatment, aflatoxin B1 was more sensitive compared to aflatoxin G1. At the highest power, and for the longest time, the maximum temperature increment was 28.9 °C. Cold atmospheric plasma has the potential to be a promising method for aflatoxin detoxification on food, because it is effective and it could help to maintain the organoleptic characteristics. PMID:27128939

  3. The growth and in situ characterization of chemical vapor deposited SiO2

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Chang, R. R.; Lile, D. L.

    1987-01-01

    This paper reports the results of studies of the kinetics of remote (indirect) plasma enhanced low pressure CVD growth of SiO2 on Si and InP and of the in situ characterization of the electrical surface properties of InP during CVD processing. In the latter case photoluminescence was employed as a convenient and sensitive noninvasive method for characterizing surface trap densities. It was determined that, provided certain precautions are taken, the growth of SiO2 occurs in a reproducible and systematic fashion that can be expressed in an analytic form useful for growth rate prediction. Moreover, the in situ photoluminescence studies have yielded information on sample degradation resulting from heating and chemical exposure during the CVD growth.

  4. Plasma flux-dependent lipid A deactivation

    NASA Astrophysics Data System (ADS)

    Chang, Hung-Wen; Hsu, Cheng-Che; Ahmed, Musahid; Liu, Suet Yi; Fang, Yigang; Seog, Joonil; Oehrlein, Gottlieb S.; Graves, David B.

    2014-06-01

    This paper reports the influence of gas plasma flux on endotoxin lipid A film deactivation. To study the effect of the flux magnitude of reactive species, a modified low-pressure inductively coupled plasma (ICP) with O radical flux ˜1016 cm-2 s-1 was used. After ICP exposures, it was observed that while the Fourier transform infrared absorbance of fatty chains responsible for the toxicity drops by 80% through the film, no obvious film endotoxin deactivation is seen. This is in contrast to that previously observed under low flux exposure conducted in a vacuum beam system: near-surface only loss of fatty chains led to significant film deactivation. Secondary ion mass spectrometry characterization of changes at the film surface did not appear to correlate with the degree of deactivation. Lipid A films need to be nearly completely removed in order to detect significant deactivation under high flux conditions. Additional high reactive species flux experiments were conducted using an atmospheric pressure helium plasma jet and a UV/ozone device. Exposure of lipid A films to reactive species with these devices showed similar deactivation behaviour. The causes for the difference between low and high flux exposures may be due to the nature of near-surface structural modifications as a function of the rate of film removal.

  5. [Effect of SiO2 nanoparticles exposure on microRNA expression level in human bronchial epithelial cells].

    PubMed

    Yang, Yarui; He, Yun; Gong, Chunmei; Zhou, Jichang; Zhu, Yumei; Mo, Junluan

    2016-03-01

    To investigate the effect of short and long term exposure to SiO2 nanoparticles on microRNA expression level in human bronchial epithelial cells(16HBE cells). The 16HBE cells were exposed to 5, 10, 15, 20, 25, 30 and 40 μg/ml SiO2 nanoparticles for 24 h to detect the cell viability by using CCK-8 assay. The inhibition rate of proliferation activity and half inhibitory concentration (IC50) were calculated. The 16HBE cells were exposed to 10 μg/ml SiO2 nanoparticles for 10 and 30 generations, named P10 and P30, and the control P0 was set. The cells were treated with SiO2 nanoparticles at 0, 1/4 IC50, 1/2 IC50 and IC50 concentration and μm-SiO2 at IC50 concentration for 24 h, and the control serum-free culture medium was set. Agilent miRNAs microarray chip was used to screen differentially expressed miRNAs in P10, P30 and P0 groups. The expression level of miRNA was detected by reverse transcription fluorescence quantitative polymerase chain reaction (qRT-PCR). The inhibition rate of proliferation activity of 5, 10, 15, 20, 25,30,40 μg/ml group were (-3.33 ± 3.80)%, (20.40 ± 11.73)%, (39.08 ± 5.53)%, (55.10 ± 5.78)%, (66.42 ± 9.60)%, (71.67 ± 7.34)%, (81.43 ± 5.37)%, respectively; F=129.11, P<0.001. The IC50 (95%CI) was 18.35 (15.82-20.72) μg/ml. The expression level of miRNA-494-3p in P0, P10 and P30 were 1.00, 0.45 ± 0.08, 0.28 ± 0.07, respectively; F=60.77, P<0.001. miRNA-19a-3p were 1.00, 2.27 ± 0.45, 1.06 ± 0.19, respectively; F=30.05, P<0.001. miRNA-148b-3p were 1.00, 1.78 ± 0.29, 0.88 ± 0.19, respectively; F=30.23, P<0.001. Compared to control group, the expression level of miRNA-494-3p in 5, 10, 20 μg/ml SiO2 nanoparticles groups and 20 μg/ml μm-SiO2 group were 0.99 ± 0.04, 1.38 ± 0.19, 2.13 ± 0.14, 0.81 ± 0.25, respectively; F=57.03, P<0.001. miRNA-19a-3p were 0.91 ± 0.03, 1.12 ± 0.03, 0.53 ± 0.01, 0.86 ± 0.01, respectively; F=408.78, P<0.001. miRNA-148b-3p were 0.95 ± 0.02, 1.22 ± 0.00, 0.54 ± 0.02, 1.15 ± 0.04 respectively

  6. Premature mortality in India due to PM2.5 and ozone exposure

    NASA Astrophysics Data System (ADS)

    Ghude, Sachin D.; Chate, D. M.; Jena, C.; Beig, G.; Kumar, R.; Barth, M. C.; Pfister, G. G.; Fadnavis, S.; Pithani, Prakash

    2016-05-01

    This bottom-up modeling study, supported by new population census 2011 data, simulates ozone (O3) and fine particulate matter (PM2.5) exposure on local to regional scales. It quantifies, present-day premature mortalities associated with the exposure to near-surface PM2.5 and O3 concentrations in India using a regional chemistry model. We estimate that PM2.5 exposure leads to about 570,000 (CI95: 320,000-730,000) premature mortalities in 2011. On a national scale, our estimate of mortality by chronic obstructive pulmonary disease (COPD) due to O3 exposure is about 12,000 people. The Indo-Gangetic region accounts for a large part (~42%) of the estimated mortalities. The associated lost life expectancy is calculated as 3.4 ± 1.1 years for all of India with highest values found for Delhi (6.3 ± 2.2 years). The economic cost of estimated premature mortalities associated with PM2.5 and O3 exposure is about 640 (350-800) billion USD in 2011, which is a factor of 10 higher than total expenditure on health by public and private expenditure.

  7. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  8. Insights on the High-Temperature Operational Limits of ZrO2-Y2O3 TBCs Manufactured via Air Plasma Spray

    NASA Astrophysics Data System (ADS)

    Lima, Rogerio S.; Marple, Basil R.

    2017-03-01

    The effective high-temperature operation limit of a ZrO2-7-8 wt.%Y2O3 (YSZ) thermal barrier coating (TBC) manufactured via air plasma spray (APS) is considered to be 1300 °C. This is related to the metastable tetragonal t'-phase formed during the rapid quenching of the YSZ particles during spraying. The t'-phase transforms into the equilibrium tetragonal and cubic phases at temperatures ≥ 1300 °C, which can lead to the formation of the monoclinic phase of YSZ upon cooling to room temperature. This formation of the monoclinic phase is accompanied by a volume expansion that leads to TBC failure due to extensive micro-cracking. To further investigate this limitation, an APS YSZ TBC was sprayed on a CMSX-4 substrate. By using a thermal (laser) gradient cyclic testing, a temperature gradient was generated across the TBC/substrate system. The YSZ T- front and substrate backside T- back temperature levels were 1500 and 1000 °C, respectively. In cycle conditions (5-min or 1-h hot and 2-min cool), no TBC failure has been observed. This behavior was partially attributed to the unexpected absence of the monoclinic phase of the YSZ in the cycled coatings. Although preliminary, these results are promising regarding increasing the effective high-temperature operational limits of APS YSZ TBCs.

  9. Spectroscopy Study of Ar + CO2 Plasmas in ASTRAL.

    NASA Astrophysics Data System (ADS)

    Munoz, Jorge; Boivin, Robert; Kamar, Ola; Loch, Stuart; Ballance, Connor

    2006-10-01

    A spectroscopy study of the ASTRAL (Auburn Steady sTate Research fAciLity) helicon plasma source running Ar + CO2 gas mix is presented. ASTRAL produces Ar plasmas: ne = 10^10 to 10^13 cm-3, Te = 2 to 10 eV and Ti = 0.03 to 0.5 eV. A series of 7 large coils produce an axial magnetic field up to 1.3 kGauss. A fractional helix antenna is used to introduce rf power up to 2 kWatt. A spectrometer which features a 0.33 m Criss-Cross monochromator and a CCD camera is used for this study. Very different plasmas are produced following the relative importance of CO2 in the gas mixture. At low CO2 concentration, the plasmas are similar to those obtained with pure Ar with weak CO2, CO2^+, CO and CO^+ bands. The usual blue plasma core associated with intense Ar II transitions is observed with however a significant white glow coming from the outer plasma regions. At higher CO2 concentration, the plasma becomes essentially molecular and can be described as an intense white plasma column. Molecular dissociative processes associated with the production of strong C and O atomic lines are observed under specific plasma conditions. The atomic spectral lines are compared with ADAS modeling results. This study indicates the possible advantages of using a helicon source to control the CO2 plasma chemistry for industrial applications.

  10. A comparative study for the inactivation of multidrug resistance bacteria using dielectric barrier discharge and nano-second pulsed plasma

    PubMed Central

    Hoon Park, Ji; Kumar, Naresh; Hoon Park, Dae; Yusupov, Maksudbek; Neyts, Erik C.; Verlackt, Christof C. W.; Bogaerts, Annemie; Ho Kang, Min; Sup Uhm, Han; Ha Choi, Eun; Attri, Pankaj

    2015-01-01

    Bacteria can be inactivated through various physical and chemical means, and these have always been the focus of extensive research. To further improve the methodology for these ends, two types of plasma systems were investigated: nano-second pulsed plasma (NPP) as liquid discharge plasma and an Argon gas-feeding dielectric barrier discharge (Ar-DBD) as a form of surface plasma. To understand the sterilizing action of these two different plasma sources, we performed experiments with Staphylococcus aureus (S. aureus) bacteria (wild type) and multidrug resistant bacteria (Penicillum-resistant, Methicillin-resistant and Gentamicin-resistant). We observed that both plasma sources can inactivate both the wild type and multidrug-resistant bacteria to a good extent. Moreover, we observed a change in the surface morphology, gene expression and β-lactamase activity. Furthermore, we used X-ray photoelectron spectroscopy to investigate the variation in functional groups (C-H/C-C, C-OH and C=O) of the peptidoglycan (PG) resulting from exposure to plasma species. To obtain atomic scale insight in the plasma-cell interactions and support our experimental observations, we have performed molecular dynamics simulations to study the effects of plasma species, such as OH, H2O2, O, O3, as well as O2 and H2O, on the dissociation/formation of above mentioned functional groups in PG. PMID:26351132

  11. Microdischarge Sources of O2(singlet Delta)

    DTIC Science & Technology

    2006-07-15

    A two-dimensional model of the MCSD has been developed which includes the details of the Ar/O2 plasma chemistry and yields a self-consistent...the details of the plasma chemistry in oxygen mixtures must be taken into account to predict correctly the plasma conductivity. This must be done

  12. Maternal blood, plasma, and breast milk lead: lactational transfer and contribution to infant exposure.

    PubMed

    Ettinger, Adrienne S; Roy, Ananya; Amarasiriwardena, Chitra J; Smith, Donald; Lupoli, Nicola; Mercado-García, Adriana; Lamadrid-Figueroa, Hector; Tellez-Rojo, Martha Maria; Hu, Howard; Hernández-Avila, Mauricio

    2014-01-01

    Human milk is a potential source of lead exposure. Yet lactational transfer of lead from maternal blood into breast milk and its contribution to infant lead burden remains poorly understood. We explored the dose-response relationships between maternal blood, plasma, and breast milk to better understand lactational transfer of lead from blood and plasma into milk and, ultimately, to the breastfeeding infant. We measured lead in 81 maternal blood, plasma, and breast milk samples at 1 month postpartum and in 60 infant blood samples at 3 months of age. Milk-to-plasma (M/P) lead ratios were calculated. Multivariate linear, piecewise, and generalized additive models were used to examine dose-response relationships between blood, plasma, and milk lead levels. Maternal lead levels (mean±SD) were as follows: blood: 7.7±4.0 μg/dL; plasma: 0.1±0.1 μg/L; milk: 0.8±0.7 μg/L. The average M/P lead ratio was 7.7 (range, 0.6-39.8) with 97% of the ratios being >1. The dose-response relationship between plasma lead and M/P ratio was nonlinear (empirical distribution function=6.5, p=0.0006) with the M/P ratio decreasing by 16.6 and 0.6 per 0.1 μg/L of plasma lead, respectively, below and above 0.1 μg/L plasma lead. Infant blood lead level (3.4±2.2 μg/dL) increased by 1.8 μg/dL per 1 μg/L milk lead (p<0.0001, R2=0.3). The M/P ratio for lead in humans is substantially higher than previously reported, and transfer of lead from plasma to milk may be higher at lower levels of plasma lead. Breast milk is an important determinant of lead burden among breastfeeding infants.

  13. Exposure to acute severe hypoxia leads to increased urea loss and disruptions in acid-base and ionoregulatory balance in dogfish sharks (Squalus acanthias).

    PubMed

    Zimmer, Alex M; Wood, Chris M

    2014-01-01

    The effects of acute moderate (20% air O2 saturation; 6-h exposure) and severe (5% air O2 saturation; 4-h exposure) hypoxia on N-waste, acid-base, and ion balance in dogfish sharks (Squalus acanthias suckleyi) were evaluated. We predicted that the synthesis and/or retention of urea, which are active processes, would be inhibited by hypoxia. Exposure to moderate hypoxia had negligible effects on N-waste fluxes or systemic physiology, except for a modest rise in plasma lactate. Exposure to severe hypoxia led to a significant increase in urea excretion (Jurea), while plasma, liver, and muscle urea concentrations were unchanged, suggesting a loss of urea retention. Ammonia excretion (Jamm) was elevated during normoxic recovery. Moreover, severe hypoxia led to disruptions in acid-base balance, indicated by a large increase in plasma [lactate] and substantial decreases in arterial pHa and plasma [Formula: see text], as well as loss of ionic homeostasis, indicated by increases in plasma [Mg(2+)], [Ca(2+)], and [Na(+)]. We suggest that severe hypoxia in dogfish sharks leads to a reduction in active gill homeostatic processes, such as urea retention, acid-base regulation and ionoregulation, and/or an osmoregulatory compromise due to increased functional gill surface area. Overall, the results provide a comprehensive picture of the physiological responses to a severe degree of hypoxia in an ancient fish species.

  14. Realization of write-once-read-many-times memory device with O{sub 2} plasma-treated indium gallium zinc oxide thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.

    2014-01-20

    A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying amore » voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.« less

  15. Role of Y2O3, CaO, MgO additives on structural and microstructural behavior of zirconia/mullite aggregates

    NASA Astrophysics Data System (ADS)

    Mishra, D. K.; Prusty, Sasmita; Mohapatra, B. K.; Singh, S. K.; Behera, S. N.

    2012-07-01

    Zirconia mullite (MUZ), Y2O3-MUZ, CaO-MUZ and MgO-MUZ composites, synthesized through plasma fusion technique, are becoming important due to their commercial scale of production within five minutes of plasma treatment from sillimanite, zircon and alumina mixture. The X-ray diffraction studies reveal the monoclinic zirconia phase in MUZ composite whereas mixed monoclinic, tetragonal and cubic phases of zirconia have been observed in Y2O3, CaO, MgO added MUZ composites. The Y2O3, CaO and MgO additives act as sintering aids to favour the transformation and stabilisation of tetragonal and cubic zirconia phases at room temperature. These additives also play a key role in the development of various forms of microstructure to achieve dense MUZ composites.

  16. Quantification of Al2O3 nanoparticles in human cell lines applying inductively coupled plasma mass spectrometry (neb-ICP-MS, LA-ICP-MS) and flow cytometry-based methods

    NASA Astrophysics Data System (ADS)

    Böhme, Steffi; Stärk, Hans-Joachim; Meißner, Tobias; Springer, Armin; Reemtsma, Thorsten; Kühnel, Dana; Busch, Wibke

    2014-09-01

    In order to quantify and compare the uptake of aluminum oxide nanoparticles of three different sizes into two human cell lines (skin keratinocytes (HaCaT) and lung epithelial cells (A549)), three analytical methods were applied: digestion followed by nebulization inductively coupled plasma mass spectrometry (neb-ICP-MS), direct laser ablation ICP-MS (LA-ICP-MS), and flow cytometry. Light and electron microscopy revealed an accumulation and agglomeration of all particle types within the cell cytoplasm, whereas no particles were detected in the cell nuclei. The internalized Al2O3 particles exerted no toxicity in the two cell lines after 24 h of exposure. The smallest particles with a primary particle size ( x BET) of 14 nm (Alu1) showed the lowest sedimentation velocity within the cell culture media, but were calculated to have settled completely after 20 h. Alu2 ( x BET = 111 nm) and Alu3 ( x BET = 750 nm) were calculated to reach the cell surface after 7 h and 3 min, respectively. The internal concentrations determined with the different methods lay in a comparable range of 2-8 µg Al2O3/cm2 cell layer, indicating the suitability of all methods to quantify the nanoparticle uptake. Nevertheless, particle size limitations of analytical methods using optical devices were demonstrated for LA-ICP-MS and flow cytometry. Furthermore, the consideration and comparison of particle properties as parameters for particle internalization revealed the particle size and the exposure concentration as determining factors for particle uptake.

  17. Effects of O 2 and N 2/H 2 plasma treatments on the neuronal cell growth on single-walled carbon nanotube paper scaffolds

    NASA Astrophysics Data System (ADS)

    Yoon, Ok Ja; Lee, Hyun Jung; Jang, Yeong Mi; Kim, Hyun Woo; Lee, Won Bok; Kim, Sung Su; Lee, Nae-Eung

    2011-08-01

    The O 2 and N 2/H 2 plasma treatments of single-walled carbon nanotube (SWCNT) papers as scaffolds for enhanced neuronal cell growth were conducted to functionalize their surfaces with different functional groups and to roughen their surfaces. To evaluate the effects of the surface roughness and functionalization modifications of the SWCNT papers, we investigated the neuronal morphology, mitochondrial membrane potential, and acetylcholine/acetylcholinesterase levels of human neuroblastoma during SH-SY5Y cell growth on the treated SWCNT papers. Our results demonstrated that the plasma-chemical functionalization caused changes in the surface charge states with functional groups with negative and positive charges and then the increased surface roughness enhanced neuronal cell adhesion, mitochondrial membrane potential, and the level of neurotransmitter in vitro. The cell adhesion and mitochondrial membrane potential on the negatively charged SWCNT papers were improved more than on the positively charged SWCNT papers. Also, measurements of the neurotransmitter level showed an enhanced acetylcholine level on the negatively charged SWCNT papers compared to the positively charged SWCNT papers.

  18. Abundance of Plasma Antioxidant Proteins Confers Tolerance to Acute Hypobaric Hypoxia Exposure

    PubMed Central

    Padhy, Gayatri; Sethy, Niroj Kumar; Ganju, Lilly

    2013-01-01

    Abstract Padhy, Gayatri, Niroj Kumar Sethy, Lilly Ganju, and Kalpana Bhargava. Abundance of plasma antioxidant proteins confers tolerance to acute hypobaric hypoxia exposure. High Alt Med Biol 14:289–297, 2013—Systematic identification of molecular signatures for hypobaric hypoxia can aid in better understanding of human adaptation to high altitude. In an attempt to identify proteins promoting hypoxia tolerance during acute exposure to high altitude, we screened and identified hypoxia tolerant and susceptible rats based on hyperventilation time to a simulated altitude of 32,000 ft (9754 m). The hypoxia tolerance was further validated by estimating 8-isoprotane levels and protein carbonyls, which revealed that hypoxia tolerant rats possessed significant lower plasma levels as compared to susceptible rats. We used a comparative plasma proteome profiling approach using 2-dimensional gel electrophoresis (2-DGE) combined with MALDI TOF/TOF for both groups, along with an hypoxic control group. This resulted in the identification of 19 differentially expressed proteins. Seven proteins (TTR, GPx-3, PON1, Rab-3D, CLC11, CRP, and Hp) were upregulated in hypoxia tolerant rats, while apolipoprotein A-I (APOA1) was upregulated in hypoxia susceptible rats. We further confirmed the consistent higher expression levels of three antioxidant proteins (PON1, TTR, and GPx-3) in hypoxia-tolerant animals using ELISA and immunoblotting. Collectively, these proteomics-based results highlight the role of antioxidant enzymes in conferring hypoxia tolerance during acute hypobaric hypoxia. The expression of these antioxidant enzymes could be used as putative biomarkers for screening altitude adaptation as well as aiding in better management of altered oxygen pathophysiologies. PMID:24067188

  19. Effects of decadal exposure to interacting elevated CO2 and/or O3 on paper birch (Betula papyrifera) reproduction

    Treesearch

    Joseph N.T. Darbah; Mark E. Kubiske; Neil Nelson; Elina Oksanan; Elina Vapaavuori; David F. Karnosky

    2008-01-01

    We studied the effects of long-term exposure (nine years) of birch (Betula papyrifera) trees to elevated CO2 and/or O3 on reproduction and seedling development at the Aspen FACE (Free-Air Carbon Dioxide Enrichment) site in Rhinelander, WI. We found that elevated CO2 increased both...

  20. Comparative cytotoxicity of Al2O3, CeO2, TiO2 and ZnO nanoparticles to human lung cells.

    PubMed

    Kim, In-Sun; Baek, Miri; Choi, Soo-Jin

    2010-05-01

    The increased applications of nanoparticles in a wide range of industrial fields raise the concern about their potential toxicity to human. The aim of this study was to assess and compare the toxicity of four different oxide nanoparticles (Al2O3, CeO2, TiO2 and ZnO) to human lung epithelial cells, A549 carcinoma cells and L-132 normal cells, in vitro. We focused on the toxicological effects of the present nanoparticles on cell proliferation, cell viability, membrane integrity and oxidative stress. The long-term cytotoxicity of nanoparticles was also evaluated by employing the clonogenic assay. Among four nanoparticles tested, ZnO exhibited the highest cytotoxicity in terms of cell proliferation, cell viability, membrane integrity and colony formation in both cell lines. Al2O3, CeO2 and TiO2 showed little adverse effects on cell proliferation and cell viability. However, TiO2 induced oxidative stress in a concentration- and time-dependent manner. CeO2 caused membrane damage and inhibited colony formation in long-term, but with different degree depending on cell lines. Al2O3 seems to be less toxic than the other nanoparticles even after long time exposure. These results highlight the need for caution during manufacturing process of nanomaterials as well as further investigation on the toxicity mechanism.

  1. Maternal And Neonatal Plasma MicroRNA Biomarkers For Fetal Alcohol Exposure In An Ovine Model

    PubMed Central

    Balaraman, Sridevi; Lunde, E. Raine; Sawant, Onkar; Cudd, Timothy A.; Washburn, Shannon E.; Miranda, Rajesh C.

    2014-01-01

    Background Plasma or circulating miRNAs (cirmiRNAs) have potential diagnostic value as biomarkers for a range of diseases. Based on observations that ethanol altered intracellular miRNAs during development, we tested the hypothesis that plasma miRNAs were biomarkers for maternal alcohol exposure, and for past in utero exposure, in the neonate. Methods Pregnant sheep were exposed to a binge model of ethanol consumption resulting in an average peak blood alcohol content of 243 mg/dl, for a three-trimester equivalent period from gestational day (GD) 4 to GD 132. MiRNA profiles were assessed by quantitative PCR analysis in plasma, erythrocyte and leukocytes obtained from non-pregnant ewes, and plasma from pregnant ewes 24 hours following the last binge ethanol episode, and from newborn lambs, at birth on ~GD 147. Results Pregnant ewe and newborn lamb cirmiRNA profiles were similar to each other and different from non-pregnant female plasma, erythrocyte or leukocyte miRNAs. Significant changes in cirmiRNA profiles were observed in the ethanol-exposed ewe, and at birth, in the in utero, ethanol-exposed lamb. CirmiRNAs including miR-9, -15b, -19b and -20a were sensitive and specific measures of ethanol exposure in both pregnant ewe and newborn lamb. Additionally, ethanol exposure altered guide to passenger strand cirmiRNA ratios in the pregnant ewe, but not in the lamb. Conclusion Shared profiles between pregnant dam and neonate suggest possible maternal-fetal miRNA transfer. CirmiRNAs are biomarkers for alcohol exposure during pregnancy, in both mother and neonate, and may constitute an important shared endocrine biomarker that is vulnerable to the maternal environment. PMID:24588274

  2. Osteoconductive Potential of Barrier NanoSiO2 PLGA Membranes Functionalized by Plasma Enhanced Chemical Vapour Deposition

    PubMed Central

    Terriza, Antonia; Vilches-Pérez, Jose I.; de la Orden, Emilio; Yubero, Francisco; Gonzalez-Caballero, Juan L.; González-Elipe, Agustin R.; Vilches, José; Salido, Mercedes

    2014-01-01

    The possibility of tailoring membrane surfaces with osteoconductive potential, in particular in biodegradable devices, to create modified biomaterials that stimulate osteoblast response should make them more suitable for clinical use, hopefully enhancing bone regeneration. Bioactive inorganic materials, such as silica, have been suggested to improve the bioactivity of synthetic biopolymers. An in vitro study on HOB human osteoblasts was performed to assess biocompatibility and bioactivity of SiO2 functionalized poly(lactide-co-glycolide) (PLGA) membranes, prior to clinical use. A 15 nm SiO2 layer was deposited by plasma enhanced chemical vapour deposition (PECVD), onto a resorbable PLGA membrane. Samples were characterized by X-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and infrared spectroscopy (FT-IR). HOB cells were seeded on sterilized test surfaces where cell morphology, spreading, actin cytoskeletal organization, and focal adhesion expression were assessed. As proved by the FT-IR analysis of samples, the deposition by PECVD of the SiO2 onto the PLGA membrane did not alter the composition and other characteristics of the organic membrane. A temporal and spatial reorganization of cytoskeleton and focal adhesions and morphological changes in response to SiO2 nanolayer were identified in our model. The novedous SiO2 deposition method is compatible with the standard sterilization protocols and reveals as a valuable tool to increase bioactivity of resorbable PLGA membranes. PMID:24883304

  3. Effects of annealing on the optical, structural, and chemical properties of TiO2 and MgF2 thin films prepared by plasma ion-assisted deposition.

    PubMed

    Woo, Seouk-Hoon; Hwangbo, Chang Kwon

    2006-03-01

    Effects of thermal annealing at 400 degrees C on the optical, structural, and chemical properties of TiO2 single-layer, MgF2 single-layer, and TiO2/MgF2 narrow-bandpass filters deposited by conventional electron-beam evaporation (CE) and plasma ion-assisted deposition (PIAD) were investigated. In the case of TiO2 films, the results show that the annealing of both CE and PIAD TiO2 films increases the refractive index slightly and the extinction coefficient and surface roughness greatly. Annealing decreases the thickness of CE TiO2 films drastically, whereas it does not vary that of PIAD TiO2 films. For PIAD MgF2 films, annealing increases the refractive index and decreases the extinction coefficient drastically. An x-ray photoelectron spectroscopy analysis suggests that an increase in the refractive index and a decrease in the extinction coefficient for PIAD MgF2 films after annealing may be related to the enhanced concentration of MgO in the annealed PIAD MgF2 films and the changes in the chemical bonding states of Mg 2p, F 1s, and O is. It is found that (TiO2/MgF2) multilayer filters, consisting of PIAD TiO2 and CE MgF2 films, are as deposited without microcracks and are also thermally stable after annealing.

  4. Influence of Feedstock Powder Modification by Heat Treatments on the Properties of APS-Sprayed Al2O3-40% TiO2 Coatings

    NASA Astrophysics Data System (ADS)

    Berger, Lutz-Michael; Sempf, Kerstin; Sohn, Yoo Jung; Vaßen, Robert

    2018-04-01

    The formation and decomposition of aluminum titanate (Al2TiO5, tialite) in feedstock powders and coatings of the binary Al2O3-TiO2 system are so far poorly understood. A commercial fused and crushed Al2O3-40%TiO2 powder was selected as the feedstock for the experimental series presented in this paper, as the composition is close to that of Al2TiO5. Part of that powder was heat-treated in air at 1150 and 1500 °C in order to modify the phase composition, while not influencing the particle size distribution and processability. The powders were analyzed by thermal analysis, XRD and FESEM including EDS of metallographically prepared cross sections. Only a maximum content of about 45 wt.% Al2TiO5 was possible to obtain with the heat treatment at 1500 °C due to inhomogeneous distribution of Al and Ti in the original powder. Coatings were prepared by plasma spraying using a TriplexPro-210 (Oerlikon Metco) with Ar-H2 and Ar-He plasma gas mixtures at plasma power levels of 41 and 48 kW. Coatings were studied by XRD, SEM including EDS linescans of metallographically prepared cross sections, and microhardness HV1. With the exception of the powder heat-treated at 1500 °C an Al2TiO5-Ti3O5 (tialite-anosovite) solid solution Al2- x Ti1+ x O5 instead of Al2TiO5 existed in the initial powder and the coatings.

  5. Electrical characterization of the flowing afterglow of N{sub 2} and N{sub 2}/O{sub 2} microwave plasmas at reduced pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Afonso Ferreira, J.; Stafford, L., E-mail: luc.stafford@umontreal.ca; Leonelli, R.

    2014-04-28

    A cylindrical Langmuir probe was used to analyze the spatial distribution of the number density of positive ions and electrons as well as the electron energy distribution function (EEDF) in the flowing afterglow of a 6 Torr N{sub 2} and N{sub 2}/O{sub 2} plasma sustained by a propagating electromagnetic surface wave in the microwave regime. In pure N{sub 2} discharges, ion densities were in the mid 10{sup 14} m{sup −3} in the pink afterglow and in the mid 10{sup 12} m{sup −3} early in the late afterglow. In both pink and late afterglows, the ion population was much higher than the electron population,more » indicating non-macroscopically neutral media. The EEDF was close to a Maxwellian with an electron temperature of 0.5 ± 0.1 eV, except in the pink afterglow where the temperature rose to 1.1 ± 0.2 eV. This latter behavior is ascribed to N{sub 2} vibration-vibration pumping in the pink afterglow that increases the concentration of high N{sub 2} vibrational states and thus rises the electron temperature by vibration-electron collisions. After addition of small amounts of O{sub 2} in the nominally pure N{sub 2} discharge, the charged particles densities and average electron energy first strongly increased and then decreased with increasing O{sub 2} concentration. Based on these data and the evolution of the N{sub 2}{sup +}(B) band emission intensities, it is concluded that a significant change in the positive ion composition of the flowing afterglow occurs, going from N{sub 2}{sup +} in nominally pure N{sub 2} discharges to NO{sup +} after addition of trace amounts of O{sub 2} in N{sub 2}.« less

  6. Blunt Chest Trauma in Mice after Cigarette Smoke-Exposure: Effects of Mechanical Ventilation with 100% O2.

    PubMed

    Wagner, Katja; Gröger, Michael; McCook, Oscar; Scheuerle, Angelika; Asfar, Pierre; Stahl, Bettina; Huber-Lang, Markus; Ignatius, Anita; Jung, Birgit; Duechs, Matthias; Möller, Peter; Georgieff, Michael; Calzia, Enrico; Radermacher, Peter; Wagner, Florian

    2015-01-01

    Cigarette smoking (CS) aggravates post-traumatic acute lung injury and increases ventilator-induced lung injury due to more severe tissue inflammation and apoptosis. Hyper-inflammation after chest trauma is due to the physical damage, the drop in alveolar PO2, and the consecutive hypoxemia and tissue hypoxia. Therefore, we tested the hypotheses that 1) CS exposure prior to blunt chest trauma causes more severe post-traumatic inflammation and thereby aggravates lung injury, and that 2) hyperoxia may attenuate this effect. Immediately after blast wave-induced blunt chest trauma, mice (n=32) with or without 3-4 weeks of CS exposure underwent 4 hours of pressure-controlled, thoraco-pulmonary compliance-titrated, lung-protective mechanical ventilation with air or 100% O2. Hemodynamics, lung mechanics, gas exchange, and acid-base status were measured together with blood and tissue cytokine and chemokine concentrations, heme oxygenase-1 (HO-1), activated caspase-3, and hypoxia-inducible factor 1-α (HIF-1α) expression, nuclear factor-κB (NF-κB) activation, nitrotyrosine formation, purinergic receptor 2X4 (P2XR4) and 2X7 (P2XR7) expression, and histological scoring. CS exposure prior to chest trauma lead to higher pulmonary compliance and lower PaO2 and Horovitz-index, associated with increased tissue IL-18 and blood MCP-1 concentrations, a 2-4-fold higher inflammatory cell infiltration, and more pronounced alveolar membrane thickening. This effect coincided with increased activated caspase-3, nitrotyrosine, P2XR4, and P2XR7 expression, NF-κB activation, and reduced HIF-1α expression. Hyperoxia did not further affect lung mechanics, gas exchange, pulmonary and systemic cytokine and chemokine concentrations, or histological scoring, except for some patchy alveolar edema in CS exposed mice. However, hyperoxia attenuated tissue HIF-1α, nitrotyrosine, P2XR7, and P2XR4 expression, while it increased HO-1 formation in CS exposed mice. Overall, CS exposure aggravated post

  7. Sunlit Io Atmospheric [O I] 6300 A and the Plasma Torus

    NASA Technical Reports Server (NTRS)

    Oliversen, Ronald J.; Scherb, Frank; Smyth, William H.; Freed, Melanie E.; Woodward, R. Carey, Jr.; Marcone, Maximus L.; Retherford, Kurt D.; Lupie, Olivia L.; Morgenthaler, Jeffrey P.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    A large database of sunlit Io [O I] 6300A emission, acquired over the period 1990-1999, with extensive coverage of Io orbital phase angle phi and System III longitude lambda(sub III), exhibits significant long-term and short-term variations in [O I] 6300A emission intensities. The long-term average intensity shows a clear dependence on lambda(sub III), which establishes conclusively that the emission is produced by the interaction between Io's atmosphere and the plasma torus. Two prominent average intensity maxima, 70 deg to 90 deg wide, are centered at lambda(sub III) about 130deg. and about 295 deg. A comparison of data from October 1998 with a three-dimensional plasma torus model, based upon electron impact excitation of atomic oxygen, suggests a basis for study of the torus interaction with Io's atmosphere. The observed short-term, erratic [O I] 6300A intensity variations fluctuate approximately 20% to 50% on time scale of tens of minutes with less frequent fluctuations of a factor of about 2. The most likely candidate to produce these fluctuations is a time-variable energy flux of field-aligned nonthermal electrons identified recently in Galileo PLS data. If true, the short-term [O I] intensity fluctuations may be related to variable field-aligned currents driven by inward and outward torus plasma transport and/or transient high-latitude, field-aligned potential drops. A correlation between the intensity and emission line width indicates molecular dissociation may contribute significantly to the [O I] 6300A emission. The nonthermal electron energy flux may produce O(1-D) by electron impact dissociation of SO2 and SO, with the excess energy going into excitation of O and its kinetic energy. The [O I] 6300A emission database establishes Io as a valuable probe of the torus, responding to local conditions at Io's position.

  8. Morphology-defined interaction of copper phthalocyanine with O 2/H 2O

    DOE PAGES

    Muckley, Eric S.; Miller, Nicholas; Jacobs, Christopher B.; ...

    2016-11-01

    Copper phthalocyanine (CuPc) is an important hole transport layer for organic photovoltaics (OPVs), but its interaction with ambient gas/vapor may lead to changes in electronic properties of the material which subsequently limits the lifetime of OPV devices. CuPc films of thickness 25 nm and 100 nm were grown by thermal sublimation at 25°C, 150°C, and 250°C in order to vary morphology. Using a source-measure unit and a quartz crystal microbalance (QCM), we measured changes in electrical resistance and film mass in situ during exposure to controlled pulses of O 2 and H 2O vapor. Mass loading by O 2 wasmore » enhanced by a factor of 5 in films deposited at 250 C, possibly due to the ~200° C CuPc -> transition which allows higher O 2 mobility between stacked molecules. While gas/vapor sorption occurred over timescales of < 10 minutes, resistance change occurred over timescales > 1 hour, suggesting that mass change occurs by rapid adsorption at active surface sites, whereas resistive response is dominated by slow diffusion of adsorbates into the film bulk. Resistive response generally increases with film deposition temperature due to increased porosity associated with larger crystalline domains. The 25 nm thick films exhibit higher resistive response than 100 nm thick films after an hour of O 2/H 2O exposure due to the smaller analyte diffusion length required for reaching the film/electrode interface. We found evidence of decoupling of CuPc from the gold-coated QCM crystal due to preferential adsorption of O 2/H 2O molecules on gold, which is consistent with findings of other studies.« less

  9. Effects of a two-hour early awakening and of bright light exposure on plasma patterns of cortisol, melatonin, prolactin and testosterone in man.

    PubMed

    Touitou, Y; Benoit, O; Foret, J; Aguirre, A; Bogdan, A; Clodoré, M; Touitou, C

    1992-03-01

    Bright light is a synchronizing agent that entrains human circadian rhythms and modifies various endocrine and neuroendocrine functions. The aim of the present study was to determine whether and how the exposure to a bright light stimulus during the 2 h following a 2 h earlier awakening could modify the disturbance induced by the the sleep deprivation on the plasma patterns of hormones whose secretion is sensitive to light and/or sleep, namely melatonin, prolactin, cortisol and testosterone. Six healthy and synchronized (lights on: 07.00-23.00) male students (22.5 +/- 1.1 years) with normal psychological profiles volunteered for the study in winter. The protocol consisted of a baseline control night (customary sleep schedule) followed by three shortened nights with a rising at 05.00 and a 2 h exposure to either dim light (50 lux; one week) or bright light (2000 lux; other week). Our study showed a phase advance of the circadian rhythm of plasma cortisol without significant modifications of the hormone mean or peak concentration. Plasma melatonin concentration decreased following bright light exposure, whereas no obvious modifications of plasma testosterone or prolactin patterns could be observed in this protocol.

  10. Global metabolomic profiling reveals an association of metal fume exposure and plasma unsaturated fatty acids.

    PubMed

    Wei, Yongyue; Wang, Zhaoxi; Chang, Chiung-yu; Fan, Tianteng; Su, Li; Chen, Feng; Christiani, David C

    2013-01-01

    Welding-associated air pollutants negatively affect the health of exposed workers; however, their molecular mechanisms in causing disease remain largely unclear. Few studies have systematically investigated the systemic toxic effects of welding fumes on humans. To explore the effects of welding fumes on the plasma metabolome, and to identify biomarkers for risk assessment of welding fume exposure. The two-stage, self-controlled exploratory study included 11 boilermakers from a 2011 discovery panel and 8 boilermakers from a 2012 validation panel. Plasma samples were collected pre- and post-welding fume exposure and analyzed by chromatography/mass spectrometry. Eicosapentaenoic or docosapentaenoic acid metabolic changes post-welding were significantly associated with particulate (PM2.5) exposure (p<0.05). The combined analysis by linear mixed-effects model showed that exposure was associated with a statistically significant decline in metabolite change of eicosapentaenoic acid [β(95% CI) = -0.013(-0.022 ≈ -0.004); p = 0.005], docosapentaenoic acid n3 [β(95% CI) = -0.010(-0.018 ≈ -0.002); p = 0.017], and docosapentaenoic acid n6 [β(95% CI) = -0.007(-0.013 ≈ -0.001); p = 0.021]. Pathway analysis identified an association of the unsaturated fatty acid pathway with exposure (p Study-2011 = 0.025; p Study-2012 = 0.021; p Combined = 0.009). The functional network built by these fatty acids and their interactive genes contained significant enrichment of genes associated with various diseases, including neoplasms, cardiovascular diseases, and lipid metabolism disorders. High-dose exposure of metal welding fumes decreases unsaturated fatty acids with an exposure-response relationship. This alteration in fatty acids is a potential biological mediator and biomarker for exposure-related health disorders.

  11. X-ray Photoelectron Spectroscopy Study of Argon-Plasma-Treated Fluoropolymers

    NASA Technical Reports Server (NTRS)

    Golub, Morton A.; Lopata, Eugene S.; Finney, Lorie S.

    1994-01-01

    Films of poly(tetrafluoroethylene) (PTFE) and of a tetrafluoroethylene-perfluoroalkyl vinyl ether (approximately 49:1) copolymer (PFA) were exposed to a radio-frequency argon plasma and then examined by X-ray photoelectron spectroscopy (XPS). The use of fluoropolymer films nearly free of surface hydrocarbon contamination as well as the use of a monochromatized X-ray source for XPS removed two factors contributing to conflicting reports on the effect of exposure time on the fluorine-to-carbon (F/C) and oxygen-to-carbon (O/C) ratios for several Ar-plasma-treated fluoropolymers. Contrary to literature indications, a common pattern was found for PTFE and PFA: a moderate decrease in F/C ratio (from 1.99 to 1.40, and from 1.97 to 1.57, respectively), together with a moderate increase in O/C ratio (from negligible to about 0.10, and from 0.012 to about O.10, respectively) at very short exposures, after which the F/C ratios remained essentially constant on prolonged exposures, while the O/C ratios for PTFE and PFA leveled off at 0.11 and 0.15, respectively. The XPS C(sub 1s), spectra for these polymers exposed to the Ar plasma for 20 min were similar and presented, besides a prominent peak at 292.0 eV (CF2,) and a minor peak at 294.0 or 294.1 eV (CF3), a composite band of four curve-resolved peaks (approximately 285-290 eV) representing various CH, CC, CO, CN, and CF functionalities.

  12. Effects of decadal exposure to interacting elevated CO2 and/or O3 on paper birch (Betula papyrifera) reproduction.

    PubMed

    Darbah, Joseph N T; Kubiske, Mark E; Nelson, Neil; Oksanen, Elina; Vapaavuori, Elina; Karnosky, David F

    2008-10-01

    We studied the effects of long-term exposure (nine years) of birch (Betula papyrifera) trees to elevated CO(2) and/or O(3) on reproduction and seedling development at the Aspen FACE (Free-Air Carbon Dioxide Enrichment) site in Rhinelander, WI. We found that elevated CO(2) increased both the number of trees that flowered and the quantity of flowers (260% increase in male flower production), increased seed weight, germination rate, and seedling vigor. Elevated O(3) also increased flowering but decreased seed weight and germination rate. In the combination treatment (elevated CO(2)+O(3)) seed weight is decreased (20% reduction) while germination rate was unaffected. The evidence from this study indicates that elevated CO(2) may have a largely positive impact on forest tree reproduction and regeneration while elevated O(3) will likely have a negative impact.

  13. Relation of pulmonary responses induced by 6.6-h exposures to 0.08 ppm ozone and 2-h exposures to 0.30 ppm ozone via chamber and face-mask inhalation.

    PubMed

    Adams, William C

    2003-07-01

    While direct comparison of pulmonary responses to 6.6-h exposures to 0.08 to 0.12 ppm ozone O3 have demonstrated that chamber and face-mask inhalation methods yield closely similar results, no comparative study of responses to 2-h intermittent exercise (IE) exposures to higher O3 concentrations have been reported. The present study was designed to achieve three primary objectives: (1) to compare pulmonary function and symptoms effects of a 2-h IE exposure to 0.30 ppm O3 via chamber and via face mask; (2) to compare the pulmonary effects of 6.6-h chamber exposure to 0.08 ppm O3 to those observed in 2-h IE 0.30 ppm O3 exposures via chamber and via face mask; and (3) to examine filtered air (FA) recovery pulmonary and symptoms responses following chamber exposures of 6.6 h to 0.08 ppm O3 and 2 h to 0.30 ppm O3. A simple regression of postexposure percent change in FEV(1.0) for the 2-h IE, 0.30-ppm O3 chamber exposure as a function of postexposure percent change in FEV(1.0) for the 2-h IE, 0.30-ppm O3 exposure with face mask yielded an R(2) of 0.83. Further, a regression of the postexposure FEV(1.0) response to the chamber 6.6-h, 0.08-ppm O3 exposure as a function of postexposure FEV(1.0) response to the face mask 2-h IE, 0.30-ppm O3 exposure (R(2) of 0.34) was similar to the 0.40 value obtained for the two chamber exposures FEV(1.0) response comparison. Results of the 1.4-h FA recovery following the two chamber O3 exposures showed different rates of FEV(1.0) and symptoms rectification that appeared related to the total O3 dose (product of O3 concentration, ventilation rate, and duration). More data are needed to clarify short-term recovery of O3-induced pulmonary effects and how they may be related to the more abundant data available on the delayed recovery of pulmonary function seen over longer time periods, such as 24 h.

  14. Dopant occupancy and exposure energy in Hf:Nd:LiNbO3 crystal as a function of [Li]/[Nb] ratios

    NASA Astrophysics Data System (ADS)

    Dai, Li; Liu, Chunrui; Han, Xianbo; Yan, Zhehua; Tan, Chao; Wang, Luping; Xu, Yuheng

    2017-09-01

    A series of Hf: Nd: LiNbO3 crystals with various [Li]/[Nb] ratios ([Li]/[Nb] = 0.94, 1.05, 1.20, 1.38) in the melt were grown by conventional Czochralski technique. The distribution coefficients of Hf4+ and Nd3+ ions were recorded by an inductively coupled plasma-atomic emission spectrometer (ICP-AES). The effective distribution coefficient of Hf4+ is reduced and that of Nd3+ is increased with the increase of [Li]/[Nb] ratio in the melts. In all cases, the effective distribution coefficients is less than 1. The IR transmission spectroscopy of the Hf: Nd: LiNbO3 crystals were measured, getting the results that Hf: Yb: Ho: LiNbO3 crystals with 1.05 [Li]/[Nb] ratios was the stoichiometric. The optical damage resistance ability of Hf:Nd:LiNbO3 crystals were studied by light-induced scattering exposure energy flux threshold method and it increases with the increasing of [Li]/[Nb] ratios. When the [Li]/[Nb] ratio is 1.38 in the melt (the sample 4#), the exposure energy achieves 687.35 J/cm2, approximately 441 folds than that of the sample 1# ([Li]/[Nb] = 0.94) in magnitude.

  15. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    NASA Astrophysics Data System (ADS)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  16. The effect of H2O on the adsorption of NO2 on γ-Al2O3: an in situ FTIR/MS study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Szanyi, Janos; Kwak, Ja Hun; Chimentao, Ricardo J.

    2007-02-15

    The effect of water on the adsorption of NO2 onto a γ-Al2O3 catalyst support surface was investigated using Fourier transform infrared spectroscopy (FTIR) and mass spectrometry (MS). Upon room temperature exposure of the alumina surface to small amounts of NO2, nitrites and nitrates are formed, and at higher NO2 doses only nitrates are observed. The surface nitrates formed were of bridging monodentate, bridging bidentate, and monodentate configuration. At elevated NO2 pressures, the surface hydroxyls were consumed in their reaction with NO2 giving primarily bridge-bound nitrates. A significant amount of weakly adsorbed N2O3 was seen as well. Exposure of the NO2-saturatedmore » γ-Al2O3 surface to H2O resulted in the desorption of some NO2 + NO as H2O interacted with the weakly-held N2O3, while the bridging monodentate surface nitrates converted into monodentate nitrates. The conversion of these oxide-bound nitrates to water-solvated nitrates was observed at high water doses when the presence of liquid-like water is expected on the surface. The addition of H2O to the NO2-saturated γ-Al2O3 did not affect the amount of NOx strongly adsorbed on the support surface. In particular, no NOx desorption was observed when the NO2-saturated sample was heated to 573K prior to room temperature H2O exposure. The effect of water is completely reversible; i.e., during TPD experiments following NO2 and H2O coadsorption, the same IR spectra were observed at temperatures above that required for H2O desorption as seen for NO2 adsorption only experiments.« less

  17. Plasma observations near saturn: initial results from voyager 2.

    PubMed

    Bridge, H S; Bagenal, F; Belcher, J W; Lazarus, A J; McNutt, R L; Sullivan, J D; Gazis, P R; Hartle, R E; Ogilvie, K W; Scudder, J D; Sittler, E C; Eviatar, A; Siscoe, G L; Goertz, C K; Vasyliunas, V M

    1982-01-29

    Results of measurements of plasma electrons and poitive ions made during the Voyager 2 encounter with Saturn have been combined with measurements from Voyager 1 and Pioneer 11 to define more clearly the configuration of plasma in the Saturnian magnetosphere. The general morphology is well represented by four regions: (i) the shocked solar wind plasma in the magnetosheath, observed between about 30 and 22 Saturn radii (RS) near the noon meridian; (ii) a variable density region between approximately 17 RS and the magnetopause; (iii) an extended thick plasma sheet between approximately 17 and approximately 7 RS symmetrical with respect to Saturn's equatorial plane and rotation axis; and (iv) an inner plasma torus that probably originates from local sources and extends inward from L approximately 7 to less than L approximately 2.7 (L is the magnetic shell parameter). In general, the heavy ions, probably O(+), are more closely confined to the equatorial plane than H(+), so that the ratio of heavy to light ions varies along the trajectory according to the distance of the spacecraft from the equatorial plane. The general configuration of the plasma sheet at Saturn found by Voyager 1 is confirmed, with some notable differences and additions. The "extended plasma sheet," observed between L approximately 7 and L approximately 15 by Voyager 1 is considerably thicker as observed by Voyager 2. Inward of L approximately 4, the plasma sheet collapses to a thin region about the equatorial plane. At the ring plane crossing, L approximately 2.7, the observations are consistent with a density of O(+) of approximately 100 per cubic centimeter, with a temperature of approximately 10 electron volts. The location of the bow shock and magnetopause crossings were consistent with those previously observed. The entire magnetosphere was larger during the outbound passage of Voyager 2 than had been previously observed; however, a magnetosphere of this size or larger is expected approximately 3

  18. In situ measurement of VUV/UV radiation from low-pressure microwave-produced plasma in Ar/O2 gas mixtures

    NASA Astrophysics Data System (ADS)

    Iglesias, E. J.; Mitschker, F.; Fiebrandt, M.; Bibinov, N.; Awakowicz, P.

    2017-08-01

    Ultraviolet (UV) and vacuum ultraviolet (VUV) spectral irradiance is determined in low-pressure microwave-produced plasma, which is regularly used for polymer surface treatment. The re-emitted fluorescence in the UV/VIS spectral range from a sodium salicylate layer is measured. This fluorescence is related to VUV/UV radiation in different spectral bands based on cut-off filters. The background produced by direct emitted radiation in the fluorescence spectral region is quantified using a specific background filter, thus enabling the use of the whole fluorescence spectral range. A novel procedure is applied to determine the absolute value of the VUV/UV irradiance on a substrate. For that, an independent measurement of the absolute spectral emissivity of the plasma in the UV is performed. The measured irradiances on a substrate from a 25 Pa Ar/O2-produced plasma are in the range of 1015-1016 (photon~ s-1 cm-2). These values include the contribution from impurities present in the discharge.

  19. Effects of plasma and vacuum-ultraviolet exposure on the mechanical properties of low-k porous organosilicate glass

    Treesearch

    X. Guo; J.E. Jakes; S. Banna; Y. Nishi; J.L. Shohet

    2014-01-01

    The effects of plasma exposure and vacuum-ultraviolet (VUV) irradiation on the mechanical properties of low-k porous organosilicate glass (SiCOH) dielectric films were investigated. Nanoindentation measurements were made on SiCOH films before and after exposure to an electron-cyclotron-resonance plasma or a monochromatic synchrotron VUV beam, to determine the changes...

  20. Inactivation of Shiga toxin-producing Escherichia coli O104:H4 using cold atmospheric pressure plasma.

    PubMed

    Baier, Matthias; Janssen, Traute; Wieler, Lothar H; Ehlbeck, Jörg; Knorr, Dietrich; Schlüter, Oliver

    2015-09-01

    From cultivation to the end of the post-harvest chain, heat-sensitive fresh produce is exposed to a variety of sources of pathogenic microorganisms. If contaminated, effective gentle means of sanitation are necessary to reduce bacterial pathogen load below their infective dose. The occurrence of rare or new serotypes raises the question of their tenacity to inactivation processes. In this study the antibacterial efficiency of cold plasma by an atmospheric pressure plasma-jet was examined against the Shiga toxin-producing outbreak strain Escherichia coli O104:H4. Argon was transformed into non-thermal plasma at a power input of 8 W and a gas flow of 5 L min(-1). Basic tests were performed on polysaccharide gel discs, including the more common E. coli O157:H7 and non-pathogenic E. coli DSM 1116. At 5 mm treatment distance and 10(5) cfu cm(-2) initial bacterial count, plasma reduced E. coli O104:H4 after 60 s by 4.6 ± 0.6 log, E. coli O157:H7 after 45 s by 4.5 ± 0.6 log, and E. coli DSM 1116 after 30 s by 4.4 ± 1.1 log. On the surface of corn salad leaves, gentle plasma application at 17 mm reduced 10(4) cfu cm(-2) of E. coli O104:H4 by 3.3 ± 1.1 log after 2 min, whereas E. coli O157:H7 was inactivated by 3.2 ± 1.1 log after 60 s. In conclusion, plasma treatment has the potential to reduce pathogens such as E. coli O104:H4 on the surface of fresh produce. However, a serotype-specific adaptation of the process parameters is required. Copyright © 2015 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  1. Effects of P25 TiO2 Nanoparticles on the Free Radical-Scavenging Ability of Antioxidants upon Their Exposure to Simulated Sunlight.

    PubMed

    Li, Meng; Chong, Yu; Fu, Peter P; Xia, Qingsu; Croley, Timothy R; Lo, Y Martin; Yin, Jun-Jie

    2017-11-15

    Although nanosized ingredients, including TiO 2 nanoparticles (NPs), can be found in a wide range of consumer products, little is known about the effects these particles have on other active compounds in product matrices. These NPs can interact with reactive oxygen species (ROS), potentially disrupting or canceling the benefits expected from antioxidants. We used electron spin resonance spectrometry to assess changes in the antioxidant capacities of six dietary antioxidants (ascorbic acid, α-tocopherol, glutathione, cysteine, epicatechin, and epicatechin gallate) during exposure to P25 TiO 2 and/or simulated sunlight. Specifically, we determined the ability of these antioxidants to scavenge 1-diphenyl-2-picryl-hydrazyl radical, superoxide radical, and hydroxyl radical. Exposure to simulated sunlight alone did not lead to noticeable changes in radical-scavenging abilities; however, in combination with P25 TiO 2 NPs, the scavenging abilities of most antioxidants were weakened. We found glutathione to be the most resistant to treatment with sunlight and NPs among these six antioxidants.

  2. Thermal Conductivity and Stability of HfO2-Y2O3 and La2Zr2O7 Evaluated for 1650 Deg C Thermal/Environmental Barrier Coating Applications

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Bansal, Narottam P.; Miller, Robert A.

    2003-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal and environmental barrier coating (T/EBC) materials for gas turbine ceramic matrix composite (CMC) combustor applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature stability of hot-pressed and plasma sprayed specimens with representative partially-stabilized and fully-cubic HfO2-Y2O3 compositions and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasmasprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC hexoloy or SiC/SiC CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications are also discussed.

  3. Synthesis of SiO(x) powder using DC arc plasma.

    PubMed

    Jung, Chan-Ok; Park, Dong-Wha

    2013-02-01

    SiO(x) was prepared by DC arc plasma and applied to the anode material of lithium ion batteries. A pellet of a mixture of Si and SiO2 was used as the raw material. The ratios of the silicon and silicon dioxide (SiO2) mixtures were varied by controlling the Si-SiO2 molar ratio (Si-SiO2 = 1-4). Hydrogen gas was used as the reduction atmosphere in the chamber. The prepared SiO(x) was collected on the chamber wall. The obtained SiO(x) was characterized by X-ray diffraction (XRD), field emission-scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). XRD and TEM showed that the phase composition of the prepared particles was composed of amorphous SiO(x) and crystalline Si. The prepared SiO(x) showed wire and spherical morphology. XPS indicated the bonding state and 'x' value of the prepared SiO(x), which was close to one. The result of prepared SiO(x) is discussed from thermodynamic equilibrium calculations. The electrochemical behavior of the silicon monoxide anode was investigated.

  4. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  5. β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures grown on β-Ga{sub 2}O{sub 3} (010) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaun, Stephen W., E-mail: skaun@umail.ucsb.edu; Wu, Feng; Speck, James S.

    2015-07-15

    By systematically changing growth parameters, the growth of β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures by plasma-assisted molecular beam epitaxy was optimized. Through variation of the Al flux under O-rich conditions at 600 °C, β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) layers spanning ∼10% to ∼18% Al{sub 2}O{sub 3} were grown directly on β-Ga{sub 2}O{sub 3} (010) substrates. Nominal β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) compositions were determined through Al:Ga flux ratios. With x = ∼0.18, the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak in a high-resolution x-ray diffraction (HRXRD) ω-2θ scan was barely discernible, and Pendellösung fringes were not visible.more » This indicated that the phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) at 600 °C was less than ∼18%. The substrate temperature was then varied for a series of β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers, and the smoothest layer was grown at 650 °C. The phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) appeared to increase with growth temperature, as the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak with x = ∼0.18 was easily distinguishable by HRXRD in a sample grown at 650 °C. Cross-sectional transmission electron microscopy (TEM) indicated that β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers (14.4% Al{sub 2}O{sub 3} by energy dispersive x-ray spectroscopy) grown at 650 °C were homogeneous. β-(Al{sub ∼0.20}Ga{sub ∼0.80}){sub 2}O{sub 3} (010) layers, however, displayed a phase transition. TEM images of a β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) superlattice grown at 650 °C showed abrupt layer interfaces and high alloy homogeneity.« less

  6. A novel Online-to-Offline (O2O) model for pre-exposure prophylaxis and HIV testing scale up.

    PubMed

    Anand, Tarandeep; Nitpolprasert, Chattiya; Trachunthong, Deondara; Kerr, Stephen J; Janyam, Surang; Linjongrat, Danai; Hightow-Weidman, Lisa B; Phanuphak, Praphan; Ananworanich, Jintanat; Phanuphak, Nittaya

    2017-03-13

    PrEP awareness and uptake among men who have sex with men (MSM) and transgender women (TG) in Thailand remains low. Finding ways to increase HIV testing and PrEP uptake among high-risk groups is a critical priority. This study evaluates the effect of a novel Adam's Love Online-to-Offline (O2O) model on PrEP and HIV testing uptake among Thai MSM and TG and identifies factors associated with PrEP uptake. The O2O model was piloted by Adam's Love (www.adamslove.org) HIV educational and counselling website. MSM and TG reached online by PrEP promotions and interested in free PrEP and/or HIV testing services contacted Adam's Love online staff, received real-time PrEP eCounseling, and completed online bookings for receiving services at one of the four sites in Bangkok based on their preference. Auto-generated site- and service-specific e-tickets and Quick Response (QR) codes were sent to their mobile devices enabling monitoring and check-in by offline site staff. Service uptake and participant's socio-demographic and risk behaviour characteristics were analyzed. Factors associated with PrEP uptake were assessed using multiple logistic regression. Between January 10th and April 11th, 2016, Adam's Love reached 272,568 people online via the PrEP O2O promotions. 425 MSM and TG received eCounseling and e-tickets. There were 325 (76.5%) MSM and TG who checked-in at clinics and received HIV testing. Nine (2.8%) were diagnosed with HIV infection. Median (IQR) time between receiving the e-ticket and checking-in was 3 (0-7) days. Of 316 HIV-negative MSM and TG, 168 (53.2%) started PrEP. In a multivariate model, higher education (OR 2.30, 95%CI 1.14-4.66; p  = 0.02), seeking sex partners online (OR 2.05, 95%CI 1.19-3.54; p  = 0.009), being aware of sexual partners' HIV status (OR 2.37, 95%CI 1.29-4.35; p  = 0.008), ever previously using post-exposure prophylaxis (PEP) (OR 2.46, 95%CI 1.19-5.09; p  = 0.01), and enrolment at Adam's Love clinic compared to the other three sites

  7. A novel Online-to-Offline (O2O) model for pre-exposure prophylaxis and HIV testing scale up

    PubMed Central

    Anand, Tarandeep; Nitpolprasert, Chattiya; Trachunthong, Deondara; Kerr, Stephen J; Janyam, Surang; Linjongrat, Danai; Hightow-Weidman, Lisa B; Phanuphak, Praphan; Ananworanich, Jintanat; Phanuphak, Nittaya

    2017-01-01

    Abstract Introduction: PrEP awareness and uptake among men who have sex with men (MSM) and transgender women (TG) in Thailand remains low. Finding ways to increase HIV testing and PrEP uptake among high-risk groups is a critical priority. This study evaluates the effect of a novel Adam’s Love Online-to-Offline (O2O) model on PrEP and HIV testing uptake among Thai MSM and TG and identifies factors associated with PrEP uptake. Methods: The O2O model was piloted by Adam’s Love (www.adamslove.org) HIV educational and counselling website. MSM and TG reached online by PrEP promotions and interested in free PrEP and/or HIV testing services contacted Adam’s Love online staff, received real-time PrEP eCounseling, and completed online bookings for receiving services at one of the four sites in Bangkok based on their preference. Auto-generated site- and service-specific e-tickets and Quick Response (QR) codes were sent to their mobile devices enabling monitoring and check-in by offline site staff. Service uptake and participant’s socio-demographic and risk behaviour characteristics were analyzed. Factors associated with PrEP uptake were assessed using multiple logistic regression. Results: Between January 10th and April 11th, 2016, Adam’s Love reached 272,568 people online via the PrEP O2O promotions. 425 MSM and TG received eCounseling and e-tickets. There were 325 (76.5%) MSM and TG who checked-in at clinics and received HIV testing. Nine (2.8%) were diagnosed with HIV infection. Median (IQR) time between receiving the e-ticket and checking-in was 3 (0–7) days. Of 316 HIV-negative MSM and TG, 168 (53.2%) started PrEP. In a multivariate model, higher education (OR 2.30, 95%CI 1.14–4.66; p = 0.02), seeking sex partners online (OR 2.05, 95%CI 1.19–3.54; p = 0.009), being aware of sexual partners’ HIV status (OR 2.37, 95%CI 1.29–4.35; p = 0.008), ever previously using post-exposure prophylaxis (PEP) (OR 2.46, 95%CI 1.19–5.09; p = 0.01), and

  8. A Fe3O4/FeAl2O4 composite coating via plasma electrolytic oxidation on Q235 carbon steel for Fenton-like degradation of phenol.

    PubMed

    Wang, Jiankang; Yao, Zhongping; Yang, Min; Wang, Yajing; Xia, Qixing; Jiang, Zhaohua

    2016-08-01

    The Fe3O4/FeAl2O4 composite coatings were successfully fabricated on Q235 carbon steel by plasma electrolytic oxidation technique and used to degrade phenol by Fenton-like system. XRD, SEM, and XPS indicated that Fe3O4 and FeAl2O4 composite coating had a hierarchical porous structure. The effects of various parameters such as pH, phenol concentration, and H2O2 dosage on catalytic activity were investigated. The results indicated that with increasing of pH and phenol content, the phenol degradation efficiency was reduced significantly. However, the degradation rate was improved with the addition of H2O2, but dropped with further increasing of H2O2. Moreover, 100 % removal efficiency with 35 mg/L phenol was obtained within 60 min at 303 K and pH 4.0 with 6.0 mmol/L H2O2 on 6-cm(2) iron oxide coating. The degradation process consisted of induction period and rapid degradation period; both of them followed pseudo-first-order reaction. Hydroxyl radicals were the mainly oxidizing species during phenol degradation by using n-butanol as hydroxyl radical scavenger. Based on Fe leaching and the reaction kinetics, a possible phenol degradation mechanism was proposed. The catalyst exhibited excellent stability.

  9. Responses of growth, photosynthesis and VOC emissions of Pinus tabulaeformis Carr. Exposure to elevated CO2 and/or elevated O3 in an urban area.

    PubMed

    Xu, Sheng; Chen, Wei; Huang, Yanqing; He, Xingyuan

    2012-03-01

    Responses of growth, photosynthesis and emission of volatile organic compounds of Pinus tabulaeformis exposed to elevated CO(2) (700 ppm) and O(3) (80 ppb) were studied in open top chambers. Elevated CO(2) increased growth, but it did not significantly (p > 0.05) affect net photosynthetic rate, stomatal conductance, chlorophyll content, the maximum quantum yield of photosystem II, or the effective quantum yield of photosystem II electron transport after 90 d of gas exposure. Elevated O(3) decreased growth (by 42.2% in needle weight and 25.8% in plant height), net photosynthetic rate and stomatal conductance after 90 d of exposure, but its negative effects were alleviated by elevated CO(2). Elevated O(3) significantly (p < 0.05) increased the emission rate of volatile organic compounds, which may be a helpful response to protect photosynthetic apparatus against O(3) damage.

  10. Global Metabolomic Profiling Reveals an Association of Metal Fume Exposure and Plasma Unsaturated Fatty Acids

    PubMed Central

    Chang, Chiung-yu; Fan, Tianteng; Su, Li; Chen, Feng; Christiani, David C.

    2013-01-01

    Background Welding-associated air pollutants negatively affect the health of exposed workers; however, their molecular mechanisms in causing disease remain largely unclear. Few studies have systematically investigated the systemic toxic effects of welding fumes on humans. Objectives To explore the effects of welding fumes on the plasma metabolome, and to identify biomarkers for risk assessment of welding fume exposure. Methods The two-stage, self-controlled exploratory study included 11 boilermakers from a 2011 discovery panel and 8 boilermakers from a 2012 validation panel. Plasma samples were collected pre- and post-welding fume exposure and analyzed by chromatography/mass spectrometry. Results Eicosapentaenoic or docosapentaenoic acid metabolic changes post-welding were significantly associated with particulate (PM2.5) exposure (p<0.05). The combined analysis by linear mixed-effects model showed that exposure was associated with a statistically significant decline in metabolite change of eicosapentaenoic acid [(95% CI) = −0.013(−0.022∼−0.004); p = 0.005], docosapentaenoic acid n3 [(95% CI) = −0.010(−0.018∼−0.002); p = 0.017], and docosapentaenoic acid n6 [(95% CI) = −0.007(−0.013∼−0.001); p = 0.021]. Pathway analysis identified an association of the unsaturated fatty acid pathway with exposure (p Study−2011 = 0.025; p Study−2012 = 0.021; p Combined = 0.009). The functional network built by these fatty acids and their interactive genes contained significant enrichment of genes associated with various diseases, including neoplasms, cardiovascular diseases, and lipid metabolism disorders. Conclusions High-dose exposure of metal welding fumes decreases unsaturated fatty acids with an exposure-response relationship. This alteration in fatty acids is a potential biological mediator and biomarker for exposure-related health disorders. PMID:24143234

  11. Plasma thromboxane B2 levels in horses experimentally infected with Strongylus vulgaris.

    PubMed

    Cambridge, H; Reynoldson, J A; Dunsmore, J D

    1989-06-01

    Plasma thromboxane B2 (TXB2) the stable inactive metabolite of thromboxane A2 (TXA2), was measured daily by specific radioimmunoassay in three groups of animals before and after experimental infection with Strongylus vulgaris. Infection of four 'parasite naive' foals produced a typical acute syndrome with intermittent but statistically insignificant rises in TXB2 levels. Interpretation of results was complicated by the presence of a non-septic peritonitis associated with implantation of the foals with electrodes for recording myoelectrical activity. In two foals of similar age, with some natural exposure to S. vulgaris, there was little or no clinical response to infection and increases in TXB2 were absent. Baseline levels were also much lower, indicating that the peritonitis may have affected the results obtained in the first group of foals. Severe mesenteric arteritis was confirmed at necropsy in all six foals. A third group of yearling horses, all with natural exposure to the parasite, were generally resistant to infection. One animal developed arteritis with clinical signs of diarrhoea and mild colic, and also showed intermittent increases in TXB2. The mean plasma TXB2 level after infection was significantly higher than in the control period, although absolute levels were lower than those recorded in the 'parasite naive' foals. Other animals in this group had low TXB2 levels and minimal arteritis was found at necropsy. These results indicate that although infection appears to have an effect on plasma TXB2, the changes are inconsistent and not reliable indicators of the presence of verminous arteritis. The results also confirm the difficulty in establishing infection and the variability of the response in animals with previous exposure.

  12. Preparation, characterization and catalytic property of CuO nano/microspheres via thermal decomposition of cathode-plasma generating Cu2(OH)3NO3 nano/microspheres.

    PubMed

    Zhang, Zhi-Kun; Guo, Deng-Zhu; Zhang, Geng-Min

    2011-05-01

    CuO nano/microspheres with a wide diametric distribution were prepared by thermal decomposition of Cu(2)(OH)(3)NO(3) nano/microspheres formed in a simple asymmetric-electrode based cathodic-plasma electrolysis. The morphological, componential, and structural information about the two kinds of spheres were characterized in detail by SEM, TEM, EDX, XPS and XRD, and the results revealed that the morphology of the spheres were well kept after the componential and structural transformation from Cu(2)(OH)(3)NO(3) into CuO. The TGA/DSC study showed that the CuO nano/microspheres could be explored to be a promising additive for accelerating the thermal decomposition of ammonium perchlorate (AP). Combining with the current curve and emission spectrum measured in the plasma electrolysis, formation mechanism of the Cu(2)(OH)(3)NO(3) spheres was also discussed. Copyright © 2011 Elsevier Inc. All rights reserved.

  13. Development of Embryonic Market Squid, Doryteuthis opalescens, under Chronic Exposure to Low Environmental pH and [O2].

    PubMed

    Navarro, Michael O; Kwan, Garfield T; Batalov, Olga; Choi, Chelsea Y; Pierce, N Tessa; Levin, Lisa A

    2016-01-01

    The market squid, Doryteuthis opalescens, is an important forage species for the inshore ecosystems of the California Current System. Due to increased upwelling and expansion of the oxygen minimum zone in the California Current Ecosystem, the inshore environment is expected to experience lower pH and [O2] conditions in the future, potentially impacting the development of seafloor-attached encapsulated embryos. To understand the consequences of this co-occurring environmental pH and [O2] stress for D. opalescens encapsulated embryos, we performed two laboratory experiments. In Experiment 1, embryo capsules were chronically exposed to a treatment of higher (normal) pH (7.93) and [O2] (242 μM) or a treatment of low pH (7.57) and [O2] (80 μM), characteristic of upwelling events and/or La Niña conditions. The low pH and low [O2] treatment extended embryo development duration by 5-7 days; embryos remained at less developed stages more often and had 54.7% smaller statolith area at a given embryo size. Importantly, the embryos that did develop to mature embryonic stages grew to sizes that were similar (non-distinct) to those exposed to the high pH and high [O2] treatment. In Experiment 2, we exposed encapsulated embryos to a single stressor, low pH (7.56) or low [O2] (85 μM), to understand the importance of environmental pH and [O2] rising and falling together for squid embryogenesis. Embryos in the low pH only treatment had smaller yolk reserves and bigger statoliths compared to those in low [O2] only treatment. These results suggest that D. opalescens developmental duration and statolith size are impacted by exposure to environmental [O2] and pH (pCO2) and provide insight into embryo resilience to these effects.

  14. Development of Embryonic Market Squid, Doryteuthis opalescens, under Chronic Exposure to Low Environmental pH and [O2

    PubMed Central

    Navarro, Michael O.; Kwan, Garfield T.; Batalov, Olga; Choi, Chelsea Y.; Pierce, N. Tessa; Levin, Lisa A.

    2016-01-01

    The market squid, Doryteuthis opalescens, is an important forage species for the inshore ecosystems of the California Current System. Due to increased upwelling and expansion of the oxygen minimum zone in the California Current Ecosystem, the inshore environment is expected to experience lower pH and [O2] conditions in the future, potentially impacting the development of seafloor-attached encapsulated embryos. To understand the consequences of this co-occurring environmental pH and [O2] stress for D. opalescens encapsulated embryos, we performed two laboratory experiments. In Experiment 1, embryo capsules were chronically exposed to a treatment of higher (normal) pH (7.93) and [O2] (242 μM) or a treatment of low pH (7.57) and [O2] (80 μM), characteristic of upwelling events and/or La Niña conditions. The low pH and low [O2] treatment extended embryo development duration by 5–7 days; embryos remained at less developed stages more often and had 54.7% smaller statolith area at a given embryo size. Importantly, the embryos that did develop to mature embryonic stages grew to sizes that were similar (non-distinct) to those exposed to the high pH and high [O2] treatment. In Experiment 2, we exposed encapsulated embryos to a single stressor, low pH (7.56) or low [O2] (85 μM), to understand the importance of environmental pH and [O2] rising and falling together for squid embryogenesis. Embryos in the low pH only treatment had smaller yolk reserves and bigger statoliths compared to those in low [O2] only treatment. These results suggest that D. opalescens developmental duration and statolith size are impacted by exposure to environmental [O2] and pH (pCO2) and provide insight into embryo resilience to these effects. PMID:27936085

  15. Phenomenological analysis of densification mechanism during spark plasma sintering of MgAl2O4

    NASA Astrophysics Data System (ADS)

    Bernard-Granger, Guillaume; Benameur, Nassira; Addad, Ahmed; Nygren, Mats; Guizard, Christian; Deville, Sylvain

    2009-05-01

    Spark plasma sintering (SPS) of MgAl2O4 powder was investigated at temperatures between 1200 and 1300{\\deg}C. A significant grain growth was observed during densification. The densification rate always exhibits at least one strong minimum, and resumes after an incubation period. Transmission electron microscopy investigations performed on sintered samples never revealed extensive dislocation activity in the elemental grains. The densification mechanism involved during SPS was determined by anisothermal (investigation of the heating stage of a SPS run) and isothermal methods (investigation at given soak temperatures). Grain-boundary sliding, accommodated by an in-series {interface-reaction/lattice diffusion of the O$^2$-anions} mechanism controlled by the interface-reaction step, governs densification. The zero-densification-rate period, detected for all soak temperatures, arise from the difficulty of annealing vacancies, necessary for the densification to proceed. The detection of atomic ledges at grain boundaries and the modification of the stoichiometry of spinel during SPS could be related to the difficulty to anneal vacancies at temperature soaks.

  16. Time resolved optical diagnostics of ZnO plasma plumes in air

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gupta, Shyam L.; Singh, Ravi Pratap; Thareja, Raj K.

    2013-10-15

    We report dynamical evolution of laser ablated ZnO plasma plumes using interferometry and shadowgraphy; 2-D fast imaging and optical emission spectroscopy in air ambient at atmospheric pressure. Recorded interferograms using Nomarski interferometer and shadowgram images at various time delays show the presence of electrons and neutrals in the ablated plumes. The inference drawn from sign change of fringe shifts is consistent with two dimensional images of the plume and optical emission spectra at varying time delays with respect to ablating pulse. Zinc oxide plasma plumes are created by focusing 1.06 μm radiation on to ZnO target in air and 532more » nm is used as probe beam.« less

  17. Hollow waveguides with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films

    NASA Astrophysics Data System (ADS)

    Zhao, Y.; Jenkins, M.; Measor, P.; Leake, K.; Liu, S.; Schmidt, H.; Hawkins, A. R.

    2011-02-01

    A type of integrated hollow core waveguide with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films is demonstrated. Hollow core waveguides made with a combination of plasma-enhanced chemical vapor deposition SiO2 and sputtered Ta2O5 provide a nearly optimal structure for optofluidic biofluorescence measurements with low optical loss, high fabrication yield, and low background photoluminescence. Compared to earlier structures made using Si3N4, the photoluminescence background of Ta2O5 based hollow core waveguides is decreased by a factor of 10 and the signal-to-noise ratio for fluorescent nanobead detection is improved by a factor of 12.

  18. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring trapsmore » on the surface and bulk channel are presented.« less

  19. Increase in oxidative stress levels following welding fume inhalation: a controlled human exposure study.

    PubMed

    Graczyk, Halshka; Lewinski, Nastassja; Zhao, Jiayuan; Sauvain, Jean-Jacques; Suarez, Guillaume; Wild, Pascal; Danuser, Brigitta; Riediker, Michael

    2016-06-10

    Tungsten inert gas (TIG) welding represents one of the most widely used metal joining processes in industry. It has been shown to generate a large majority of particles at the nanoscale and to have low mass emission rates when compared to other types of welding. Despite evidence that TIG fume particles may produce reactive oxygen species (ROS), limited data is available for the time course changes of particle-associated oxidative stress in exposed TIG welders. Twenty non-smoking male welding apprentices were exposed to TIG welding fumes for 60 min under controlled, well-ventilated settings. Exhaled breathe condensate (EBC), blood and urine were collected before exposure, immediately after exposure, 1 h and 3 h post exposure. Volunteers participated in a control day to account for oxidative stress fluctuations due to circadian rhythm. Biological liquids were assessed for total reducing capacity, hydrogen peroxide (H2O2), malondialdehyde (MDA), and 8-hydroxy-2'-deoxyguanosine (8-OHdG) concentrations at each time point. A linear mixed model was used to assess within day and between day differences. Significant increases in the measured biomarkers were found at 3 h post exposure. At 3 h post exposure, we found a 24 % increase in plasma-H2O2 concentrations ([95%CI: 4 % to 46 %], p = 0.01); a 91 % increase in urinary-H2O2 ([2 % to 258 %], p = 0.04); a 14 % increase in plasma-8-OHdG ([0 % to 31 %], p = 0.049); and a 45 % increase in urinary-8-OHdG ([3 % to 105 %], p = 0.03). Doubling particle number concentration (PNC) exposure was associated with a 22 % increase of plasma-8-OHdG at 3 h post exposure (p = 0.01). A 60-min exposure to TIG welding fume in a controlled, well-ventilated setting induced acute oxidative stress at 3 h post exposure in healthy, non-smoking apprentice welders not chronically exposed to welding fumes. As mass concentration of TIG welding fume particles is very low when compared to other types of welding, it is

  20. Chemical reaction mechanisms between Y2O3 stabilized ZrO2 and Gd doped CeO2 with PH3 in coal syngas

    NASA Astrophysics Data System (ADS)

    Chen, Gang; Kishimoto, Haruo; Yamaji, Katsuhiko; Kuramoto, Koji; Gong, Mingyang; Liu, Xingbo; Hackett, Gregory; Gerdes, Kirk; Horita, Teruhisa

    2014-12-01

    To clarify the chemical stability of the key materials exposed to coal syngas (CSG) containing PH3 contaminant atmosphere, exposure tests of Y2O3 8 mol.% stabilized ZrO2 (YSZ) and Gd doped CeO2 (GDC) are carried out in simulated CSG with different concentrations of PH3. Significant reaction between YSZ and 10 ppm PH3 in CSG atmosphere is confirmed, and no obvious reaction is detected on the surface of YSZ after exposed in CSG with 1 ppm PH3. YPO4, Zr2.25(PO4)3 and monoclinic Y partial stabilized ZrO2 (m-PSZ) are identified on the YSZ pellet surface after exposed in CSG with 10 ppm PH3. GDC reacted with PH3 even at 1 ppm concentration. A (Ce0.9Gd0.1)PO4 layer is formed on the surface of GDC pellet after exposure in CSG with 10 ppm PH3. Possible reaction mechanisms between YSZ and GDC with PH3 in CSG are clarified. Compared with GDC, YSZ exhibits sufficient phosphorus resistance for devices directly exposed to a coal syngas atmosphere containing low concentration of PH3.

  1. A chemical stability study of trimethylsilane plasma nanocoatings for coronary stents.

    PubMed

    Jones, John Eric; Yu, Qingsong; Chen, Meng

    2017-01-01

    Trimethylsilane (TMS) plasma nanocoatings were deposited onto stainless steel coupons in direct current (DC) and radio frequency (RF) glow discharges and additional NH 3 /O 2 plasma treatment to tailor the coating surface properties. The chemical stability of the nanocoatings were evaluated after 12 week storage under dry condition (25 °C) and immersion in simulated body fluid (SBF) at 37 °C. It was found that nanocoatings did not impact surface roughness of underlying stainless steel substrates. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopy were used to characterize surface chemistry and compositions. Both DC and RF nanocoatings had Si- and C-rich composition; and the O- and N-contents on the surfaces were substantially increased after NH 3 /O 2 plasma treatment. Contact angle measurements showed that DC-TMS nanocoating with NH 3 /O 2 treatment generated very hydrophilic surfaces. DC-TMS nanocoatings with NH 3 /O 2 treatment showed minimal surface chemistry change after 12 week immersion in SBF. However, nitrogen functionalities on RF-TMS coating with NH 3 /O 2 post treatment were not as stable as in DC case. Cell culture studies revealed that the surfaces with DC coating and NH 3 /O 2 post treatment demonstrated substantially improved proliferation of endothelial cells over the 12 week storage period at both dry and wet conditions, as compared to other coated surfaces. Therefore, DC nanocoatings with NH 3 /O 2 post treatment may be chemically stable for long-term properties, including shelf-life storage and exposure to the bloodstream for coronary stent applications.

  2. Biomarkers of organophosphorus (OP) exposures in humans

    PubMed Central

    Marsillach, Judit; Richter, Rebecca J.; Kim, Jerry H.; Stevens, Richard C.; MacCoss, Michael J.; Tomazela, Daniela; Suzuki, Stephanie M.; Schopfer, Lawrence M; Lockridge, Oksana; Furlong, Clement E.

    2011-01-01

    There are ongoing events where aircraft engine lubricant containing tricresyl phosphates (TCPs) contaminates aircraft cabins. Some individuals have experienced tremors or other neurological symptoms that may last for many months following exposures. Mass spectrometric (MS) protocols are being developed to determine the percentage of “biomarker proteins” that are modified by such exposures, specifically on active site serines. Both plasma butyrylcholinesterase (BChE) and red cell acylpeptide hydrolase (APH) are readily inhibited by 2-(o-cresyl)-4H-1:3:2:benzodioxaphosphoran-2-one (CBDP) or phenyl saligenin cyclic phosphate (PSP) and have the potential to provide information about the level of exposure of an individual. We have developed immunomagnetic bead-based single-step purification protocols for both BChE and APH and have characterized the active site serine adducts of BChE by MS. PMID:21767566

  3. Innovative Plasma Disinfection Technique with the Reduced-pH Method and the Plasma-Treated Water (PTW) -Safety and Powerful Disinfection with Cryopreserved PTW-

    NASA Astrophysics Data System (ADS)

    Kitano, Katsuhisa; Ikawa, Satoshi; Nakashima, Yoichi; Tani, Atsushi; Yokoyama, Takashi; Ohshima, Tomoko

    2015-09-01

    Among the applications of the plasma disinfection to human body, plasma sterilization in liquid is crucial. We found that the plasma-treated water (PTW) has strong bactericidal activity under low pH condition and the half-lives of its activity depend on temperature. Lower temperature brings longer half-life and the bactericidal activity of PTW can be kept by cryopreservation. These physicochemical properties were in accordance with Arrhenius equation both in liquid and solid states. From the experimental results of ESR (Electron Spin Resonance) measurement of O2-in liquid against PTW with spin trapping method, half-lives of PTW were also in accordance with Arrhenius equation. It suggests that high concentration PTW as integrated value can be achieved by cooling of plasma apparatus. Pure PTW has disinfection power of 22 log reduction (B. subtilis). This corresponds to 65% H2O2, 14% hypochlorous acid and 0.33% peracetic acid, which are deadly poison for human. On the other hand, PTW is deactivated soon at body temperature. This indicates that toxicity to human body seems to be low. PTW, which is a sort of indirect plasma exposure, with pH and temperature controls could be applied for safety and powerful disinfection. MEXT (15H03583, 23340176, 25108505). NCCE (23-A-15).

  4. Bioactivity of Y2O3 and CeO2 doped SiO2-SrO-Na2O glass-ceramics.

    PubMed

    Placek, L M; Keenan, T J; Wren, A W

    2016-08-01

    The bioactivity of yttrium and cerium are investigated when substituted for Sodium (Na) in a 0.52SiO2-0.24SrO-0.24-xNa2O-xMO glass-ceramics (where x = 0.08 and MO = Y2O3 or CeO2). Bioactivity is monitored through pH and inductively coupled plasma-optical emission spectrometry where pH of simulated body fluid ranged from 7.5 to 7.6 and increased between 8.2 and 10.0 after 14-day incubation with the glass-ceramic disks. Calcium (Ca) and phosphorus (P) levels in simulated body fluid after incubation with yttrium and cerium containing disks show a continual decline over the 14-day period. In contrast, Con disks (not containing yttrium or cerium) caused the elimination of Ca in solution after 1 day and throughout the incubation period, and initially showed a decline in P levels followed by an increase at 14 days. Scanning electron microscopy and energy dispersive spectroscopy confirmed the presence of Ca and P on the surface of the simulated body fluid-incubated disks and showed precipitates on Con and HCe (8 mol% cerium) samples. Cell viability of MC3T3 osteoblasts was not significantly affected at a 9% extract concentration. Optical microscopy after 24 h cell incubation with disks showed that Con samples do not support osteoblast or Schwann cell growth, while all yttrium and cerium containing disks have direct contact with osteoblasts spread across the wells. Schwann cells attached in all wells, but only showed spreading with the HY-S (8 mol% yttrium, heated to sintering temperature) and YCe (4 mol% yttrium and cerium) disks. Scanning electron microscopy of the compatible disks shows osteoblast and sNF96.2 Schwann cells attachment and spreading directly on the disk surfaces. © The Author(s) 2016.

  5. Influence of H2 and D2 plasmas on the work function of caesiated materials

    NASA Astrophysics Data System (ADS)

    Friedl, R.; Fantz, U.

    2017-08-01

    Caesium-covered surfaces are used in negative hydrogen ion sources as a low work function converter for H-/D- surface production. The work function χ of the converter surface is one of the key parameters determining the performance of the ion source. Under idealized conditions, pure bulk Cs has 2.14 eV. However, residual gases at ion source background pressures of 10-7-10-6 mbar and the plasma surface interaction with the hydrogen discharge in front of the caesiated surface dynamically affect the actual surface work function. Necessary fundamental investigations on the resulting χ are performed at a dedicated laboratory experiment. Under the vacuum conditions of ion sources, the incorporation of impurities into the Cs layer leads to very stable Cs compounds. The result is a minimal work function of χvac ≈ 2.75 eV for Cs evaporation rates of up to 10 mg/h independent of substrate material and surface temperature (up to 260 °C). Moreover, a distinct degradation behavior can be observed in the absence of a Cs flux onto the surface leading to a deterioration of the work function by about 0.1 eV/h. However, in a hydrogen discharge with plasma parameters close to those of ion sources, fluxes of reactive hydrogen species and VUV photons impact on the surface which reduces the work function of the caesiated substrate down to about 2.6 eV even without Cs supply. Establishing a Cs flux onto the surface with ΓCs ≈ 1017 m-2 s-1 further enhances the work function obtaining values around 2.1 eV, which can be maintained stable for several hours of plasma exposure. Hence, Cs layers with work functions close to that of pure bulk Cs can be achieved for both H2 and D2 plasmas. Isotopic differences can be neglected within the measurement accuracy of about 0.1 eV due to comparable plasma parameters. Furthermore, after shutting down the Cs evaporation, continuing plasma exposure helps against degradation of the Cs layer resulting in a constant low work function for at least 1 h.

  6. Effect of KOH to Na2SiO3 Ratio on Microstructure and Hardness of Plasma Electrolytic Oxidation Coatings on AA 6061 Alloy

    NASA Astrophysics Data System (ADS)

    Sharma, Ashutosh; Jang, Yong-Joo; Jung, Jae Pil

    2017-10-01

    In this study, plasma electrolytic oxidation (PEO) process has been employed to fabricate alumina coatings on AA 6061 aluminum alloy from an electrolyte containing water glass (Na2SiO3) and alkali (KOH). The effect of deposition time and the alkali to water glass (KOH: Na2SiO3) composition ratio on the coating morphology and properties are studied. The different phases of the oxide layer and microstructure are investigated by x-ray diffraction, scanning electron microscopy, and atomic force microscopy. The results indicate that initially γ-Al2O3 forms in the coating, and as the processing time is increased from 5 to 60 minutes, α-Al2O3 phase becomes prominent. Further, higher the content of Na2SiO3, higher is the hardness and coating growth rate due to the formation of stable α-Al2O3 and Al-Si-O phase. It has been reported that the optimum properties of the PEO coatings can be obtained at a ratio of KOH: Na2SiO3 ≈ 15:10 followed by 10:10.

  7. Atomic layer deposition of TiO2 on surface modified nanoporous low-k films.

    PubMed

    Levrau, Elisabeth; Devloo-Casier, Kilian; Dendooven, Jolien; Ludwig, Karl F; Verdonck, Patrick; Meersschaut, Johan; Baklanov, Mikhail R; Detavernier, Christophe

    2013-10-01

    This paper explores the effects of different plasma treatments on low dielectric constant (low-k) materials and the consequences for the growth behavior of atomic layer deposition (ALD) on these modified substrates. An O2 and a He/H2 plasma treatment were performed on SiCOH low-k films to modify their chemical surface groups. Transmission FTIR and water contact angle (WCA) analysis showed that the O2 plasma changed the hydrophobic surface completely into a hydrophilic surface, while the He/H2 plasma changed it only partially. In a next step, in situ X-ray fluorescence (XRF), ellipsometric porosimetry (EP), and Rutherford backscattering spectroscopy (RBS) were used to characterize ALD growth of TiO2 on these substrates. The initial growth of TiO2 was found to be inhibited in the original low-k film containing only Si-CH3 surface groups, while immediate growth was observed in the hydrophilic O2 plasma treated film. The latter film was uniformly filled with TiO2 after 8 ALD cycles, while pore filling was delayed to 17 ALD cycles in the hydrophobic film. For the He/H2 plasma treated film, containing both Si-OH and Si-CH3 groups, the in situ XRF data showed that TiO2 could no longer be deposited in the He/H2 plasma treated film after 8 ALD cycles, while EP measurements revealed a remaining porosity. This can be explained by the faster deposition of TiO2 in the hydrophilic top part of the film than in the hydrophobic bulk which leaves the bulk porous, as confirmed by RBS depth profiling. The outcome of this research is not only of interest for the development of advanced interconnects in ULSI technology, but also demonstrates that ALD combined with RBS analysis is a handy approach to analyze the modifications induced by a plasma treatment on a nanoporous thin film.

  8. Aerosol Stable Peptide-Coated Liposome Nanoparticles: A Proof-of-Concept Study with Opioid Fentanyl in Enhancing Analgesic Effects and Reducing Plasma Drug Exposure

    PubMed Central

    HOEKMAN, JOHN D; SRIVASTAVA, PRAMOD; HO, RODNEY J Y

    2014-01-01

    Previous we reported a novel pressurized olfactory drug (POD) delivery device that deposit aerosolized drug preferentially to upper nasal cavity. This POD device provided sustained CNS levels of soluble morphine analgesic effects. However, analgesic onset of less soluble fentanyl was more rapid but brief, likely due to hydrophobic fentanyl redistribution readily back to blood. To determine whether fentanyl incorporated into an aerosol stable liposome that binds to nasal epithelial cells will enhance CNS drug exposure and analgesic effects and reduce plasma exposure, we constructed RGD liposomes anchored with acylated integrin binding peptides (palmitoyl-GRGDS). The RGD liposomes, which assume gel-phase membrane structure at 25°C were stable under the stress of aerosolization as only 2.2 ± 0.5 % calcein leakage detected. The RGD mediated integrin binding of liposome is also verified to be unaffected by aerosolization. Rats treated with fentanyl in RGD-liposome and POD device exhibited greater analgesic effect, compared to the free drug counterpart (AUCeffect = 1387.l vs. 760.1 %MPE*min); while ~20% reduced plasma drug exposure was noted (AUC0-120 = 208.2 vs 284.8 ng*min/ml). Collectively, fentanyl incorporated in RGD-liposomes are physically and biologically stable under aerosolization, enhanced the overall analgesic effects and reduced plasma drug exposure for the first 2 hours. PMID:24909764

  9. Overview of current applications in plasma medicine

    NASA Astrophysics Data System (ADS)

    Ryan, Thomas P.; Stalder, Kenneth R.

    2017-02-01

    Plasma medicine is a rapidly growing field of treatment, with the number and type of medical applications growing annually, such as dentistry, cancer treatment, wound treatment, Antimicrobial (bacteria, biofilm, virus, fungus, prions), and surface sterilization. Work promoting muscle and blood vessel regeneration and osteointegration is being investigated. This review paper will cover the latest treatments using gas-based plasmas in medicine. Disinfection of water and new commercial systems will also be reviewed, as well as vaccine deactivation. With the rapid increase in new investigators, development of new devices and systems for treatment, and wider clinical applications, Plasma medicine is becoming a powerful tool in in the field of medicine. There are a wide range of Plasma sources that allows customization of the effect. These variations include frequency (DC to MHz), voltage capacity (kV), gas source (He, Ar; O2, N2, air, water vapor; combinations), direct/indirect target exposure, and water targets.

  10. Plasma observations near Saturn - Initial results from Voyager 2

    NASA Technical Reports Server (NTRS)

    Bridge, H. S.; Bagenal, F.; Belcher, J. W.; Lazarus, A. J.; Mcnutt, R. L.; Sullivan, J. D.; Gazis, P. R.; Hartle, R. E.; Ogilvie, K. W.; Scudder, J. D.

    1982-01-01

    Results of plasma measurements made by Voyager 2 in the vicinity of Saturn are discussed and compared with those made by Pioneer 11 and Voyager 1 in a more limited range of latitudes. The initial bow shock crossing on the inbound trajectory closely agreed with the shock position inferred from the external ram pressure in the solar wind, although boundaries on the outbound pass were much further out than expected. Magnetospheric plasma observations reveal the presence of (1) shocked solar wind plasma in the magnetosheath between 30 and 22 Saturn radii; (2) a variable density region between 17 Saturn radii and the magnetopause; (3) an extended thick plasma sheet between 17 and 7 Saturn radii; and (4) an inner plasma torus probably originating from local sources. The ratio of heavy to light ions was observed to vary with distance to the equatorial plane in the dayside magnetosphere, with the heavy ions, probably O(+), more closely confined to the equatorial plane. The plasma data also account for the observed inner boundary of the neutral hydrogen torus discovered by Voyager 1.

  11. Experimental study of NO2 reduction in N2/Ar and O2/Ar mixtures by pulsed corona discharge.

    PubMed

    Zhu, Xinbo; Zheng, Chenghang; Gao, Xiang; Shen, Xu; Wang, Zhihua; Luo, Zhongyang; Cen, Kefa

    2014-11-01

    Non-thermal plasma technology has been regarded as a promising alternative technology for NOx removal. The understanding of NO2 reduction characteristics is extremely important since NO2 reduction could lower the total NO oxidation rate in the plasma atmosphere. In this study, NO2 reduction was experimentally investigated using a non-thermal plasma reactor driven by a pulsed power supply for different simulated gas compositions and operating parameters. The NO2 reduction was promoted by increasing the specific energy density (SED), and the highest conversion rates were 33.7%, 42.1% and 25.7% for Ar, N2/Ar and O2/Ar, respectively. For a given SED, the NO2 conversion rate had the order N2/Ar>Ar>O2/Ar. The highest energy yield of 3.31g/kWh was obtained in N2/Ar plasma and decreased with increasing SED; the same trends were also found in the other two gas compositions. The conversion rate decreased with increasing initial NO2 concentration. Furthermore, the presence of N2 or O2 led to different reaction pathways for NO2 conversion due to the formation of different dominating reactive radicals. Copyright © 2014. Published by Elsevier B.V.

  12. Lightweight Portable Plasma Medical Device - Plasma Engineering Research Laboratory

    DTIC Science & Technology

    2013-10-01

    Engineering Research Laboratory has made a momentous advancement on meeting the proposed milestones. The project has two objectives, in which the ...pressure plasma jet based on a dielectric barrier discharge configuration. The plasma and biological testing and characterization are in progress...1(b). Direct exposure of plasma involves, exposure of plasma directly on to a target treatment surface whereas the indirect plasma exposure involves

  13. Detection mechanism and characteristics of ZnO-based N2O sensors operating with photons

    NASA Astrophysics Data System (ADS)

    Jeong, T. S.; Yu, J. H.; Mo, H. S.; Kim, T. S.; Youn, C. J.; Hong, K. J.

    2013-11-01

    N2O sensors made with ZnO-based ZnCdO films were grown on Pyrex substrates by using the RF co-sputtering method. The structure of the N2O sensor was electrode/sensor/glass/illuminant. The mechanism of the photo-assisted oxidation and reduction process on the surface of the N2O sensors was investigated using light from a UV lamp and violet light emitting diode (LED). For photon exposure wavelengths of 365 and 405 nm, the sensitivity of the ZnO-based ZnCdO sensors was measured. From these measurements, the values of the sensitivity of the sensors with x = 0, 0.01, and 0.05 were found to be S = 1.44, 1.39, and 1.33 under LED light with a wavelength of 405 nm, respectively. These sensitivities were compared to those of SnO2 and WO3 materials measured at operating temperatures of 300-600 °C. Also, under exposure with UV light, the response times were observed to be 130 to 270 sec. These response times were slightly slower than that for the traditional method of thermal heating. However, they indicate that the described photon exposure method for N2O detection can replace the conventional heating mode. Consequently, we demonstrated that portable N2O sensors for room-temperature operation could be fabricated without thermal heating.

  14. SeO2 adsorption on CaO surface: DFT and experimental study on the adsorption of multiple SeO2 molecules

    NASA Astrophysics Data System (ADS)

    Fan, Yaming; Zhuo, Yuqun; Li, Liangliang

    2017-10-01

    SeO2 adsorption mechanisms on CaO surface were firstly investigated by both density functional theory (DFT) calculations and adsorption experiments. Adsorption of multiple SeO2 on the CaO (001) surface was investigated using slab model. Based on the results of adsorption energy and surface property, a double-layer adsorption mechanisms were proposed. In experiments, the SeO2 adsorption products were prepared in a U-shaped quartz reactor at 200 °C. The surface morphology was investigated by field emission scanning electron microscopy (FE-SEM). The superficial and total SeO2 mass fractions were measured by X-ray photoelectron spectroscopy (XPS) and inductively coupled plasma atomic emission spectroscopy (ICP-AES), respectively. The surface valence state and bulk structure are determined by XPS and X-Ray Diffraction (XRD). The experimental results are in good agreement with the DFT results. In conclusion, the fundamental SeO2 chemisorption mechanisms on CaO surface were suggested.

  15. Rilpivirine exposure in plasma and sanctuary site compartments after switching from nevirapine-containing combined antiretroviral therapy.

    PubMed

    Mora-Peris, Borja; Watson, Victoria; Vera, Jaime H; Weston, Rosy; Waldman, Adam D; Kaye, Steve; Khoo, Saye; Mackie, Nicola E; Back, David; Winston, Alan

    2014-06-01

    Pharmacokinetic parameters following modifications to antiretroviral therapy and sanctuary site exposure are often unknown for recently licensed antiretrovirals. We assessed plasma, CSF and seminal plasma (SP) exposure of rilpivirine after switching from nevirapine. HIV-infected male subjects receiving tenofovir/emtricitabine/nevirapine (245/200/400 mg) once daily switched to tenofovir/emtricitabine/rilpivirine (245/200/25 mg) once daily for 60 days when CSF and semen samples were collected. Mean and individual plasma concentrations of nevirapine and rilpivirine were compared with the proposed plasma target concentration for nevirapine (3000 ng/mL) and the protein binding-adjusted EC90 for rilpivirine (12.1 ng/mL). Mean rilpivirine CSF and SP concentrations were calculated and individual values compared with the EC50 and EC90 for wild-type virus (0.27 and 0.66 ng/mL, respectively). Of 13 subjects completing study procedures including CSF examination, 8 provided seminal samples. By day 3, the mean plasma rilpivirine trough concentration was 29.7 ng/mL (95% CI: 23.8-37). No patient presented rilpivirine plasma concentrations under the proposed threshold. The mean rilpivirine concentration in CSF was 0.8 ng/mL (95% CI: 0.7-1.0), representing a CSF : plasma ratio of 1.4%, with concentrations above the EC90 in 85% (11/13) of patients. In SP, the mean rilpivirine concentration was 4.9 ng/mL (95% CI: 3.3-7.2), representing an SP : plasma ratio of 9.5%, with all concentrations above the EC90. Switching from nevirapine- to rilpivirine-containing antiretroviral therapy was safe and well tolerated, with plasma rilpivirine concentrations above the protein binding-adjusted EC90 in all subjects. Rilpivirine concentrations were always above the EC50 in the CSF and the EC90 in SP. © The Author 2014. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  16. VUV Spectra observed in C-2 FRC plasma

    NASA Astrophysics Data System (ADS)

    Osin, Dmitry; Douglass, Jon; Tuszewski, Michel; TAE Team

    2014-10-01

    A grazing incidence flat-field spectrometer was installed for observation of vuv-spectra in C-2 FRC experiment. Wavelength calibration was done by observing spectra of six different gases produced by a hollow-cathode discharge lamp . In addition, in-situ calibration and alignment were performed utilizing neutral-beam heated gases. Wavelength regions between 16 nm and 170 nm was investigated with accuracy of about 0.02 nm. VUV-spectral lines of the most abundant impurity ions were identified both for Plasma Gun and C-2 plasmas. In addition to D spectrum, strong lines of O III-VI, N IV-V, C II-III, and Fe II ions were observed during the plasma lifetime. VUV radiative power losses within energy range from 7.3 eV to 81 eV were estimated based on the calculated FRC dimensions.

  17. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  18. Synthesis mechanism and preparation of LaMgAl11O19 powder for plasma spraying

    NASA Astrophysics Data System (ADS)

    He, Mingtao; Meng, Huimin; Wang, Yuchao; Ren, Pengwei

    2018-06-01

    Lanthanide magnesium hexaaluminate (LaMgAl11O19) powders were successfully synthesized by the solid-state reaction method. The objective of this study was to investigate the synthesis mechanism of LaMgAl11O19 and prepare LaMgAl11O19 powders suitable for plasma spraying. The results show that LaAlO3 reacts with MgAl2O4 and Al2O3 to form LaMgAl11O19 at approximately 1300 °C. Single-phase LaMgAl11O19 powders were prepared successfully by solid-state reaction at a synthesis temperature of 1600 °C for 6 h. Unlike the particles in the synthesized powders, those of the centrifugally spray-dried powders have a spherical shape with uniform granularity and good flowability, density, and particle size distribution, making them suitable for plasma spraying. The synthesized powders and centrifugally spray-dried powders remained as a single phase after heat treatment at 1300 °C for 100 h, indicating that LaMgAl11O19 has excellent high-temperature stability.

  19. Cryogenic Considerations for Superconducting Magnet Design for the Material Plasma Exposure eXperiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duckworth, Robert C; Demko, Dr. Jonathan A; Lumsdaine, Arnold

    2015-01-01

    In order to determine long term performance of plasma facing components such as diverters and first walls for fusion devices, next generation plasma generators are needed. A Material Plasma Exposure eXperiment (MPEX) has been proposed to address this need through the generation of plasmas in front of the target with electron temperatures of 1-15 eV and electron densities of 1020 to 1021 m-3. Heat fluxes on target diverters could reach 20 MW/m2. In order generate this plasma, a unique radio frequency helicon source and heating of electrons and ions through Electron Bernstein Wave (EBW) and Ion Cyclotron Resonance Heating (ICRH)more » has been proposed. MPEX requires a series of magnets with non-uniform central fields up to 2 T over a 5m length in the heating and transport region and 1 T uniform central field over a 1-m length on a diameter of 1.3 m. Given the field requirements, superconducting magnets are under consideration for MPEX. In order to determine the best construction method for the magnets, the cryogenic refrigeration has been analyzed with respect to cooldown and operational performance criteria for open-cycle and closed-cycle systems, capital and operating costs of these system, and maturity of supporting technology such as cryocoolers. These systems will be compared within the context of commercially available magnet constructions to determine the most economical method for MPEX operation. The current state of the MPEX magnet design including details on possible superconducting magnet configurations will be presented.« less

  20. Plasma-assisted synthesis of MoS2

    NASA Astrophysics Data System (ADS)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  1. Interaction of epitaxial silicene with overlayers formed by exposure to Al atoms and O2 molecules.

    PubMed

    Friedlein, R; Van Bui, H; Wiggers, F B; Yamada-Takamura, Y; Kovalgin, A Y; de Jong, M P

    2014-05-28

    As silicene is not chemically inert, the study and exploitation of its electronic properties outside of ultrahigh vacuum environments require the use of insulating capping layers. In order to understand if aluminum oxide might be a suitable encapsulation material, we used high-resolution synchrotron photoelectron spectroscopy to study the interactions of Al atoms and O2 molecules, as well as the combination of both, with epitaxial silicene on thin ZrB2(0001) films grown on Si(111). The deposition of Al atoms onto silicene, up to the coverage of about 0.4 Al per Si atoms, has little effect on the chemical state of the Si atoms. The silicene-terminated surface is also hardly affected by exposure to O2 gas, up to a dose of 4500 L. In contrast, when Al-covered silicene is exposed to the same dose, a large fraction of the Si atoms becomes oxidized. This is attributed to dissociative chemisorption of O2 molecules by Al atoms at the surface, producing reactive atomic oxygen species that cause the oxidation. It is concluded that aluminum oxide overlayers prepared in this fashion are not suitable for encapsulation since they do not prevent but actually enhance the degradation of silicene.

  2. Optical properties of Mg2+, Yb3+, and Ho3+ tri-doped LiNbO3 crystals

    NASA Astrophysics Data System (ADS)

    Dai, Li; Liu, Chun-Rui; Tan, Chao; Yan, Zhe-Hua; Xu, Yu-Heng

    2017-04-01

    A series of LiNbO3 crystals tri-doped with Mg{}2+, Yb{}3+, and Ho{}3+ are grown by the conventional Czochraski technique. The concentrations of Mg{}2+, Yb{}3+, and Ho{}3+ ions in Mg:Yb:Ho:LiNbO3 crystals are measured by using an inductively coupled plasma atomic emission spectrometry. The x-ray diffraction is proposed to determine the lattice constant and analyze the internal structure of the crystal. The light-induced scattering of Mg:Yb:Ho:LiNbO3 crystal is quantitatively described via the threshold effect of incident exposure energy flux. The exposure energy ({E}{{r}}) is calculated to discuss the optical damage resistance ability. The exposure energy of Mg(7 mol):Yb:Ho:LiNbO3 crystal is 709.17 J/cm2, approximately 425 times higher than that of the Mg(1 mol):Yb:Ho:LiNbO3 crystal in magnitude. The blue, red, and very intense green bands of Mg:Yb:Ho:LiNbO3 crystal are observed under the 980-nm laser excitation to evaluate the up-conversion emission properties. The dependence of the emission intensity on pumping power indicates that the up-conversion emission is a two-photon process. The up-conversion emission mechanism is discussed in detail. This study indicates that Mg:Yb:Ho:LiNbO3 crystal can be applied to the fabrication of new multifunctional photoluminescence devices. Project supported by the National Natural Science Foundation of China (Grant No. 51301055), the Youth Science Fund of Heilongjiang Province, China (Grant No. QC2015061), the Special Funds of Harbin Innovation Talents in Science and Technology Research, China (Grant No. 2015RQQXJ045 ), and the Science Funds for the Young Innovative Talents of Harbin University of Science and Technology, China (Grant No. 201501).

  3. Subsurface plasma in beam of continuous CO2-laser

    NASA Astrophysics Data System (ADS)

    Danytsikov, Y. V.; Dymshakov, V. A.; Lebedev, F. V.; Pismennyy, V. D.; Ryazanov, A. V.

    1986-03-01

    Experiments performed at the Institute of Atomic Energy established the conditions for formation of subsurface plasma in substances by laser radiation and its characteristics. A quasi-continuous CO2 laser emitting square pulses of 0.1 to 1.0 ms duration and 1 to 10 kW power as well as a continuous CO2 laser served as radiation sources. Radiation was focused on spots 0.1 to 0.5 mm in diameter and maintained at levels ensuring constant power density during the interaction time, while the temperature of the target surface was measured continuously. Metals, graphite and dielectric materials were tested with laser action taking place in air N2 + O2 mixtures, Ar or He atmosphere under pressures of 0.01 to 1.0 atm. Data on radiation intensity thresholds for evaporation and plasma formation were obtained. On the basis of these thresholds, combined with data on energy balance and the temperature profile in plasma layers, a universal state diagram was constructed for subsurface plasma with nonquantified surface temperature and radiation intensity coordinates.

  4. Plasma impact on structural, morphological and optical properties of copper acetylacetonate thin films

    NASA Astrophysics Data System (ADS)

    Abdel-Khalek, H.; El-Samahi, M. I.; El-Mahalawy, Ahmed M.

    2018-06-01

    The influence of plasma exposure on structural, morphological and optical properties of copper (II) acetylacetonate thin films deposited by thermal evaporation technique was investigated. Copper (II) acetylacetonate as-grown thin films were exposed to the atmospheric plasma for different times. The exposure of as-grown cu(acac)2 thin film to atmospheric plasma for 5 min modified its structural, morphological and optical properties. The effect of plasma exposure on structure and roughness of cu(acac)2 thin films was evaluated by XRD and AFM techniques, respectively. The XRD results showed an increment in crystallinity due to exposure for 5 min, but, when the exposure time reaches 10 min, the film was transformed to an amorphous state. The AFM results revealed a strong modification of films roughness when the average roughness decreased from 63.35 nm to 1 nm as a result of interaction with plasma. The optical properties of as-grown and plasma exposured cu(acac)2 thin films were studied using spectrophotometric method. The exposure of cu(acac)2 thin films to plasma produced the indirect energy gap decrease from 3.20 eV to 2.67 eV for 10 min exposure time. The dispersion parameters were evaluated in terms of single oscillator model for as-grown and plasma exposured thin films. The influence of plasma exposure on third order optical susceptibility was studied.

  5. Influence of deposition temperature on mechanical properties of plasma-sprayed hydroxyapatite coating on titanium alloy with ZrO2 intermediate layer

    NASA Astrophysics Data System (ADS)

    Chou, Bang-Yen; Chang, Edward

    2003-06-01

    Hydroxyapatite coatings were plasma sprayed on the Ti6A14V substrate with and without an intermediate ZrO2 layer; meanwhile the temperatures of substrates were varied at 90, 140, and 200 °C. The coatings were subjected to the standard adhesion test per ASTM C633-79. The purpose of the investigation was to study the effects of those processing variables on the bonding strength and failure behavior of the system. It is found that the bonding strengths of HA/ZrO2 and HA coatings generally decrease with increasing substrate temperature, except for the HA/ZrO2 coating deposited at 200 °C. The rationale of the results is attributed to the residual stress reported in the literature. Introducing ZrO2 bond coat is found to significantly promote the bonding strength of HA coating. The possible strengthening mechanism is the rougher surface of ZrO2 bond coat and the higher toughness of ZrO2, which provide the mechanical strengthening effects. The slightly denser HA in 200 °C deposited HA coating cannot explain the high bonding strength of the HA/ZrO2 coating, nor the mechanical strengthening effect of ZrO2 intermediate layer should apply. It is believed that a stronger diffusion bonding is formed at the interface of HA and ZrO2, which increases the bonding between them chemically. The bonding strengths of HA/ZrO2 and HA coatings are correlated with the area fraction of adhesive failure of the coatings. The correlation explains the findings in this study.

  6. Effects of sub-acute exposure to TiO2, ZnO and Al2O3 nanoparticles on oxidative stress and histological changes in mouse liver and brain.

    PubMed

    Shrivastava, Rupal; Raza, Saimah; Yadav, Abhishek; Kushwaha, Pramod; Flora, Swaran J S

    2014-07-01

    Nanomaterials are at the leading edge of the rapidly developing field of nanotechnology. However the information regarding toxicity of these nanoparticles on humans and environment is still deficient. The present study investigated the toxic effects of three metal oxide nanoparticles, TiO2, ZnO and Al2O3 on mouse erythrocytes, brain and liver. Male mice were administered a single oral dose of 500 mg/kg of each nanoparticles for 21 consecutive days. The results suggest that exposure to these nano metallic particles produced a significant oxidative stress in erythrocyte, liver and brain as evident from enhanced levels of Reactive Oxygen Species (ROS) and altered antioxidant enzymes activities. A significant increase in dopamine and norepinephrine levels in brain cerebral cortex and increased brain oxidative stress suggest neurotoxic potential of these nanoparticles. Transmission electron microscopic (TEM) analysis indicated the presence of these nanoparticles inside the cytoplasm and nucleus. These changes were also supported by the inhibition of CuZnSOD and MnSOD, considered as important biomarkers of oxidative stress. The toxic effects produced by these nanoparticles were more pronounced in the case of zinc oxide, followed by aluminum oxide and titanium dioxide, respectively. The present results further suggest the involvement of oxidative stress as one of the main mechanisms involved in nanoparticles induced toxic manifestations.

  7. Interaction mechanisms between α-Fe2O3, γ-Fe2O3 and Fe3O4 nanoparticles and Citrus maxima seedlings.

    PubMed

    Li, Junli; Hu, Jing; Xiao, Lian; Wang, Yunqiang; Wang, Xilong

    2018-06-01

    The interactions between α-Fe 2 O 3 , γ-Fe 2 O 3 , and Fe 3 O 4 nanoparticles (NPs) and Citrus maxima seedlings were examined so as to better understand possible particle applications as an Fe source for crop plants. NPs toxicity to the exposed plant was investigated as well. The α- and γ-Fe 2 O 3 NPs were accumulated by plant root cells through diapirism and endocytosis, respectively, but translocation to the shoots was negligible. Analysis of malondialdehyde (MDA), soluble protein content, and antioxidant enzyme activity revealed that Fe deficiency induced strong oxidative stress in Citrus maxima seedlings, which followed an order of Fe deficiency>Fe 3+ >α-Fe 2 O 3 , γ-Fe 2 O 3 NPs>Fe 3 O 4 NPs. However, the chlorophyll leaf content of plants exposed to α-Fe 2 O 3 , γ-Fe 2 O 3 , Fe 3 O 4 NPs and Fe 3+ were significantly reduced by 31.1%, 14.8%, 18.8% and 22.0%, respectively, relative to the control. Furthermore, RT-PCR analysis revealed no up-regulation of AHA and Nramp3 genes in Citrus maxima roots; however, the relative FRO2 gene expression upon exposure to iron oxide NPs was 1.4-2.8-fold higher than the control. Ferric reductase activity was consistently enhanced upon iron oxide NPs exposure. These findings advance understanding of the interaction mechanisms between metal oxide NPs and plants, and provide important knowledge need for the possible application of these materials in agriculture. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Effects of vitamin D3 supplementation and UVb exposure on the growth and plasma concentration of vitamin D3 metabolites in juvenile bearded dragons (Pogona vitticeps).

    PubMed

    Oonincx, D G A B; Stevens, Y; van den Borne, J J G C; van Leeuwen, J P T M; Hendriks, W H

    2010-06-01

    The effectiveness of dietary vitamin D3 and UVb exposure on plasma vitamin D metabolites in growing bearded dragons (Pogona vitticeps) was studied. A total of 84 (40 males and 44 females) newly hatched bearded dragons were allocated to six levels of oral vitamin D3 supplementation (0 to 400%) or six UVb exposure times (2 to 12 h). At 3 and 6 months of age, blood samples were obtained from each animal and analysed for 25(OH)D3 and 1,25(OH)2D3. At 3 months of age, plasma concentrations of 25(OH)D3 did not increase with increasing vitamin D3 supplementation unlike the 1,25(OH)2D3. At 6 months of age, plasma concentrations of both 25(OH)D(3) and 1,25(OH)2D3 increased with increasing vitamin D(3) supplementation. Plasma concentrations in UVb-exposed animals were 18 times higher for 25(OH)D3 (178.4+/-9.0 vs. 9.9+/-1.3 nmol/L) and 5.3 times higher for 1,25(OH)2D3 (1.205+/-0.100 vs. 0.229+/-0.025 nmol/L) than in vitamin D(3) supplemented animals at 6 months of age. This study shows that 2h of UVb exposure enables adequate physiological concentrations of plasma vitamin D metabolites to be maintained in growing bearded dragons. Oral supplementation of vitamin D(3) is ineffective in raising plasma concentrations of 25(OH)D3 and 1,25(OH)2D3 to concentrations observed in UVb-exposed animals. 2010 Elsevier Inc. All rights reserved.

  9. Associations between plasma concentrations of PCB 28 and possible indoor exposure sources in Danish school children and mothers.

    PubMed

    Egsmose, Emilie Lund; Bräuner, Elvira Vaclavik; Frederiksen, Marie; Mørck, Thit Aarøe; Siersma, Volkert Dirk; Hansen, Pernille Winton; Nielsen, Flemming; Grandjean, Philippe; Knudsen, Lisbeth E

    2016-02-01

    Polychlorinated biphenyls (PCBs) are ubiquitously present in the environment and are suspected of carcinogenic, neurotoxic and immunotoxic effects. Significantly higher plasma concentrations of the congener PCB 28 occur in children compared to adults. Exposure in schools may contribute to this difference. To determine whether increased blood plasma concentrations of PCB 28 in Danish school children and mothers are associated with living in homes or attending schools constructed in the PCB period (1959-1977). PCB 28 was analyzed in plasma samples from 116 children aged 6-11years and 143 mothers living in an urban and a rural area in Denmark and participating in the European pilot project DEMOCOPHES (Demonstration of a study to COordinate and Perform Human Biomonitoring on a European Scale). In Denmark, PCBs were used in construction in the period 1950-1977, and year of construction or renovation of the homes and schools was used as a proxy for indoor PCB exposure. Linear regression models were used to assess the association between potential PCB exposure from building materials and lipid adjusted concentrations of PCB 28 in plasma, with and without adjustment for potential confounders. Among the 116 children and 143 mothers, we were able to specify home construction period in all but 4 children and 5 mothers leaving 111 children and 138 mothers for our analyses. The median lipid adjusted plasma PCB 28 concentration was 3 (range: 1-28) ng/g lipid in the children and 2 (range: 1-8) ng/g lipid in the mothers. Children living in homes built in the PCB period had significantly higher lipid adjusted plasma PCB 28 concentrations compared to children living in homes built before or after the PCB period. Following adjustment for covariates, PCB 28 concentrations in children were 40 (95% CI: 13; 68) percent higher than concentrations of children living in homes constructed at other times. Furthermore, children attending schools built or substantially refurbished in the PCB

  10. Investigations of nanodimensional Al{sup 2}O{sup 3} films deposited by ion-plasma sputtering onto porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S.; Goloshchapov, D. L.

    2015-07-15

    The purpose of this study is the deposition of nanodimensional Al{sup 2}O{sup 3} films on the surface of nanoporous silicon and also fundamental investigations of the structural, optical, and morphological properties of these materials. Analyzing the results obtained here, it is possible to state that ultrathin nanostructured Al{sup 2}O{sup 3} films can be obtained in the form of threads oriented in one direction and located at a distance of 300–500 nm from each other using ion-plasma sputtering on a layer of porous silicon. Such a mechanism of aluminum-oxide growth is conditioned by the crystallographic orientation of the initial single-crystalline siliconmore » wafer used to fabricate the porous layer. The results of optical spectroscopy show that the Al{sup 2}O{sup 3}/por-Si/Si(111) heterophase structure perfectly transmits electromagnetic radiation in the range of 190–900 nm. The maximum in the dispersion of the refractive index obtained for the Al{sup 2}O{sup 3} film grown on por-Si coincides with the optical-absorption edge for aluminum oxide and is located in the region of ∼5.60 eV. This fact is confirmed by the results of calculations of the optical-absorption spectrum of the Al{sup 2}O{sup 3}/por-Si/Si(lll) heterophase structure. The Al{sup 2}O{sup 3} films formed on the heterophase-structure surface in the form of nanodimensional structured threads can serve as channels of optical conduction and can be rather efficiently introduced into conventional technologies, which are of great importance in microelectronics and optoelectronics.« less

  11. Magnetic Fe3O4@TiO2 Nanoparticles-based Test Strip Immunosensing Device for Rapid Detection of Phosphorylated Butyrylcholinesterase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ge, Xiaoxiao; Zhang, Weiying; Lin, Yuehe

    2013-12-15

    An integrated magnetic nanoparticles-based test-strip immunosensing device was developed for rapid and sensitive quantification of phosphorylated butyrylcholinesterase (BChE), the biomarker of exposure to organophosphous pesticides (OP), in human plasma. In order to overcome the difficulty in scarce availability of OP-specific antibody, here magnetic Fe3O4@TiO2 nanoparticles were used and adsorbed on the test strip through a small magnet inserted in the device to capture target OP-BChE through selective binding between TiO2 and OP moiety. Further recognition was completed by horseradish peroxidase (HRP) and anti-BChE antibody (Ab) co-immobilized gold nanoparticles (GNPs). Their strong affinities among Fe3O4@TiO2, OP-BChE and HRP/Ab-GNPs were characterized bymore » quartz crystal microbalance (QCM), surface plasmon resonance (SPR) and square wave voltammetry (SWV) measurements. After cutting off from test strip, the resulted immunocomplex (HRP/Ab-GNPs/OP-BChE/Fe3O4@TiO2) was measured by SWV using a screen printed electrode under the test zone. Greatly enhanced sensitivity was achieved by introduction of GNPs to link enzyme and antibody at high ratio, which amplifies electrocatalytic signal significantly. Moreover, the use of test strip for fast immunoreactions reduces analytical time remarkably. Coupling with a portable electrochemical detector, the integrated device with advanced nanotechnology displays great promise for sensitive, rapid and in-filed on-site evaluation of OP poisoning.« less

  12. Neutral O2 and Ion O2+ Sources from Rings into the Inner Magnetosphere

    NASA Astrophysics Data System (ADS)

    Elrod, M. K.; Johnson, R. E.; Cassidy, T. A.; Wilson, R. J.; Tseng, W.; Ip, W.

    2009-12-01

    The primary source of neutral O2 for Saturn’s magnetosphere is due to solar UV photons protons that produce O2 from H2O ice decomposition over the main rings as well as the tenuous F and G rings resulting in a tenuous O2 atmosphere (Johnson et. al. 2006). The O2 atmosphere is very thin to the point of being nearly collisionless. Our model of the atmosphere predict that as it interacts with the ring particles, the O2 is adsorbed and desorbed from the rings causing changes in the trajectories, which in turn, allows for a distribution of O2 from the rings throughout the magnetosphere (Tokar et. al. 2005; Tseng et. al. 2009). Predominately through photo-ionization and ion-exchange these O2 neutrals from the ice grains become a source for O2+ ions in the inner magnetosphere. Once the O2 becomes ionized to become O2+ the ions then follow the field lines. The ions interact with the ice particles in the rings to stick to the ring particles effectively reducing the ion density. As a result the ion density is greater over the Cassini Division and the area between the F and G ring where the optical depth due to the ice grain is less. Accordingly, the neutral O2 densities would tend to be high over the higher optical depth of the B and A main rings where the source rates are higher. Models of the neutral densities have shown high densities over the main rings, with a tail through the magnetosphere. Analysis of the CAPS (Cassini Plasma Spectrometer) data from the Saturn Orbit Insertion (SOI) in 2004 shows a peak in density over the Cassini Division and a higher peak in O2+ ion density between the F and G rings. References: Johnson, R.E., J.G. Luhmann, R.L. Tokar, M. Bouhram, J.J. Berthelier, E.C. Siler, J.F. Cooper, T.W. Hill, H.T. Smith, M. Michael, M. Liu, F.J. Crary, D.T. Young, "Production, Ionization and Redistribution of O2 Saturn's Ring Atmosphere" Icarus 180, 393-402 (2006).(pdf) Tokar, R.L., and 12 colleagues, 2005. Cassini Observations of the Thermal Plasma in the

  13. Long-term exposure of bacterial and protozoan communities to TiO2 nanoparticles in an aerobic-sequencing batch reactor

    NASA Astrophysics Data System (ADS)

    Supha, Chitpisud; Boonto, Yuphada; Jindakaraked, Manee; Ananpattarachai, Jirapat; Kajitvichyanukul, Puangrat

    2015-06-01

    Titanium dioxide (TiO2) nanopowders at different concentrations (0-50 mg L-1) were injected into an aerobic-sequencing batch reactor (SBR) to investigate the effects of long-term exposure to nanoparticles on bacterial and protozoan communities. The detection of nanoparticles in the bioflocs was analyzed by scanning electron microscopy, transmission electron microscopy, and energy-dispersive x-ray spectroscopy. The SBR wastewater experiments were conducted under the influence of ultraviolet light with photocatalytic TiO2. The intrusion of TiO2 nanoparticles was found both on the surface and inside of the bioflocs. The change of microbial population in terms of mixed liquor-suspended solids and the sludge volume index was monitored. The TiO2 nanoparticles tentatively exerted an adverse effect on the microbial population, causing the reduction of microorganisms (both bacteria and protozoa) in the SBR. The respiration inhibition rate of the bacteria was increased, and the viability of the microbial population was reduced at the high concentration (50 mg L-1) of TiO2. The decreasing number of protozoa in the presence of TiO2 nanoparticles during 20 days of treatment with 0.5 and 1.0 mg L-1 TiO2 is clearly demonstrated. The measured chemical oxygen demand (COD) in the effluent tends to increase with a long-term operation. The increase of COD in the system suggests a decrease in the efficiency of the wastewater treatment plant. However, the SBR can effectively remove the TiO2 nanoparticles (up to 50 mg L-1) from the effluent.

  14. Oxidative stress responses in Wistar rats on subacute exposure to pharmaceutical wastewater.

    PubMed

    Sharif, Ali; Ashraf, Muhammad; Javeed, Aqeel; Anjum, Aftab Ahmed; Akhtar, Muhammad Furqan; Akhtar, Bushra; Saleem, Ammara

    2016-12-01

    Water pollution has been a major concern for agrarian societies like Pakistan. Pharmaceutical industries are amongst the foremost contributor to industrial waste. Present study addresses the generation of oxidative stress caused by 2 months exposure to pharmaceutical wastewater in rats and their response to oral treatment with vitamin E, a potent antioxidant. The rats were randomized into five groups (n = 5) named as negative control, pharmaceutical wastewater (PEW) 100 %, PEW 10 %, PEW 1 %, and PEW 100 % + vitamin E. Oxidative damage in rats was evaluated by estimation of the activities of total superoxide dismutase (T-SOD), catalase (CAT), and the concentration of hydrogen peroxide (H 2 O 2 ) in the liver, kidney, and blood/plasma. Exposure to pharmaceutical wastewater significantly decreased the activities of T-SOD and CAT and concentration of H 2 O 2 in the liver and kidney and blood/plasma. Exposure to 100 % pharmaceutical wastewater exhibited a maximum decline in T-SOD activity, and activity was reduced to only 63.57 U/mL, 32.65, and 43.57 U/mg of protein in the plasma, kidney, and liver, respectively. Exposure to wastewater minimized activity CAT to 89.25 U/g of hemoglobin, 54.36, and 62.95 U/mg of protein in the blood, kidney, and liver, respectively. Treatment with vitamin E significantly increased the activity of T-SOD and CAT. However, increase in concentration of H 2 O 2 was also observed in vitamin E exposed rats. Histopathology of the kidney revealed coagulative necrosis of renal epithelial cells and peritubular congestion. Endocardium showed infiltration of inflammatory cells and cellular breakdown in some areas. Lung sections exhibited atelectasis and emphysema of alveoli suggesting decline in lung function. The anatomy of the liver was also compromised due to severe degeneration and cellular swelling. The present study concluded that pharmaceutical wastewater induced severe oxidative stress in Wistar rats and ensued in

  15. Insight into unusual impurity absorbability of GeO(2) in GeO(2)∕Ge stacks.

    PubMed

    Ogawa, Shingo; Suda, Taichi; Yamamoto, Takashi; Kutsuki, Katsuhiro; Hideshima, Iori; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2011-10-03

    Adsorbed species and its diffusion behaviors in GeO(2)∕Ge stacks, which are future alternative metal-oxide-semiconductor (MOS) materials, have been investigated using various physical analyses. We clarified that GeO(2) rapidly absorbs moisture in air just after its exposure. After the absorbed moisture in GeO(2) reaches a certain limit, the GeO(2) starts to absorb some organic molecules, which is accompanied by a structural change in GeO(2) to form a partial carbonate or hydroxide. We also found that the hydrogen distribution in GeO(2) shows intrinsic characteristics, indicative of different diffusion behaviors at the surface and at the GeO(2)∕Ge interface. Because the impurity absorbability of GeO(2) has a great influence on the electrical properties in Ge-MOS devices, these results provide valuable information in realizing high quality GeO(2)∕Ge stacks for the actual use of Ge-MOS technologies.

  16. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  17. In vitro bactericidal efficacy of atmospheric-pressure plasma jet on titanium-based implant infected with Staphylococcus aureus

    NASA Astrophysics Data System (ADS)

    Park, Young-Ouk; Lee, Chang-Min; Kim, Myung-Sun; Jung, Sang-Chul; Yang, Seong-Won; Kook, Min-Suk; Kim, Byung-Hoon

    2017-01-01

    Staphylococcus aureus is a representative of gram-positive bacteria that causes skin infection, respiratory diseases, and burned tissue infections. The aim of this study was to evaluate the sterilizing efficiency of an atmospheric-pressure plasma jet (APPJ) on S. aureus adhered on a titanium surface. During the APPJ sterilization, the plasma gases used were Ar, Ar+N2, and Ar+O2. With increasing APPJ treatment time, the viability of S. aureus decreased. The addition of O2 gas to Ar gas resulted in a higher sterilizing efficiency than the addition of other groups. Plasma exposure induced bacterial oxidative stress, and it was confirmed that the cell membrane was seriously damaged by the production of reactive oxygen species. Our finding suggests that the APPJ is an effective tool for clinical antimicrobial therapy.

  18. Atmospheric Pressure Non-Thermal Plasma Activation of CO2 in a Packed-Bed Dielectric Barrier Discharge Reactor.

    PubMed

    Mei, Danhua; Tu, Xin

    2017-11-17

    Direct conversion of CO 2 into CO and O 2 is performed in a packed-bed dielectric barrier discharge (DBD) non-thermal plasma reactor at low temperatures and atmospheric pressure. The maximum CO 2 conversion of 22.6 % is achieved when BaTiO 3 pellets are fully packed into the discharge gap. The introduction of γ-Al 2 O 3 or 10 wt % Ni/γ-Al 2 O 3 catalyst into the BaTiO 3 packed DBD reactor increases both CO 2 conversion and energy efficiency of the plasma process. Packing γ-Al 2 O 3 or 10 wt % Ni/γ-Al 2 O 3 upstream of the BaTiO 3 bed shows higher CO 2 conversion and energy efficiency compared with that of mid- or downstream packing modes because the reverse reaction of CO 2 conversion-the recombination of CO and O to form CO 2 -is more likely to occur in mid- and downstream modes. Compared with the γ-Al 2 O 3 support, the coupling of the DBD with the Ni catalyst shows a higher CO 2 conversion, which can be attributed to the presence of Ni active species on the catalyst surface. The argon plasma treatment of the reacted Ni catalyst provides extra evidence to confirm the role of Ni active species in the conversion of CO 2 . © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Nickel exposure and plasma levels of biomarkers for assessing oxidative stress in nickel electroplating workers.

    PubMed

    Tsao, Yu-Chung; Gu, Po-Wen; Liu, Su-Hsun; Tzeng, I-Shiang; Chen, Jau-Yuan; Luo, Jiin-Chyuan John

    2017-07-01

    The mechanism of nickel-induced pathogenesis remains elusive. To examine effects of nickel exposure on plasma oxidative and anti-oxidative biomarkers. Biomarker data were collected from 154 workers with various levels of nickel exposure and from 73 controls. Correlations between nickel exposure and oxidative and anti-oxidative biomarkers were determined using linear regression models. Workers with a exposure to high nickel levels had significantly lower levels of anti-oxidants (glutathione and catalase) than those with a lower exposure to nickel; however, only glutathione showed an independent association after multivariable adjustment. Exposure to high levels of nickel may reduce serum anti-oxidative capacity.

  20. Investigation of plasma dynamics and spatially varying O and OH concentrations in atmospheric pressure plasma jets impinging on glass, water and metal substrates

    NASA Astrophysics Data System (ADS)

    Yue, Yuanfu; Pei, Xuekai; Gidon, Dogan; Wu, Fan; Wu, Shuqun; Lu, Xinpei

    2018-06-01

    Atmospheric pressure plasma jets (APPJs) have attracted considerable attention over the last decade, specifically for use in surface engineering. A comparative study of an APPJ, driven by pulsed DC voltage, is conducted in order to examine the plasma impingement onto different surfaces. In this paper, the effect of gas flow rate and composition is investigated using three kinds of substrates: dielectric glass, distilled water and metal plate using fast imaging. Alongside discharges associated with rising and falling voltage, a so-called third discharge is observed during the pulse for water and metal surfaces which corresponds to a restrike breakdown from surfaces to nozzle. The differences in plasma dynamics observed are mainly attributed to the differences in substrate conductivity. In addition, spatial and temporal distributions of OH and O density are investigated by means of laser induced fluorescence (LIF). The OH/O LIF intensity is found to be much higher for metal and water substrates compared to the glass plate. We attribute this effect to the differences in power dissipation associated with the presence and intensity of the third discharge. Effects of gas flow rate and seed gas (H2O and O2) mixing on the LIF enhancement are also studied. The related results provide additional insights for optimizing the generation of reactive species.

  1. CAIRPOL CAIRCLIP O3-NO2

    EPA Science Inventory

    The CairPol CairClip O3-NO2 is a lightweight, portable sensor for measuring ozone (O3) and nitrogen dioxide (NO2) in parts per billion (ppb) or micrograms per cubic meter (µg/m3) in applications such as personal exposure and ...

  2. ESCA study of several fluorocarbon polymers exposed to atomic oxygen in low earth orbit or within or downstream from a radio-frequency oxygen plasma

    NASA Technical Reports Server (NTRS)

    Golub, Morton A.; Wydeven, Theodore; Cormia, Robert D.

    1989-01-01

    The ESCA (electron spectroscopy for chemical analysis) spectra of films of Tedlar, tetrafluoroethylene-hexafluoropropylene copolymer (in the form of a Teflon FEP coating on Kapton H, i.e., Kapton F), and polytetrafluoroethylene (Teflon or Teflon TFE), exposed to atomic oxygen O(3P) either in LEO on the STS-8 Space Shuttle or within or downstream from a radio-frequency oxygen plasma, were compared. The major difference in surface chemistry of Tedlar induced by the various exposures to O(3P) was a much larger uptake of oxygen when etched either in or out of the glow of an O2 plasma than when etched in LEO. In contrast, Kapton F exhibited very little surface oxidation during any of the three different exposures to O(3P), while Teflon was scarcely oxidized.

  3. Legionella pneumophila transcriptional response following exposure to CuO nanoparticles.

    PubMed

    Lu, Jingrang; Struewing, Ian; Buse, Helen Y; Kou, Jiahui; Shuman, Howard A; Faucher, Sébastien P; Ashbolt, Nicholas J

    2013-04-01

    Copper ions are an effective antimicrobial agent used to control Legionnaires' disease and Pontiac fever arising from institutional drinking water systems. Here, we present data on an alternative bactericidal agent, copper oxide nanoparticles (CuO-NPs), and its efficacy on Legionella pneumophila. In broth cultures, the CuO-NPs caused growth inhibition, which appeared to be concentration and exposure time dependent. The transcriptomic response of L. pneumophila to CuO-NP exposure was investigated by using a whole-genome microarray. The expression of genes involved in metabolism, transcription, translation, DNA replication and repair, and unknown/hypothetical proteins was significantly affected by exposure to CuO-NPs. In addition, expression of 21 virulence genes was also affected by exposure to CuO-NP and further evaluated by quantitative reverse transcription-PCR (qRT-PCR). Some virulence gene responses occurred immediately and transiently after addition of CuO-NPs to the cells and faded rapidly (icmV, icmW, lepA), while expression of other genes increased within 6 h (ceg29, legLC8, legP, lem19, lem24, lpg1689, and rtxA), 12 h (cegC1, dotA, enhC, htpX, icmE, pvcA, and sidF), and 24 h (legP, lem19, and ceg19), but for most of the genes tested, expression was reduced after 24 h of exposure. Genes like ceg29 and rtxA appeared to be the most responsive to CuO-NP exposures and along with other genes identified in this study may prove useful to monitor and manage the impact of drinking water disinfection on L. pneumophila.

  4. Thermochemistry of CaO-MgO-Al2O3-SiO2 (CMAS) and Advanced Thermal and Environmental Barrier Coating Systems

    NASA Technical Reports Server (NTRS)

    Costa, Gustavo; Zhu, Dongming

    2017-01-01

    CaO-MgO-Al2O3-SiO2 (CMAS) oxides are constituents in a broad number of materials and minerals which have recently inferred to discussions in materials science, planetary science, geochemistry and cosmochemistry communities. In materials science, there is increasing interest in the degradation studies of thermal (TBC) and environmental (EBC) barrier coatings of gas turbines by molten CMAS. CMAS minerals usually are carried by the intake air into gas turbines, e.g. in aircraft engines, and their deposits react at high temperatures (1000C) with the coating materials. This causes degradation and accelerated failure of the static and rotating components of the turbine engines. We discuss some preliminary results of the reactions between CMAS and Rare-Earth (RE Y, Yb, Dy, Gd, Nd and Sm) oxide stabilized ZrO2 or HfO2 systems, and the stability of the resulting oxides and silicates. Plasma sprayed hollow tube samples ( 2.2 mm and 26 mm height) were half filled with CMAS powder, wrapped and sealed with platinum foil, and heat treated at 1310 C for 5h. Samples were characterized by differential scanning calorimetry, X-ray diffraction and cross section electron microscopy analysis.

  5. Exposure of agricultural crops to nanoparticle CeO2 in biochar-amended soil.

    PubMed

    Servin, Alia D; De la Torre-Roche, Roberto; Castillo-Michel, Hiram; Pagano, Luca; Hawthorne, Joseph; Musante, Craig; Pignatello, Joseph; Uchimiya, Minori; White, Jason C

    2017-01-01

    Biochar is seeing increased usage as an amendment in agricultural soils but the significance of nanoscale interactions between this additive and engineered nanoparticles (ENP) remains unknown. Corn, lettuce, soybean and zucchini were grown for 28 d in two different soils (agricultural, residential) amended with 0-2000 mg engineered nanoparticle (ENP) CeO 2  kg -1 and biochar (350 °C or 600 °C) at application rates of 0-5% (w/w). At harvest, plants were analyzed for biomass, Ce content, chlorophyll and lipid peroxidation. Biomass from the four species grown in residential soil varied with species and biochar type. However, biomass in the agricultural soil amended with biochar 600 °C was largely unaffected. Biochar co-exposure had minimal impact on Ce accumulation, with reduced or increased Ce content occurring at the highest (5%) biochar level. Soil-specific and biochar-specific effects on Ce accumulation were observed in the four species. For example, zucchini grown in agricultural soil with 2000 mg CeO 2  kg -1 and 350 °C biochar (0.5-5%) accumulated greater Ce than the control. However, for the 600 °C biochar, the opposite effect was evident, with decreased Ce content as biochar increased. A principal component analysis showed that biochar type accounted for 56-99% of the variance in chlorophyll and lipid peroxidation across the plants. SEM and μ-XRF showed Ce association with specific biochar and soil components, while μ-XANES analysis confirmed that after 28 d in soil, the Ce remained largely as CeO 2 . The current study demonstrates that biochar synthesis conditions significantly impact interactions with ENP, with subsequent effects on particle fate and effects. Copyright © 2016 Elsevier Masson SAS. All rights reserved.

  6. Inhibition of ATPase activity in rat synaptic plasma membranes by simultaneous exposure to metals.

    PubMed

    Carfagna, M A; Ponsler, G D; Muhoberac, B B

    1996-03-08

    Inhibition of Na+/K+-ATPase and Mg2+-ATPase activities by in vitro exposure to Cd2+, Pb2+ and Mn2+ was investigated in rat brain synaptic plasma membranes (SPMs). Cd2+ and Pb2+ produced a larger maximal inhibition of Na+/K+-ATPase than of Mg2+-ATPase activity. Metal concentrations causing 50% inhibition of Na+/K+-ATPase activity (IC50 values) were Cd2+ (0.6 microM) < Pb2+ (2.1 microM) < Mn2+ (approximately 3 mM), and the former two metals were substantially more potent in inhibiting SPM versus synaptosomal Na+/K+-ATPase. Dixon plots of SPM data indicated that equilibrium binding of metals occurs at sites causing enzyme inhibition. In addition, IC50 values for SPM K+-dependent p-nitrophenylphosphatase inhibition followed the same order and were Cd2+ (0.4 microM) < Pb2+ (1.2 microM) < Mn2+ (300 microM). Simultaneous exposure to the combinations Cd2+/Mn2+ or Pb2+/Mn2+ inhibited SPM Na+/K+-ATPase activity synergistically (i.e., greater than the sum of the metal-induced inhibitions assayed separately), while Cd2+/Pb2+ caused additive inhibition. Simultaneous exposure to Cd2+/Pb2+ antagonistically inhibited Mg2+-ATPase activity while Cd2+/Mn2+ or Pb2+/Mn2+ additively inhibited Mg2+-ATPase activity at low Mn2+ concentrations, but inhibited antagonistically at higher concentrations. The similar IC50 values for Cd2+ and Pb2+ versus Mn2+ inhibition of Na+/K+-ATPase and the pattern of inhibition/activation upon exposure to two metals simultaneously support similar modes of interaction of Cd2+ and Pb2+ with this enzyme, in agreement with their chemical reactivities.

  7. SiO2 nanomaterial as a tool to improve Hordeum vulgare L. tolerance to nano-NiO stress.

    PubMed

    Soares, Cristiano; Branco-Neves, Simão; de Sousa, Alexandra; Azenha, Manuel; Cunha, Ana; Pereira, Ruth; Fidalgo, Fernanda

    2018-05-01

    This work was designed to assess the potential role of silicon dioxide nanomaterial (nano-SiO 2 ) in enhancing barley's tolerance to nickel oxide nanomaterial (nano-NiO). For this purpose, plants were grown for 14days under nano-NiO (120mgkg -1 ) single and co-exposure with nano-SiO 2 (3mgkg -1 ). The exposure of barley to nano-NiO caused a significant decrease in growth-related parameters and induced a negative response on the photosynthetic apparatus. However, upon nano-SiO 2 co-exposure, the inhibitory effects of nano-NiO were partially reduced, with lower reductions in fresh and dry biomass, and with the recovery of the photosynthesis-related parameters. Plants growing under nano-NiO stress showed an overproduction of superoxide anion (O 2 .- ), which favored the occurrence of oxidative stress and the enhancement of lipid peroxidation (LP), but the co-treatment with nano-SiO 2 reverted this tendency, generally lowering or maintaining the levels of LP and stimulating the redox pathway of thiols. The evaluation of the antioxidant (AOX) system revealed that nano-NiO induced the accumulation of proline, along with a decrease in ascorbate in leaves. Furthermore, superoxide dismutase (SOD) activity was significantly enhanced and catalase (CAT) and ascorbate peroxidase (APX) seemed to have a pivotal role in H 2 O 2 detoxification in leaves and roots, respectively. The response of the AOX system was even more prominent upon nano-SiO 2 co-exposure, reinforcing the ameliorating functions of this nanomaterial. Overall, the present study highlighted the protective role of nano-SiO 2 in barley plants under nano-NiO stress, possibly due to the Si-mediated protection against oxidative stress, by a more proactive performance of the plant AOX system. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Scratch and wear behaviour of plasma sprayed nano ceramics bilayer Al2O3-13 wt%TiO2/hydroxyapatite coated on medical grade titanium substrates in SBF environment

    NASA Astrophysics Data System (ADS)

    Palanivelu, R.; Ruban Kumar, A.

    2014-10-01

    Among the various coating techniques, plasma spray coating is an efficient technique to protect the metal surface from the various surface problems like wear and corrosion. The aim of this present work is to design and produce a bilayer coating on the non- toxic commercially pure titanium (denoted as CP-Ti) implant substrate in order to improve the biocompatibility and surface properties. To achieve that, Al2O3-13 wt%TiO2 (AT13) and hydroxyapatite (HAP) were coated on CP-Ti implant substrate using plasma spray coating technique. Further, the coated substrates were subjected to various characterization techniques. The crystallite size of coated HAP and its morphological studies were carried out using X-ray diffractometer (XRD) and scanning electron microscopy (SEM) respectively. The wear test on the bilayer (AT13/HAP) coated CP-Ti implant surface was conducted using ball-on-disc tester under SBF environment at 37 °C, in order to determine the wear rate and the coefficient of friction. The adhesion strength of the bilayer coated surface was evaluated by micro scratch tester under the ramp load conditions with load range of 14-20 N. The above said studies were repeated on the single layer coated HAP and AT13 implant surfaces. The results reveal that the bilayer (AT13/HAP) coated CP-Ti surface has the improved wear rate, coefficient of friction in compared to single layer coated HAP and AT13 surfaces.

  9. O2 on ganymede: Spectral characteristics and plasma formation mechanisms

    USGS Publications Warehouse

    Calvin, W.M.; Johnson, R.E.; Spencer, J.R.

    1996-01-01

    Weak absorption features in the visible reflectance spectrum of Jupiter's satellite Ganymede have been correlated to those observed in the spectrum of molecular oxygen. We examine the spectral characteristics of these absorption features in all phases of O2 and conclude that the molecular oxygen is most likely present at densities similar to the liquid or solid ??-phase. The contribution of O2 to spectral features observed on Ganymede in the near-infrared wavelength region affects the previous estimates of photon pathlength in ice. The concentration of the visible absorption features on the trailing hemisphere of Ganymede suggests an origin due to bombardment by magneto-spheric ions. We derive an approximate O2 formation rate from this mechanism and consider the state of O2 within the surface.

  10. Plasma impact on structural, morphological and optical properties of copper acetylacetonate thin films.

    PubMed

    Abdel-Khalek, H; El-Samahi, M I; El-Mahalawy, Ahmed M

    2018-06-15

    The influence of plasma exposure on structural, morphological and optical properties of copper (II) acetylacetonate thin films deposited by thermal evaporation technique was investigated. Copper (II) acetylacetonate as-grown thin films were exposed to the atmospheric plasma for different times. The exposure of as-grown cu(acac) 2 thin film to atmospheric plasma for 5min modified its structural, morphological and optical properties. The effect of plasma exposure on structure and roughness of cu(acac) 2 thin films was evaluated by XRD and AFM techniques, respectively. The XRD results showed an increment in crystallinity due to exposure for 5min, but, when the exposure time reaches 10min, the film was transformed to an amorphous state. The AFM results revealed a strong modification of films roughness when the average roughness decreased from 63.35nm to ~1nm as a result of interaction with plasma. The optical properties of as-grown and plasma exposured cu(acac) 2 thin films were studied using spectrophotometric method. The exposure of cu(acac) 2 thin films to plasma produced the indirect energy gap decrease from 3.20eV to 2.67eV for 10min exposure time. The dispersion parameters were evaluated in terms of single oscillator model for as-grown and plasma exposured thin films. The influence of plasma exposure on third order optical susceptibility was studied. Copyright © 2018 Elsevier B.V. All rights reserved.

  11. [The spectra of a laser-produced plasma source with CO2, O2 and CF4 liquid aerosol spray target].

    PubMed

    Ni, Qi-Liang; Chen, Bo

    2008-11-01

    A laser-produced plasma (LPP) source with liquid aerosol spray target and nanosecond laser was developed, based on both soft X-ray radiation metrology and extreme ultraviolet projection lithography (EUVL). The LPP source is composed of a stainless steel solenoid valve whose temperature can be continuously controlled, a Nd : YAG laser with pulse width, working wavelength and pulse energy being 7 ns, 1.064 microm and 1J respectively, and a pulse generator which can synchronously control the valve and the laser. A standard General Valve Corporation series 99 stainless steel solenoid valve with copper gasket seals and a Kel-F poppet are used in order to minimize leakage and poppet deformation during high-pressure cryogenic operation. A close fitting copper cooling jacket surrounds the valve body. The jacket clamps a copper coolant carrying tube 3 mm in diameter, which is fed by an automatically pressurized liquid nitrogen-filled dewar. The valve temperature can be controlled between 77 and 473 K. For sufficiently high backing pressure and low temperature, the valve reservoir gas can undergo a gas-to-liquid phase transition. Upon valve pulsing, the liquid is ejected into a vacuum and breaks up into droplets, which is called liquid aerosol spray target. For the above-mentioned LPP source, firstly, by the use of Cowan program on the basis of non-relativistic quantum mechanics, the authors computed the radiative transition wavelengths and probabilities in soft X-ray region for O4+, O5+, O6+, O7+, F5+, F6+ and F7+ ions which were correspondingly produced from the interaction of the 10(11)-10(12) W x cm(-2) power laser with liquid O2, CO2 and CF4 aerosol spray targets. Secondly, the authors measured the spectra of liquid O2, CO2 and CF4 aerosol spray target LPP sources in the 6-20 nm band for the 8 x 10(11) W x cm(-2) laser irradiance. The measured results were compared with the Cowan calculated results ones, and the radiative transition wavelength and probability for the

  12. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  13. Mass Spectrometric and Langmuir Probe Measurements in Inductively Coupled Plasmas in Ar, CHF3/Ar and CHF3/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Kim, J. S.; Rao, M. V. V. S.; Cappelli, M. A.; Sharma, S. P.; Meyyappan, M.; Arnold, Jim (Technical Monitor)

    2000-01-01

    Absolute fluxes and energy distributions of ions in inductively coupled plasmas of Ar, CHF3/Ar, and CHF3/Ar/O2 have been measured. These plasmas were generated in a Gaseous Electronics Conference (GEC) cell modified for inductive coupling at pressures 10-50 mTorr and 100-300 W of 13.56 MHz radio frequency (RF) power in various feedgas mixtures. In pure Ar plasmas, the Ar(+) flux increases linearly with pressure as well as RF-power. Total ion flux in CHF3 mixtures decreases with increase in pressure and also CHF3 concentration. Relative ion fluxes observed in the present studies are analyzed with the help of available cross sections for electron impact ionization and charge-exchange ion-molecule reactions. Measurements of plasma potential, electron and ion number densities, electron energy distribution function, and mean electron energy have also been made in the center of the plasma with a RF compensated Langmuir probe. Plasma potential values are compared with the mean ion energies determined from the measured ion energy distributions and are consistent. Electron temperature, plasma potential, and mean ion energy vary inversely with pressure, but increase with CHF3 content in the mixture.

  14. Impact on the deuterium retention of simultaneous exposure of tungsten to a steady state plasma and transient heat cycling loads

    NASA Astrophysics Data System (ADS)

    Huber, A.; Sergienko, G.; Wirtz, M.; Steudel, I.; Arakcheev, A.; Brezinsek, S.; Burdakov, A.; Dittmar, T.; Esser, H. G.; Kreter, A.; Linke, J.; Linsmeier, Ch; Mertens, Ph; Möller, S.; Philipps, V.; Pintsuk, G.; Reinhart, M.; Schweer, B.; Shoshin, A.; Terra, A.; Unterberg, B.

    2016-02-01

    The impact on the deuterium retention of simultaneous exposure of tungsten to a steady-state plasma and transient cyclic heat loads has been studied in the linear PSI-2 facility with the main objective of qualifying tungsten (W) as plasma-facing material. The transient heat loads were applied by a high-energy laser, a Nd:YAG laser (λ = 1064 nm) with an energy per pulse of up to 32 J and a duration of 1 ms. A pronounced increase in the D retention by a factor of 13 has been observed during the simultaneous transient heat loads and plasma exposure. These data indicate that the hydrogen clustering is enhanced by the thermal shock exposures, as seen on the increased blister size due to mobilization and thermal production of defects during transients. In addition, the significant increase of the D retention during the simultaneous loads could be explained by an increased diffusion of D atoms into the W material due to strong temperature gradients during the laser pulse exposure and to an increased mobility of D atoms along the shock-induced cracks. Only 24% of the retained deuterium is located inside the near-surface layer (d<4 μm). Enhanced blister formation has been observed under combined loading conditions at power densities close to the threshold for damaging. Blisters are not mainly responsible for the pronounced increase of the D retention.

  15. Inactivation of bacteria by a mixed argon and oxygen micro-plasma as a function of exposure time.

    PubMed

    Weng, Chih-Chiang; Wu, Yi-Te; Liao, Juinn-Der; Kao, Chi-Yuan; Chao, Chih-Cheng; Chang, Juu-En; Hsu, Bo-Wen

    2009-04-01

    A radio-frequency dielectric barrier discharge (DBD) was applied as a micro-plasma device for the inactivation of bacteria, e.g., Escherichia coli. The cultured bacteria were placed on a polydimethyl siloxane (PDMS) film and placed inside the DBD cavity. The bacteria were exposed to micro-plasmas of varying oxygen/argon ratios for different exposure times. The survival of the bacteria was measured by determining bacterial growth using optical methods. The excited oxygen species increased with the increase in the oxygen to argon ratio as measured by optical emission spectroscopy (OES), but the increase of excited oxygen species in argon micro-plasma did not enhance the inactivation of bacteria. In contrast, increases in the time the bacteria were exposed to the micro-plasma were of importance. The results show that a continuous plasma flow containing energetic and reactive species may result in electro-physical interactions with bacteria exposed to the plasma leading to their inactivation. For currently-employed DBD device, addition of 0.5% oxygen to the argon micro-plasma for an exposure time of 30 sec was optimum for the inactivation of E. coli.

  16. A facile synthesis of high quality nanostructured CeO2 and Gd2O3-doped CeO2 solid electrolytes for improved electrochemical performance.

    PubMed

    Kuo, Yu-Lin; Su, Yu-Ming; Chou, Hung-Lung

    2015-06-07

    This study describes the use of a composite nitrate salt solution as a precursor to synthesize CeO2 and Gd2O3-doped CeO2 (GDC) nanoparticles (NPs) using an atmospheric pressure plasma jet (APPJ). The microstructures of CeO2 and GDC NPs were found to be cubical and spherical shaped nanocrystallites with average particle sizes of 10.5 and 6.7 nm, respectively. Reactive oxygen species, detected by optical emission spectroscopy (OES), are believed to be the major oxidative agents for the formation of oxide materials in the APPJ process. Based on the material characterization and OES observations, the study effectively demonstrated the feasibility of preparing well-crystallized GDC NPs by the APPJ system as well as the gas-to-particle mechanism. Notably, the Bader charge of CeO2 and Ce0.9Gd0.1O2 characterized by density function theory (DFT) simulation and AC impedance measurements shows that Gd helps in increasing the charge on Ce0.9Gd0.1O2 NPs, thus improving their conductivity and making them candidate materials for electrolytes in solid oxide fuel cells.

  17. Effect of occupational EMF exposure from radar at two different frequency bands on plasma melatonin and serotonin levels.

    PubMed

    Singh, Sarika; Mani, Kumar Vyonkesh; Kapoor, Neeru

    2015-05-01

    To delineate the effect of chronic electromagnetic field (EMF) exposure from radar on plasma melatonin and serotonin levels in occupationally exposed military personnel. A total of 166 male military personnel participated in the study out of which only 155 joined for blood draw. They were divided into three sets: Control group (n = 68), exposure group I (n = 40) exposed to 8-12 GHz and exposure group II (n = 58) working with radar at 12.5-18 GHz frequency. The three groups were further split into two groups according to their years of service (up to 10 years and > 10 years) in order to investigate the effect of years of exposure from radar. Melatonin and serotonin levels were estimated by enzyme immunoassay in fasting blood samples collected from 06:00-07:00 h. EMF measurements were recorded at different locations using Satimo EME Guard 'Personal Exposure Meter' and Narda 'Broad Band Field Meter'. The group I exposed population registered a minor though not significant decrease in plasma melatonin concentration while the other group II exposed population registered statistically significant decline in melatonin concentration when compared with controls. Highly significant increase in plasma serotonin levels was found in exposure group II when compared to control whereas marginal non-significant rise was also registered in exposure group I in comparison to control. Exposure in terms of length of service up to 10 years did not produce any significant effect in the indoleamine levels in both the exposure groups when they were compared with their respective control groups. Whereas, length of service greater than 10 years was observed to decrease and increase respectively the melatonin and serotonin concentration significantly in exposure group II but not in exposure group I. However, correlation test did not yield any significant association between years of service and melatonin or serotonin levels respectively in both the exposure sets I and II. No significant

  18. Effects of estrogenic (o,p'-DDT; octylphenol) and anti-androgenic (p,p'-DDE) chemicals on indicators of endocrine status in juvenile male summer flounder (Paralichthys dentatus).

    PubMed

    Mills, L J; Gutjahr-Gobell, R E; Haebler, R A; Horowitz, D J; Jayaraman, S; Pruell, R J; McKinney, R A; Gardner, G R; Zaroogian, G E

    2001-04-01

    Laboratory experiments were conducted with male summer flounder to assess the value of selected measures of endocrine status in fish as indicators of exposure to endocrine-disrupting contaminants. Effects of 1,1,1-trichloro-2-(p-chlorophenyl)-2-(o-chlorophenyl) ethane (o,p'-DDT), octylphenol and 1,1-dichloro-2,2-bis (p-chlorophenyl) ethylene (p,p'-DDE) on hepatosomatic and gonadosomatic indices, plasma steroid hormone levels, vitellogenin production, and gonadal development were evaluated in laboratory-raised, juvenile male summer flounder. Flounder were injected twice with test chemical in a coconut oil carrier. Each chemical was tested at three different concentrations. Estrogenic (o,p'-DDT; octylphenol) and anti-androgenic (p,p'-DDE) chemicals were evaluated alone and in combination (octylphenol plus o,p'-DDT or p,p'-DDE). Additionally, some fish were treated with the natural ligand for the estrogen receptor, 17beta-estradiol. Blood and tissues from different fish in each treatment were sampled 4, 6 and 8 weeks after the first injection. Fish exposed to a combination of o,p'-DDT plus octylphenol were also sampled after 15 weeks. In all cases, responses of fish exposed to a test chemical were compared to control fish sampled at the same time. The following significant differences, relative to controls, were observed in at least one sampling time or at least one concentration of chemical. 17beta-Estradiol-treated flounder exhibited decreased gonadosomatic index (GSI), altered hepatosomatic index (HSI), elevated plasma estradiol, reduced plasma testosterone, and high levels of plasma vitellogenin. Fish treated with o,p'-DDT showed lower GSI, no change in HSI or plasma estradiol, depression of plasma testosterone, and induction of vitellogenesis. Octylphenol treatment resulted in lower GSI, no change in HSI, initially increased plasma estradiol and decreased testosterone, and no vitellogenin production. p,p'-DDE treatment did not significantly alter any indicator

  19. PLASMA AND LUNG MACROPHAGE CAROTENOID RESPONSIVENESS TO SUPPLEMENTATION AND OZONE EXPOSURE IN HUMANS

    EPA Science Inventory

    OBJECTIVE:: To examine the effect of ozone exposure and vegetable juice supplementation on plasma and lung macrophage concentrations of carotenoids. DESIGN:: A randomized trial. SETTING:: Subjects were exposed to ambient air prior to antioxidant supplementation and to ozone after...

  20. Optical plasma monitoring of Y-Ba-Cu-O rf sputter target transients

    NASA Astrophysics Data System (ADS)

    Klein, J. D.; Yen, A.

    1989-12-01

    The plasma emission spectra resulting from rf sputtering Y-Ba-Cu-O targets were observed as a function of sputter time. Although most lines of the observed spectra are not attributable to target species, peaks associated with each of the cation elements were resolved. The Ba and Cu peaks can be used as tracking indicators of process conditions. For example, switching from an O2/Ar sputter atmosphere to pure Ar enhanced the Ba peak much more than that associated with Cu. The emission spectra from a newly fabricated target exhibited a slow first-order transient response in seeking equilibrium with the rf plasma. The transient response of a previously sputtered target is also first order but has a much shorter time constant.

  1. Induction of stable ER–plasma-membrane junctions by Kv2.1 potassium channels

    PubMed Central

    Fox, Philip D.; Haberkorn, Christopher J.; Akin, Elizabeth J.; Seel, Peter J.; Krapf, Diego; Tamkun, Michael M.

    2015-01-01

    ABSTRACT Junctions between cortical endoplasmic reticulum (cER) and the plasma membrane are a subtle but ubiquitous feature in mammalian cells; however, very little is known about the functions and molecular interactions that are associated with neuronal ER–plasma-membrane junctions. Here, we report that Kv2.1 (also known as KCNB1), the primary delayed-rectifier K+ channel in the mammalian brain, induces the formation of ER–plasma-membrane junctions. Kv2.1 localizes to dense, cell-surface clusters that contain non-conducting channels, indicating that they have a function that is unrelated to membrane-potential regulation. Accordingly, Kv2.1 clusters function as membrane-trafficking hubs, providing platforms for delivery and retrieval of multiple membrane proteins. Using both total internal reflection fluorescence and electron microscopy we demonstrate that the clustered Kv2.1 plays a direct structural role in the induction of stable ER–plasma-membrane junctions in both transfected HEK 293 cells and cultured hippocampal neurons. Glutamate exposure results in a loss of Kv2.1 clusters in neurons and subsequent retraction of the cER from the plasma membrane. We propose Kv2.1-induced ER–plasma-membrane junctions represent a new macromolecular plasma-membrane complex that is sensitive to excitotoxic insult and functions as a scaffolding site for both membrane trafficking and Ca2+ signaling. PMID:25908859

  2. TiO2/SiO2 porous composite thin films: Role of TiO2 areal loading and modification with gold nanospheres on the photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Levchuk, Irina; Sillanpää, Mika; Guillard, Chantal; Gregori, Damia; Chateau, Denis; Parola, Stephane

    2016-10-01

    The aim of the work was to study photocatalytic activity of composite TiO2/Au/SiO2 thin films. Coatings were prepared using sol-gel technique. Physicochemical parameters of coatings were characterized using UV-vis spectrometry, scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectrometry (XPS), inductively coupled plasma optical emission spectroscopy (ICP-OES), ellipsometry, tactile measurements, goniometry and diffuse reflectance measurements. The photocatalytic activity of the films was tested in batch mode using aqueous solution of formic acid. Changes of formic acid concentration were determined by means of high pressure liquid chromatography (HPLC). Increase of initial degradation rate of formic acid was detected for TiO2/Au/SiO2 films with gold nanoparticle's load 0.5 wt.% and 1.25 wt.%. However, deeper insights using more detailed characterization of these coatings demonstrated that the improvement of the photocatalytic activity is more probably attributed to an increase in the areal loading of TiO2.

  3. Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4F 8 and Ar/CHF 3 plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2015-11-11

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C 4F 8 ALE based on steady-state Ar plasma in conjunction with periodic, precise C 4F 8 injection and synchronized plasma-based low energy Ar + ion bombardment has been established for SiO 2. 1 In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF 3 as a precursor is examined and compared to C 4F 8. CHF 3 is shown to enablemore » selective SiO 2/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and X-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. As a result, plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.« less

  4. Fe 2O 3–TiO 2 core–shell nanorod arrays for visible light photocatalytic applications

    DOE PAGES

    Yao, Kun; Basnet, Pradip; Sessions, Henry; ...

    2015-11-11

    By using the glancing angle deposition technique and post-deposition annealing, Fe 2O 3–TiO 2 core-shell nanorod arrays with specific crystalline states can be designed and fabricated. The Fe 2O 3–TiO 2 core-shell samples annealed at temperatures greater than 450°C formed α-Fe 2O 3 and anatase TiO 2, and showed higher catalytic efficiency for the degradation of methylene blue (MB) under visible light illumination when compared with pure anatase TiO 2 or α-Fe 2O 3 nanorod arrays. Solar conversion of carbon dioxide and water vapor in the presence of Fe 2O 3–TiO 2 core-shell nanorod arrays was also investigated. Carbon monoxide,more » hydrogen, methane, and methanol along with other hydrocarbons were produced after only several hours’ exposure under ambient sunlight. It was determined that the core-shell structure showed greater efficiency for solar CO 2 conversion than the pure TiO 2 nanorod arrays.« less

  5. Fungal community composition and function after long-term exposure of northern forests to elevated atmospheric CO2 and tropospheric O3

    Treesearch

    Ivan P. Edwards; Donald R. Zak

    2011-01-01

    The long-term effects of rising atmospheric carbon dioxide (CO2) and tropospheric O3 concentrations on fungal communities in soil are not well understood. Here, we examine fungal community composition and the activities of cellobiohydrolase and N-acetylglucosaminidase (NAG) after 10 years of exposure to 1...

  6. Absorption spectra measurements of the x-ray radiation heated SiO2 aerogel plasma in 'dog-bone' targets irradiated by high power laser pulses

    NASA Astrophysics Data System (ADS)

    Zhang, Y.; Dong, Q.-L.; Wang, S.-J.; Li, Y.-T.; Zhang, J.; Wei, H.-G.; Shi, J.-R.; Zhao, G.; Zhang, J.-Y.; Wen, T.-S.; Zhang, W.-H.; Hu, X.; Liu, S.-Y.; Ding, Y.-K.; Zhang, L.; Tang, Y.-J.; Zhang, B.-H.; Zheng, Z.-J.; Nishimura, H.; Fujioka, S.; Takabe, H.

    2008-05-01

    We studied the opacity effect of the SiO2 aerogel plasma heated by x-ray radiation produced by high power laser pulses irradiating the inner surface of golden 'dog-bone' targets. The PET crystal spectrometer was used to measure the absorption spectra of the plasmas in the range from 6.4 Å to 7.4 Å, among which the line emissions involving the K shell of Si ions from He-like to neutral atom were located. The experimental results were analyzed with Detailed-Level-Accounting method. As the plasma temperature increased, the characteristic lines of highly ionized ions gradually dominated the absorption spectrum.

  7. The Listeriolysin O PEST-like Sequence Co-opts AP-2-Mediated Endocytosis to Prevent Plasma Membrane Damage during Listeria Infection.

    PubMed

    Chen, Chen; Nguyen, Brittney N; Mitchell, Gabriel; Margolis, Shally R; Ma, Darren; Portnoy, Daniel A

    2018-06-13

    Listeriolysin O (LLO) is a cholesterol-dependent cytolysin that mediates escape of Listeria monocytogenes from a phagosome, enabling growth of the bacteria in the host cell cytosol. LLO contains a PEST-like sequence that prevents it from killing infected cells, but the mechanism involved is unknown. We found that the LLO PEST-like sequence was necessary to mediate removal of LLO from the interior face of the plasma membrane, where it coalesces into discrete puncta. LLO interacts with Ap2a2, an adaptor protein involved in endocytosis, via its PEST-like sequence, and Ap2a2-dependent endocytosis is required to prevent LLO-induced cytotoxicity. An unrelated PEST-like sequence from a human G protein-coupled receptor (GPCR), which also interacts with Ap2a2, could functionally complement the PEST-like sequence in L. monocytogenes LLO. These data revealed that LLO co-opts the host endocytosis machinery to protect the integrity of the host plasma membrane during L. monocytogenes infection. Copyright © 2018 Elsevier Inc. All rights reserved.

  8. Exploring the plasma chemistry in microwave chemical vapor deposition of diamond from C/H/O gas mixtures.

    PubMed

    Kelly, Mark W; Richley, James C; Western, Colin M; Ashfold, Michael N R; Mankelevich, Yuri A

    2012-09-27

    Microwave (MW)-activated CH(4)/CO(2)/H(2) gas mixtures operating under conditions relevant to diamond chemical vapor deposition (i.e., X(C/Σ) = X(elem)(C)/(X(elem)(C) + X(elem)(O)) ≈ 0.5, H(2) mole fraction = 0.3, pressure, p = 150 Torr, and input power, P = 1 kW) have been explored in detail by a combination of spatially resolved absorption measurements (of CH, C(2)(a), and OH radicals and H(n = 2) atoms) within the hot plasma region and companion 2-dimensional modeling of the plasma. CO and H(2) are identified as the dominant species in the plasma core. The lower thermal conductivity of such a mixture (cf. the H(2)-rich plasmas used in most diamond chemical vapor deposition) accounts for the finding that CH(4)/CO(2)/H(2) plasmas can yield similar maximal gas temperatures and diamond growth rates at lower input powers than traditional CH(4)/H(2) plasmas. The plasma chemistry and composition is seen to switch upon changing from oxygen-rich (X(C/Σ) < 0.5) to carbon-rich (X(C/Σ) > 0.5) source gas mixtures and, by comparing CH(4)/CO(2)/H(2) (X(C/Σ) = 0.5) and CO/H(2) plasmas, to be sensitive to the choice of source gas (by virtue of the different prevailing gas activation mechanisms), in contrast to C/H process gas mixtures. CH(3) radicals are identified as the most abundant C(1)H(x) [x = 0-3] species near the growing diamond surface within the process window for successful diamond growth (X(C/Σ) ≈ 0.5-0.54) identified by Bachmann et al. (Diamond Relat. Mater.1991, 1, 1). This, and the findings of similar maximal gas temperatures (T(gas) ~2800-3000 K) and H atom mole fractions (X(H)~5-10%) to those found in MW-activated C/H plasmas, points to the prevalence of similar CH(3) radical based diamond growth mechanisms in both C/H and C/H/O plasmas.

  9. Microtrenching-free two-step reactive ion etching of 4H-SiC using NF{sub 3}/HBr/O{sub 2} and Cl{sub 2}/O{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, Yuan-Hung, E-mail: yhtseng.ee99g@nctu.edu.tw; Tsui, Bing-Yue

    2014-05-15

    In this paper, the authors performed a reactive ion etch of a 4H-SiC substrate with a gas mixture of NF{sub 3}, HBr, and O{sub 2}, resulting in a microtrenching-free etch. The etch rate was 107.8 nm/min, and the selectivity over the oxide hard mask was ∼3.85. Cross-sectional scanning electron microscopy showed no microtrenching compared with etches using plasmas of NF{sub 3}, NF{sub 3}/HBr, and NF{sub 3}/O{sub 2}. Analyzing a variety of HBr/O{sub 2} mixing ratios, the authors discuss the additive effect of each gas and their respective potential mechanisms for alleviating microtrenching. To increase the radius of gyration of the bottommore » corners, they introduced a second etch step with Cl{sub 2}/O{sub 2} plasma. Fabricating simple metal-oxide-semiconductor capacitors on the two-step etched surface, the authors found that the electrical characteristics of the etched sample were nearly the same as the nonetched sample.« less

  10. Role of H2O2 in hypertension, renin-angiotensin system activation and renal medullary disfunction caused by angiotensin II

    PubMed Central

    Sousa, T; Oliveira, S; Afonso, J; Morato, M; Patinha, D; Fraga, S; Carvalho, F; Albino-Teixeira, A

    2012-01-01

    BACKGROUND AND PURPOSE Activation of the intrarenal renin-angiotensin system (RAS) and increased renal medullary hydrogen peroxide (H2O2) contribute to hypertension. We examined whether H2O2 mediated hypertension and intrarenal RAS activation induced by angiotensin II (Ang II). EXPERIMENTAL APPROACH Ang II (200 ng·kg−1·min−1) or saline were infused in Sprague Dawley rats from day 0 to day 14. Polyethylene glycol (PEG)-catalase (10 000 U·kg−1·day−1) was given to Ang II-treated rats, from day 7 to day 14. Systolic blood pressure was measured throughout the study. H2O2, angiotensin AT1 receptor and Nox4 expression and nuclear factor-κB (NF-κB) activation were evaluated in the kidney. Plasma and urinary H2O2 and angiotensinogen were also measured. KEY RESULTS Ang II increased H2O2, AT1 receptor and Nox4 expression and NF-κB activation in the renal medulla, but not in the cortex. Ang II raised plasma and urinary H2O2 levels, increased urinary angiotensinogen but reduced plasma angiotensinogen. PEG-catalase had a short-term antihypertensive effect and transiently suppressed urinary angiotensinogen. PEG-catalase decreased renal medullary expression of AT1 receptors and Nox4 in Ang II-infused rats. Renal medullary NF-κB activation was correlated with local H2O2 levels and urinary angiotensinogen excretion. Loss of antihypertensive efficacy was associated with an eightfold increase of plasma angiotensinogen. CONCLUSIONS AND IMPLICATIONS The renal medulla is a major target for Ang II-induced redox dysfunction. H2O2 appears to be the key mediator enhancing intrarenal RAS activation and decreasing systemic RAS activity. The specific control of renal medullary H2O2 levels may provide future grounds for the treatment of hypertension. PMID:22452317

  11. Incorporating High-Throughput Exposure Predictions with ...

    EPA Pesticide Factsheets

    We previously integrated dosimetry and exposure with high-throughput screening (HTS) to enhance the utility of ToxCast™ HTS data by translating in vitro bioactivity concentrations to oral equivalent doses (OEDs) required to achieve these levels internally. These OEDs were compared against regulatory exposure estimates, providing an activity-to-exposure ratio (AER) useful for a risk-based ranking strategy. As ToxCast™ efforts expand (i.e., Phase II) beyond food-use pesticides towards a wider chemical domain that lacks exposure and toxicity information, prediction tools become increasingly important. In this study, in vitro hepatic clearance and plasma protein binding were measured to estimate OEDs for a subset of Phase II chemicals. OEDs were compared against high-throughput (HT) exposure predictions generated using probabilistic modeling and Bayesian approaches generated by the U.S. EPA ExpoCast™ program. This approach incorporated chemical-specific use and national production volume data with biomonitoring data to inform the exposure predictions. This HT exposure modeling approach provided predictions for all Phase II chemicals assessed in this study whereas estimates from regulatory sources were available for only 7% of chemicals. Of the 163 chemicals assessed in this study, three or 13 chemicals possessed AERs <1 or <100, respectively. Diverse bioactivities y across a range of assays and concentrations was also noted across the wider chemical space su

  12. Effects of Hypergravity Exposure on Plasma Oxytocin (OT) Concentrations in Pregnant and Lactating Rat Dams

    NASA Technical Reports Server (NTRS)

    Baer, Lisa A.; Wade, Charles E.; Plaut, Karen; Ronca, April E.; Dalton, Bonnie (Technical Monitor)

    2002-01-01

    From pregnancy to weaning there is a progressive elevation of plasma oxytocin (OT) levels associated with nursing activity, irrespective of litter size. In the present study, we analyzed the effects of continuous 1.5G, 1.75G and 2.0G hypergravity exposure on OT plasma concentration in prepartum (Gestation Day 20) (G20) and lactating (Postnatal day) (P10) rat dams. For this study, litter size was controlled with a yoking procedure established in our lab where individual control litters were yoked-matched to individual hypergravity litters. We reviewed all data at hypergravity irrespective of gravitational level and compared the values with the controls in both G20 (HG, n=15;SC, n=9) and P10 (HG, n=21;SC, n=16). Results showed that over time, we did observe the expected OT increase in both groups. In G20 dams, measurement of OT concentrations showed no significance. However, at P10, measurements of OT concentrations suggest a reduction of about 20% compared to established controls in our laboratory, 0.9+/-0.09 ng/ml for the controls and 0.7+/-0.06 ng/ml for centrifuged animals (p<0.02). These data suggest that exposure to centrifugation may reduce OT levels during lactation. When these plasma samples were obtained, the dams were removed from the litters, and values were not adjusted for the size of the litters. The reduction in OT with centrifugation may reflect a decrease in nursing activity or a decreased responsiveness of the mammary hypothalamic axis. In addition, we have analyzed data on plasma prolactin concentrations and mammary gland development, which may give additional insight to the results of our OT measurements.

  13. Quantification of nerve agent VX-butyrylcholinesterase adduct biomarker from an accidental exposure.

    PubMed

    Solano, Maria I; Thomas, Jerry D; Taylor, James T; McGuire, Jeffrey M; Jakubowski, Edward M; Thomson, Sandra A; Maggio, Vincent L; Holland, Kerry E; Smith, J Richard; Capacio, Benedict; Woolfitt, Adrian R; Ashley, David L; Barr, John R

    2008-01-01

    The lack of data in the open literature on human exposure to the nerve agent O-ethyl-S-(2-diisopropylaminoethyl) methylphosphonothioate (VX) gives a special relevance to the data presented in this study in which we report the quantification of VX-butyrylcholinesterase adduct from a relatively low-level accidental human exposure. The samples were analyzed by gas chromatography-high resolution mass spectrometry using the fluoride ion regeneration method for the quantification of multiple nerve agents including VX. Six human plasma samples from the same individual were collected after the patient had been treated once with oxime immediately after exhibiting signs of exposure. Detection limits of approximately 5.5 pg/mL plasma were achieved for the G-analogue of VX (G-VX). Levels of the G-VX ranged from 81.4 pg/mL on the first day after the exposure to 6.9 pg/mL in the sample taken 27 days after the exposure. Based on the reported concentration of human butyrylcholinesterase in plasma of approximately 80 nM, it can be calculated that inhibition levels of >or= 0.05% of BuChE can be accurately quantified. These data further indicate that the fluoride ion regeneration method is a potentially powerful tool that can be used to assess low-level exposure to VX.

  14. Plasmas for environmental issues: from hydrogen production to 2D materials assembly

    NASA Astrophysics Data System (ADS)

    Tatarova, E.; Bundaleska, N.; Sarrette, J. Ph; Ferreira, C. M.

    2014-12-01

    generation in water discharges of intense UV radiation, shock waves and active radicals (OH, O, H2O2, etc), which are all effective agents against many biological pathogens and harmful chemicals, make these discharges suitable for decontamination, sterilization and purification processes. Moreover, plasmas appear as invaluable tools for the synthesis and engineering of new nanomaterials and in particular 2D materials. A brief overview on plasma-synthesized carbon nanostructures shows the high potential of such materials for energy conversion and storage applications.

  15. Characterization a low pressure of plasma of methanol (CH4O) alcohol

    NASA Astrophysics Data System (ADS)

    Villa, M.; Torres, C.; Reyes, P. G.; Osorio, D.; Castillo, F.; Martínez, H.

    2014-05-01

    The aim of this work is to explore the emission spectroscopy of Methanol alcohol plasma in some regions, also is determine the result elements of the glow discharge, the spectrums were observed in a range of 200 at 1100 nm in the different zones inside of the tube at different distances of 20 and 30 cm. The elements are: in anode region: C7H7 (451.06nm), C6H5 (483.02nm), CHO (519.56nm), H2 (560.46nm), C (607.02nm), H2 (661.46nm); cathode region: O2 (391.04nm), CHOCHO (428.00nm), H2 (656.52nm); to 20 and 30 cm region: O2+ (297.00nm), CO2+ (315.52nm), O+ (357.48nm), C+ (388.00nm).

  16. Vacuum plasma spray coating

    NASA Technical Reports Server (NTRS)

    Holmes, Richard R.; Mckechnie, Timothy N.

    1989-01-01

    Currently, protective plasma spray coatings are applied to space shuttle main engine turbine blades of high-performance nickel alloys by an air plasma spray process. Originally, a ceramic coating of yttria-stabilized zirconia (ZrO2.12Y2O3) was applied for thermal protection, but was removed because of severe spalling. In vacuum plasma spray coating, plasma coatings of nickel-chromium-aluminum-yttrium (NiCrAlY) are applied in a reduced atmosphere of argon/helium. These enhanced coatings showed no spalling after 40 MSFC burner rig thermal shock cycles between 927 C (1700 F) and -253 C (-423 F), while current coatings spalled during 5 to 25 test cycles. Subsequently, a process was developed for applying a durable thermal barrier coating of ZrO2.8Y2O3 to the turbine blades of first-stage high-pressure fuel turbopumps utilizing the enhanced NiCrAlY bond-coating process. NiCrAlY bond coating is applied first, with ZrO2.8Y2O3 added sequentially in increasing amounts until a thermal barrier coating is obtained. The enchanced thermal barrier coating has successfully passed 40 burner rig thermal shock cycles.

  17. Single exposure three-dimensional imaging of dusty plasma clusters.

    PubMed

    Hartmann, Peter; Donkó, István; Donkó, Zoltán

    2013-02-01

    We have worked out the details of a single camera, single exposure method to perform three-dimensional imaging of a finite particle cluster. The procedure is based on the plenoptic imaging principle and utilizes a commercial Lytro light field still camera. We demonstrate the capabilities of our technique on a single layer particle cluster in a dusty plasma, where the camera is aligned and inclined at a small angle to the particle layer. The reconstruction of the third coordinate (depth) is found to be accurate and even shadowing particles can be identified.

  18. Influence of oxygen in atmospheric-pressure argon plasma jet on sterilization of Bacillus atrophaeous spores

    NASA Astrophysics Data System (ADS)

    Lim, Jin-Pyo; Uhm, Han S.; Li, Shou-Zhe

    2007-09-01

    A nonequilibrium Ar /O2 plasma discharge at atmospheric pressure was carried out in a coaxial cylindrical reactor with a stepped electrode configuration powered by a 13.56MHz rf power supplier. The argon glow discharge with high electron density produces oxygen reactive species in large quantities. Argon plasma jets penetrate deep into ambient air and create a path for oxygen radicals to sterilize microbes. A sterilization experiment with bacterial endospores indicates that an argon-oxygen plasma jet very effectively kills endospores of Bacillus atrophaeus (ATCC 9372), thereby demonstrating its capability to clean surfaces and its usefulness for reinstating contaminated equipment as free from toxic biological warfare agents. The decimal reduction time (D values) of the Ar /O2 plasma jet at an exposure distance of 0.5-1.5cm ranges from 5 to 57s. An actinometric comparison of the sterilization data shows that atomic oxygen radicals play a significant role in plasma sterilization. When observed under a scanning electron microscope, the average size of the spores appears to be greatly reduced due to chemical reactions with the oxygen radicals.

  19. Sterilization/disinfection of medical devices using plasma: the flowing afterglow of the reduced-pressure N2-O2 discharge as the inactivating medium

    NASA Astrophysics Data System (ADS)

    Moisan, Michel; Boudam, Karim; Carignan, Denis; Kéroack, Danielle; Levif, Pierre; Barbeau, Jean; Séguin, Jacynthe; Kutasi, Kinga; Elmoualij, Benaïssa; Thellin, Olivier; Zorzi, Willy

    2013-07-01

    Potential sterilization/disinfection of medical devices (MDs) is investigated using a specific plasma process developed at the Université de Montréal over the last decade. The inactivating medium of the microorganisms is the flowing afterglow of a reduced-pressure N2-O2 discharge, which provides, as the main biocidal agent, photons over a broad ultraviolet (UV) wavelength range. The flowing afterglow is considered less damaging to MDs than the discharge itself. Working at gas pressures in the 400—700 Pa range (a few torr) ensures, through species diffusion, the uniform filling of large volume chambers with the species outflowing from the discharge, possibly allowing batch processing within them. As a rule, bacterial endospores are used as bio-indicators (BI) to validate sterilization processes. Under the present operating conditions, Bacillus atrophaeus is found to be the most resistant one and is therefore utilized as BI. The current paper reviews the main experimental results concerning the operation and characterization of this sterilizer/disinfector, updating and completing some of our previously published papers. It uses modeling results as guidelines, which are particularly useful when the corresponding experimental data are not (yet) available, hopefully leading to more insight into this plasma afterglow system. The species flowing out of the N2-O2 discharge can be divided into two groups, depending on the time elapsed after they left the discharge zone as they move toward the chamber, namely the early afterglow and the late afterglow. The early flowing afterglow from a pure N2 discharge (also called pink afterglow) is known to be comprised of N2+ and N4+ ions. In the present N2-O2 mixture discharge, NO+ ions are additionally generated, with a lifetime that extends over a longer period than that of the nitrogen molecular ions. We shall suppose that the disappearance of the NO+ ions marks the end of the early afterglow regime, thereby stressing our intent

  20. Human Ozone (O3) Exposure Alters Serum Profile of Lipid Metabolites

    EPA Science Inventory

    HUMAN OZONE (O3) EXPOSURE ALTERS SERUM PROFILE OF LIPID METABOLITES Miller, D B.1; Kodavanti, U P.2 Karoly, E D.3; Cascio W.E2, Ghio, A J. 21. UNC-Chapel Hill, Chapel Hill, N.C., United States. 2. NHEERL, U.S. EPA, RTP, N.C., United States. 3. METABOLON INC., Durham, N.C., United...