Sample records for o2 plasma treated

  1. CO2 Plasma-Treated TiO2 Film as an Effective Electron Transport Layer for High-Performance Planar Perovskite Solar Cells.

    PubMed

    Wang, Kang; Zhao, Wenjing; Liu, Jia; Niu, Jinzhi; Liu, Yucheng; Ren, Xiaodong; Feng, Jiangshan; Liu, Zhike; Sun, Jie; Wang, Dapeng; Liu, Shengzhong Frank

    2017-10-04

    Perovskite solar cells (PSCs) have received great attention because of their excellent photovoltaic properties especially for the comparable efficiency to silicon solar cells. The electron transport layer (ETL) is regarded as a crucial medium in transporting electrons and blocking holes for PSCs. In this study, CO 2 plasma generated by plasma-enhanced chemical vapor deposition (PECVD) was introduced to modify the TiO 2 ETL. The results indicated that the CO 2 plasma-treated compact TiO 2 layer exhibited better surface hydrophilicity, higher conductivity, and lower bulk defect state density in comparison with the pristine TiO 2 film. The quality of the stoichiometric TiO 2 structure was improved, and the concentration of oxygen-deficiency-induced defect sites was reduced significantly after CO 2 plasma treatment for 90 s. The PSCs with the TiO 2 film treated by CO 2 plasma for 90 s exhibited simultaneously improved short-circuit current (J SC ) and fill factor. As a result, the PSC-based TiO 2 ETL with CO 2 plasma treatment affords a power conversion efficiency of 15.39%, outperforming that based on pristine TiO 2 (13.54%). These results indicate that the plasma treatment by the PECVD method is an effective approach to modify the ETL for high-performance planar PSCs.

  2. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  3. S180 cell growth on low ion energy plasma treated TiO 2 thin films

    NASA Astrophysics Data System (ADS)

    Dhayal, Marshal; Cho, Su-In; Moon, Jun Young; Cho, Su-Jin; Zykova, Anna

    2008-03-01

    X-ray photoelectron spectroscopy (XPS) was used to characterise the effects of low energy (<2 eV) argon ion plasma surface modification of TiO 2 thin films deposited by radio frequency (RF) magnetron sputter system. The low energy argon ion plasma surface modification of TiO 2 in a two-stage hybrid system had increased the proportion of surface states of TiO 2 as Ti 3+. The proportion of carbon atoms as alcohol/ether (C sbnd OX) was decreased with increase the RF power and carbon atoms as carbonyl (C dbnd O) functionality had increased for low RF power treatment. The proportion of C( dbnd O)OX functionality at the surface was decreased at low power and further increase in power has showed an increase in its relive proportion at the surface. The growth of S180 cells was observed and it seems that cells are uniformly spreads on tissue culture polystyrene surface and untreated TiO 2 surfaces whereas small-localised cell free area can be seen on plasma treated TiO 2 surfaces which may be due to decrease in C( dbnd O)OX, increase in C dbnd O and active sites at the surface. A relatively large variation in the surface functionalities with no change in the surface roughness was achieved by different RF plasma treatments of TiO 2 surface whereas no significant change in S180 cell growth with different plasma treatments. This may be because cell growth on TiO 2 was mainly influenced by nano-surface characteristics of oxide films rather than surface chemistry.

  4. Enhanced Dispersion of TiO2 Nanoparticles in a TiO2/PEDOT:PSS Hybrid Nanocomposite via Plasma-Liquid Interactions

    NASA Astrophysics Data System (ADS)

    Liu, Yazi; Sun, Dan; Askari, Sadegh; Patel, Jenish; Macias-Montero, Manuel; Mitra, Somak; Zhang, Richao; Lin, Wen-Feng; Mariotti, Davide; Maguire, Paul

    2015-10-01

    A facile method to synthesize a TiO2/PEDOT:PSS hybrid nanocomposite material in aqueous solution through direct current (DC) plasma processing at atmospheric pressure and room temperature has been demonstrated. The dispersion of the TiO2 nanoparticles is enhanced and TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased electrical conductivity was observed for the plasma treated TiO2/PEDOT:PSS nanocomposite. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma treated TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are proposed to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer binding.

  5. Plasma sterilization of Geobacillus Stearothermophilus by O{mathsf2}:N{mathsf2} RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Sasaki, T.; Rossi, F.

    2006-05-01

    The aim of this work is to identify the main process responsible for sterilization of Geobacillus Stearothermophilus spores in O{2}:N{2} RF inductively coupled plasma. In order to meet this objective the sterilization efficiencies of discharges in mixtures differing in the initial O{2}/N{2} ratios are compared with plasma properties and with scanning electron microscopy images of treated spores. According to the obtained results it can be concluded that under our experimental conditions the time needed to reach complete sterilization is more related to O atom density than UV radiation intensity, i.e. complete sterilization is not related only to DNA damage as in UV sterilization but more likely to the etching of the spore.

  6. Indium doped ZnO nano-powders prepared by RF thermal plasma treatment of In2O3 and ZnO

    NASA Astrophysics Data System (ADS)

    Lee, Mi-Yeon; Song, Min-Kyung; Seo, Jun-Ho; Kim, Min-Ho

    2015-06-01

    Indium doped ZnO nano-powders were synthesized by the RF thermal plasma treatment of In2O3 and ZnO. For this purpose, micron-sized ZnO powder was mixed with In2O3 powder at the In/Zn ratios of 0.0, 1.2, and 2.4 at. % by ball milling for 1 h, after which the mixtures were injected into RF thermal plasma generated at the plate power level of ˜140 kV A. As observed from the field emission scanning electron microscopy (FE-SEM) images of the RF plasma-treated powders, hexagonal prism-shaped nano-crystals were mainly obtained along with multi-pod type nano-particles, where the number of multi-pods decreased with increasing In/Zn ratios. In addition, the X-ray diffraction (XRD) data for the as-treated nano-powders showed the diffraction peaks for the In2O3 present in the precursor mixture to disappear, while the crystalline peaks for the single phase of ZnO structure shifted toward lower Bragg angles. In the UV-vis absorption spectra of the as-treated powders, redshifts were also observed with increases of the In/Zn ratios. Together with the FE-SEM images and the XRD data, the redshifts were indicative of the doping process of ZnO with indium, which took place during the RF thermal plasma treatment of In2O3 and ZnO.

  7. Photocatalytic Degradation Effect of μ-Dielectric Barrier Discharge Plasma Treated Titanium Dioxide Nanoparticles on Environmental Contaminant.

    PubMed

    Seo, Hyeon Jin; Hwang, Ki-Hwan; Na, Young Hoon; Boo, Jin-Hyo

    2018-09-01

    This study focused on the photocatalytic degradation effect of the μ-dielectric barrier discharge (μ-DBD) plasma treated titanium dioxide (TiO2) nanoparticles on environmental contaminant such as formaldehyde. TiO2 nanoparticles were treated by a μ-DBD plasma source with nitrogen gas. We analyzed the degradation of formaldehyde with the plasma treated TiO2 nanoparticles by UV-visible spectrophotometer (UV-VIS), and demonstrated that the photocatalytic activity of the μ-DBD plasma-treated TiO2 nanoparticles showed significantly high catalytic efficiency rather than without plasma treated TiO2 nanoparticles. Field emission scanning electron microscopes (FE-SEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and water contact angle analyzer were used to measure the effects of photocatalytic degradation for the plasma treated TiO2 nanoparticles.

  8. Conversion of CH4/CO2 to syngas over Ni-Co/Al2O3-ZrO2 nanocatalyst synthesized via plasma assisted co-impregnation method: Surface properties and catalytic performance

    NASA Astrophysics Data System (ADS)

    Rahemi, Nader; Haghighi, Mohammad; Akbar Babaluo, Ali; Fallah Jafari, Mahdi; Khorram, Sirous

    2013-09-01

    Ni/Al2O3 catalyst promoted by Co and ZrO2 was prepared by co-impregnation method and treated with glow discharge plasma. The catalytic activity of the synthesized nanocatalysts has been tested toward conversion of CH4/CO2 to syngas. The physicochemical characterizations like XRD, EDX, FESEM, TEM, BET, FTIR, and XPS show that plasma treatment results in smaller particle size, more surface concentration, and uniform morphology. The dispersion of nickel in plasma-treated nanocatalyst was also significantly improved, which was helpful for controlling the ensemble size of active phase atoms on the support surface. Improved physicochemical properties caused 20%-30% enhancement in activity of plasma-treated nanocatalyst that means to achieve the same H2 or CO yield, the plasma-treated nanocatalyst needed about 100 °C lower reaction temperature. The H2/CO ratio got closer to 1 at higher temperatures and finally at 850 °C H2/CO = 1 is attained for plasma-treated nanocatalyst. Plasma-treated nanocatalyst due to smaller Ni particles and strong interaction between active phase and support has lower tendency to keep carbon species on its structure and hence excellent stability can be observed for this catalyst.

  9. Experimental investigation of the contact resistance of Graphene/MoS2 interface treated with O2 plasma

    NASA Astrophysics Data System (ADS)

    Lu, Qin; Liu, Yan; Han, Genquan; Fang, Cizhe; Shao, Yao; Zhang, Jincheng; Hao, Yue

    2018-02-01

    High contact resistance has been a major bottleneck for MoS2 to achieve high performances among two-dimensional material based optoelectronic and electronic devices. In this study, we investigate the contact resistances of different layered graphene film with MoS2 film with Ti/Au electrodes under different O2 plasma treatment time using the circular transmission line model (CTLM). Annealing process followed O2 plasma process to reduce the oxygen element introduced. Raman and X-ray photoelectric spectroscopy were used to analyze the quality of the materials. Finally, the current and voltage curve indicates good linear characteristics. Under the optimized condition of the O2 plasma treatment, a relatively low contact resistance (∼35.7 Ohm mm) without back gate voltage in single-layer graphene/MoS2 structure at room temperature was achieved compared with the existing reports. This method of introducing graphene as electrodes for MoS2 film demonstrates a remarkable ability to improve the contact resistance, without additional channel doping for two-dimensional materials based devices, which paves the way for MoS2 to be a more promising channel material in optoelectronic and electronic integration.

  10. Water plasma functionalized CNTs/MnO2 composites for supercapacitors.

    PubMed

    Hussain, Shahzad; Amade, Roger; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg(-1), for untreated CNTs, up to 750 Fg(-1), for water plasma-treated CNTs.

  11. Water Plasma Functionalized CNTs/MnO2 Composites for Supercapacitors

    PubMed Central

    Hussain, Shahzad; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg−1, for untreated CNTs, up to 750 Fg−1, for water plasma-treated CNTs. PMID:24348189

  12. Realization of write-once-read-many-times memory device with O{sub 2} plasma-treated indium gallium zinc oxide thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.

    2014-01-20

    A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying amore » voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.« less

  13. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    NASA Astrophysics Data System (ADS)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  14. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  15. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE PAGES

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; ...

    2017-10-26

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  16. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible lightmore » absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.« less

  17. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Astrophysics Data System (ADS)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  18. Plasma-Treated Microplates with Enhanced Protein Recoveries and Minimized Extractables

    PubMed Central

    Weikart, Christopher M.; Klibanov, Alexander M.; Breeland, Adam P.; Taha, Ahmad H.; Maurer, Brian R.; Martin, Steven P.

    2016-01-01

    SiO2 Medical Products, Inc. (SiO) has developed a proprietary technology that greatly enhances protein recoveries and reduces extractables from commercial microplates used for bioanalytical assays and storage of biologics. SiO technology is based on plasma treatment that chemically modifies the surface of polypropylene with predominantly hydrogen-bond-acceptor uncharged polar groups. The resultant surface resists nonspecific protein adsorption over a wide range of protein concentrations, thereby eliminating the need to passivate (and hence potentially contaminate) the microplates with blocking proteins. High shelf-life stability and cleanliness of the plasma-treated microplates have been demonstrated using five different proteins for two common microplate formats. The protein recovery performance of plasma-treated microplates is found to be higher compared with commercial low-protein-binding microplates. PMID:27651466

  19. Influence of Ar/O2/H2O Feed Gas and N2/O2/H2O Environment on the Interaction of Time Modulated MHz Atmospheric Pressure Plasma Jet (APPJ) with Model Polymers

    NASA Astrophysics Data System (ADS)

    Oehrlein, Gottlieb; Luan, Pingshan; Knoll, Andrew; Kondeti, Santosh; Bruggeman, Peter

    2016-09-01

    An Ar/O2/H2O fed time modulated MHz atmospheric pressure plasma jet (APPJ) in a sealed chamber was used to study plasma interaction with model polymers (polystyrene, poly-methyl methacrylate, etc.). The amount of H2O in the feed gas and/or present in the N2, O2, or N2/O2 environment was controlled. Short lived species such as O atoms and OH radicals play a crucial role in polymer etching and surface modifications (obtained from X-ray photoelectron spectroscopy of treated polymers without additional atmospheric exposure). Polymer etching depth for Ar/air fed APPJ mirrors the decay of gas phase O atoms with distance from the APPJ nozzle in air and is consistent with the estimated O atom flux at the polymer surface. Furthermore, whereas separate O2 or H2O admixture to Ar enhances polymer etching, simultaneous addition of O2 and H2O to Ar quenches polymer etching. This can be explained by the mutual quenching of O with OH, H and HO2 in the gas phase. Results where O2 and/or H2O in the environment were varied are consistent with these mechanisms. All results will be compared with measured and simulated species densities reported in the literature. We gratefully acknowledge funding from US Department of Energy (DE-SC0001939) and National Science Foundation (PHY-1415353).

  20. Plasma-assisted adsorption of elemental mercury on CeO2/TiO2 at low temperatures

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Gao, Xiang

    2017-11-01

    Mercury is a kind of pollutants contained in flue gas which is hazardous for human beings. In this work, CeO2 was packed in the discharge zone of a plasma reactor to adsorb elemental mercury at low temperatures. Plasma-catalyst reactor can remove Hg0 efficiently with CeO2/TiO2 catalysts packed in the discharge zone. The Hg0 concentration continued to decrease gradually when the plasma was turned on, but not sank rapidly. This tendency was different with other catalysts. The treatment of plasma to CeO2/TiO2 catalysts has a promotion effect on the adsorption of Hg0. Plasma has the effect of changing the surface properties of the catalysts and the changes would restitute if the condition changed. The long-running test demonstrated that this method is an effective way to remove Hg0. The removal efficiency remained at above 99% throughout 12 hours when plasma had been turned on (15kV, 0.5 g packed CeO2/TiO2).

  1. Characteristics of epoxy resin/SiO2 nanocomposite insulation: effects of plasma surface treatment on the nanoparticles.

    PubMed

    Yan, Wei; Phung, B T; Han, Zhao Jun; Ostrikov, Kostya

    2013-05-01

    The present study compares the effects of two different material processing techniques on modifying hydrophilic SiO2 nanoparticles. In one method, the nanoparticles undergo plasma treatment by using a custom-developed atmospheric-pressure non-equilibrium plasma reactor. With the other method, they undergo chemical treatment which grafts silane groups onto their surface and turns them into hydrophobic. The treated nanoparticles are then used to synthesize epoxy resin-based nanocomposites for electrical insulation applications. Their characteristics are investigated and compared with the pure epoxy resin and nanocomposite fabricated with unmodified nanofillers counterparts. The dispersion features of the nanoparticles in the epoxy resin matrix are examined through scanning electron microscopy (SEM) images. All samples show evidence that the agglomerations are smaller than 30 nm in their diameters. This indicates good dispersion uniformity. The Weibull plot of breakdown strength and the recorded partial discharge (PD) events of the epoxy resin/plasma-treated hydrophilic SiO2 nanocomposite (ER/PTI) suggest that the plasma-treated specimen yields higher breakdown strength and lower PD magnitude as compared to the untreated ones. In contrast, surprisingly, lower breakdown strength is found for the nanocomposite made by the chemically treated hydrophobic particles, whereas the PD magnitude and PD numbers remain at a similar level as the plasma-treated ones.

  2. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  3. Nitrogen-doping of bulk and nanotubular TiO2 photocatalysts by plasma-assisted atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Creatore, Mariadriana; Ma, Quan-Bao; El Boukili, Aishah; Gao, Lu; Verheijen, Marcel A.; Verhoeven, M. W. G. M. (Tiny); Hensen, Emiel. J. M.

    2015-03-01

    Plasma-assisted atomic layer deposition (PA-ALD) was adopted to deposit TiO2-xNx ultrathin layers on Si wafers, calcined Ti foils and nanotubular TiO2 arrays. A range of N content and chemical bond configurations were obtained by varying the background gas (O2 or N2) during the Ti precursor exposure, while the N2/H2-fed inductively coupled plasma exposure time was varied between 2 and 20 s. On calcined Ti foils, a positive effect from N doping on photocurrent density was observed when O2 was the background gas with a short plasma exposure time (5 and 10 s). This correlates with the presence of interstitial N states in the TiO2 with a binding energy of 400 eV (Ninterst) as measured by X-ray photoelectron spectroscopy. A longer plasma time or the use of N2 as background gas results in formation of N state with a binding energy of 396 eV (Nsubst) and very low photocurrents. These Nsubst are linked to the presence of Ti3+, which act as detrimental recombination center for photo-generated electron-hole pairs. On contrary, PA-ALD treated nanotubular TiO2 arrays show no variation of photocurrent density (with respect to the pristine nanotubes) upon different plasma exposure times and when the O2 recipe was adopted. This is attributed to constant N content in the PA-ALD TiO2-xNx, regardless of the adopted recipe.

  4. Plasma membrane damage to Candida albicans caused by chlorine dioxide (ClO2).

    PubMed

    Wei, M-K; Wu, Q-P; Huang, Q; Wu, J-L; Zhang, J-M

    2008-08-01

    To investigate the plasma membrane damage of chlorine dioxide (ClO(2)) to Candida albicans ATCC10231 at or below the minimal fungicidal concentration (MFC). ClO(2) at MFC or below was adopted to treat the cell suspensions of C. albicans ATCC10231. Using transmission electron microscopy, no visible physiological alteration of cell shape and plasma membrane occurred. Potassium (K(+)) leakages were significant; likewise, it showed time- and dose-dependent increases. However, adenosine triphosphate (ATP) leakages were very slight. Research shows that when 99% of the cells were inactivated, the leakage was measured at 0.04% of total ATP. Compared with the mortality-specific fluorescent dye of DiBAC(4)(3), majority of the inactivated cells were poorly stained by propidium iodide, another mortality-specific fluorescent dye which can be traced by flow cytometry. At or below MFC, ClO(2) damages the plasma membranes of C. albicans mainly by permeabilization, rather than by the disruption of their integrity. K(+) leakage and the concomitant depolarization of the cell membrane are some of the critical events. These insights into membrane damages are helpful in understanding the action mode of ClO(2).

  5. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    NASA Astrophysics Data System (ADS)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-07-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications.

  6. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    PubMed Central

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  7. Plasma Treated Active Carbon for Capacitive Deionization of Saline Water

    DOE PAGES

    Zeng, Aiping; Shrestha, Maheshwar; Wang, Keliang; ...

    2017-01-01

    The plasma treatment on commercial active carbon (AC) was carried out in a capacitively coupled plasma system using Ar + 10% O 2at pressure of 4.0 Torr. The RF plasma power ranged from 50 W to 100 W and the processing time was 10 min. The carbon film electrode was fabricated by electrophoretic deposition. Micro-Raman spectroscopy revealed the highly increased disorder of sp 2C lattice for the AC treated at 75 W. An electrosorption capacity of 6.15 mg/g was recorded for the carbon treated at 75 W in a 0.1 mM NaCl solution when 1.5 V was applied for 5more » hours, while the capacity of the untreated AC was 1.0 mg/g. The plasma treatment led to 5.09 times increase in the absorption capacity. The jump of electrosorption capacity by plasma treatment was consistent with the Raman spectra and electrochemical double layer capacitance. This work demonstrated that plasma treatment was a potentially efficient approach to activating biochar to serve as electrode material for capacitive deionization (CDI).« less

  8. Argon-plasma-controlled optical reset in the SiO2/Cu filamentary resistive memory stack

    NASA Astrophysics Data System (ADS)

    Kawashima, T.; Yew, K. S.; Zhou, Y.; Ang, D. S.; Zhang, H. Z.; Kyuno, K.

    2018-05-01

    We show that resistive switching in the SiO2/Cu stack can be modified by a brief exposure of the oxide to an Ar plasma. The set voltage of the SiO2/Cu stack is reduced by 33%, while the breakdown voltage of the SiO2/Si stack (control) is almost unchanged. Besides, the Ar plasma treatment suppresses the negative photoconductivity or optical resistance reset effect, where the electrically formed filamentary conductive path consisting of Cu-ion and oxygen-vacancy clusters is disrupted by the recombination of the oxygen vacancies with nearby light-excited oxygen ions. From the enhanced O-H peak in the Fourier-transform infrared spectrum of the plasma-treated oxide, it is proposed that the Ar plasma has created more oxygen vacancies in the surface region of the oxide. These vacancies in turn adsorb water molecules, which act as counter anions (OH-) promoting the migration of Cu cations into the oxide and forming a more complete Cu filament that is less responsive to light. The finding points to the prospect of a control over the optical resistance reset effect by a simple surface treatment step.

  9. Optical characteristics of a RF DBD plasma jet in various {Ar}/ {O}_{2}Ar/O2 mixtures

    NASA Astrophysics Data System (ADS)

    Falahat, A.; Ganjovi, A.; Taraz, M.; Ravari, M. N. Rostami; Shahedi, A.

    2018-02-01

    In this paper, using the optical emission spectroscopy (OES) technique, the optical characteristics of a radiofrequency (RF) plasma jet are examined. The Ar/O2 mixture is taken as the operational gas and, the Ar percentage in the Ar/O2 mixture is varied from 70% to 95%. Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H_{β }. It is mostly seen that, the radiation intensity of Ar 4p→ 4s transitions at higher argon contributions in Ar/O2 mixture is higher. It is found that, at higher Ar percentages, the emission intensities from atomic oxygen (O) are higher and, the line intensities from the argon atoms and ions including O atoms linearly increase. It is observed that the quenching of Ar^{*} with O2 results in higher O species with respect to O2 molecules. In addition, at higher percentages of Ar in the Ar/O2 mixture, while the excitation temperature is decreased, the electron density is increased.

  10. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  11. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    PubMed

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  12. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  13. AC Glow Discharge Plasma in N2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yousif, F. B.; Martinez, H.; Robledo-Martinez, A.

    2006-12-04

    This paper considers the optical and electrical characterization of AC glow discharge plasma in the abnormal glow mode used for optical emission spectroscopy. The total discharge current and applied voltage are measured using conventional techniques. The electrical characteristics of the planer-cathode glow discharge confirmed that the plasma is operating at abnormal discharge mode characterized by the increases in the operating voltage as the current was raised under given pressure. Optical emission spectroscopy was used to determine the main emission lines of the glow discharge plasma of N2O at pressures between 0.5 and 4.0 Torr. It shows that the discharge emissionmore » range is mainly within 300-400 nm. The emission lines correspond to NO, O2, and O{sub 2}{sup +} are the dominant lines in the glow discharge plasma in the present study. Intensity of the emission lines show linear increase with the discharge current up to 0.4 A followed by saturation at higher currents. No emission lines were observed in this work corresponding to atomic oxygen or nitrogen.« less

  14. Atmospheric plasma sprayed (APS) coatings of Al2O3-TiO2 system for photocatalytic application.

    PubMed

    Stengl, V; Ageorges, H; Ctibor, P; Murafa, N

    2009-05-01

    The goal of this study is to examine the photocatalytic ability of coatings produced by atmospheric plasma spraying (APS). The plasma gun used is a common gas-stabilized plasma gun (GSP) working with a d.c. current and a mixture of argon and hydrogen as plasma-forming gas. The TiO(2) powders are particles of about 100 nm which were agglomerated to a mean size of about 55 mum, suitable for spraying. Composition of the commercial powder is 13 wt% of TiO(2) in Al(2)O(3), whereas also in-house prepared powder with the same nominal composition but with agglomerated TiO(2) and conventional fused and crushed Al(2)O(3) was sprayed. The feedstock materials used for this purpose are alpha-alumina and anatase titanium dioxide. The coatings are analyzed by scanning electron microscopy (SEM), energy dispersion probe (EDS) and X-ray diffraction. Photocatalytic degradation of acetone is quantified for various coatings. All plasma sprayed coatings show a lamellar structure on cross section, as typical for this process. Anatase titania from feedstock powder is converted into rutile titania and alpha-alumina partly to gamma-alumina. Coatings are proven to catalyse the acetone decomposition when irradiated by UV rays.

  15. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    NASA Astrophysics Data System (ADS)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  16. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    NASA Astrophysics Data System (ADS)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  17. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    PubMed

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  18. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment.

    PubMed

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-06

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS 2 film was deposited on TiO 2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO 2 nanorod arrays were treated with hydrogen plasma(H:TiO 2 ) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  19. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-01

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  20. Time-Resolved Optical Emission Spectroscopy Diagnosis of CO2 Laser-Produced SnO2 Plasma

    NASA Astrophysics Data System (ADS)

    Lan, Hui; Wang, Xinbing; Zuo, Duluo

    2016-09-01

    The spectral emission and plasma parameters of SnO2 plasmas have been investigated. A planar ceramic SnO2 target was irradiated by a CO2 laser with a full width at half maximum of 80 ns. The temporal behavior of the specific emission lines from the SnO2 plasma was characterized. The intensities of Sn I and Sn II lines first increased, and then decreased with the delay time. The results also showed a faster decay of Sn I atoms than that of Sn II ionic species. The temporal evolutions of the SnO2 plasma parameters (electron temperature and density) were deduced. The measured temperature and density of SnO2 plasma are 4.38 eV to 0.5 eV and 11.38×1017 cm-3 to 1.1×1017 cm-3, for delay times between 0.1 μs and 2.2 μs. We also investigated the effect of the laser pulse energy on SnO2 plasma. supported by National Natural Science Foundation of China (No. 11304235) and the Director Fund of WNLO

  1. Influence of microstructure on hardness of plasma sprayed Al2O3-TiO2-MgO coatings with interface diffusion by heat treatment

    NASA Astrophysics Data System (ADS)

    Chen, Kunlun; Song, Peng; Li, Chao; Lu, Jiansheng

    2017-12-01

    The effect of heat treatment on the microstructure and mechanical properties of Al2O3-TiO2 coatings doped with 5 wt% MgO was investigated in this paper. The composite coatings were prepared by atmospheric plasma spraying (APS) and heat treated at 1000 °C for 24 h in Ar. The coatings were analyzed using scanning electron microscopy with electron probe x-ray microanalysis and x-ray diffraction. The hardness was determined using a Vickers hardness test on the as-sprayed coatings and after heat treatment. The results showed that the interface diffusion between the Al-rich and Ti-rich layers resulted in mutual pinning within the coating during the heat treatment. The newly formed MgAl2O4 phase promoted cracking-healing behavior within the coating. We conclude that increase of the hardness of the coatings was mainly caused by the mutual pinning interface and crack healing.

  2. Increased plasma O2 solubility improves O2 uptake of in situ dog muscle working maximally.

    PubMed

    Hogan, M C; Willford, D C; Keipert, P E; Faithfull, N S; Wagner, P D

    1992-12-01

    A perfluorocarbon emulsion [formulation containing 90% wt/vol perflubron (perfluorooctylbromide); Alliance Pharmaceutical] was used to increase O2 solubility in the plasma compartment during hyperoxic low hemoglobin concentration ([Hb]) perfusion of a maximally working dog muscle in situ. Our hypothesis was that the increased plasma O2 solubility would increase the muscle O2 diffusing capacity (DO2) by augmenting the capillary surface area in contact with high [O2]. Oxygen uptake (VO2) was measured in isolated in situ canine gastrocnemius (n = 4) while working for 6 min at a maximal stimulation rate of 1 Hz (isometric tetanic contractions) on three to four separate occasions for each muscle. On each occasion, the last 4 min of the 6-min work period was split into 2 min of a control treatment (only emulsifying agent mixed into blood) and 2 min of perflubron treatment (6 g/kg body wt), reversing the order for each subsequent work bout. Before contractions, the [Hb] of the dog was decreased to 8-9 g/100 ml and arterial PO2 was increased to 500-600 Torr by having the dog breathe 100% O2 to maximize the effect of the perflubron. Muscle blood flow was held constant between the two experimental conditions. Plasma O2 solubility was almost doubled to 0.005 ml O2 x 100 ml blood-1 x Torr-1 by the addition of the perflubron. Muscle O2 delivery and maximal VO2 were significantly improved (at the same blood flow and [Hb]) by 11 and 12.6%, respectively (P < 0.05), during the perflubron treatment compared with the control. O2 extraction by the muscle remained the same between the two treatments, as did the estimate of DO2.(ABSTRACT TRUNCATED AT 250 WORDS)

  3. Mechanical Properties of Plasma-Sprayed ZrO2-8 wt% Y2O3 Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Choi, Sung R.; Zhu, Dongming; Miller, Robert A.

    2004-01-01

    Mechanical behavior of free standing, plasma-sprayed ZrO2-8 wt% Y2O3 thermal barrier coatings, including strength, fracture toughness, fatigue, constitutive relation, elastic modulus, and directionality, has been determined under various loading-specimen configurations. This report presents and describes a summary of mechanical properties of the plasma-sprayed coating material to provide them as a design database.

  4. Interface studies of N2 plasma-treated ZnSnO nanowire transistors using low-frequency noise measurements.

    PubMed

    Kim, Seongmin; Kim, Hwansoo; Janes, David B; Ju, Sanghyun

    2013-08-02

    Due to the large surface-to-volume ratio of nanowires, the quality of nanowire-insulator interfaces as well as the nanowire surface characteristics significantly influence the electrical characteristics of nanowire transistors (NWTs). To improve the electrical characteristics by doping or post-processing, it is important to evaluate the interface characteristics and stability of NWTs. In this study, we have synthesized ZnSnO (ZTO) nanowires using the chemical vapor deposition method, characterized the composition of ZTO nanowires using x-ray photoelectron spectroscopy, and fabricated ZTO NWTs. We have characterized the current-voltage characteristics and low-frequency noise of ZTO NWTs in order to investigate the effects of interface states on subthreshold slope (SS) and the noise before and after N2 plasma treatments. The as-fabricated device exhibited a SS of 0.29 V/dec and Hooge parameter of ~1.20 × 10(-2). Upon N2 plasma treatment with N2 gas flow rate of 40 sccm (20 sccm), the SS improved to 0.12 V/dec (0.21 V/dec) and the Hooge parameter decreased to ~4.99 × 10(-3) (8.14 × 10(-3)). The interface trap densities inferred from both SS and low-frequency noise decrease upon plasma treatment, with the highest flow rate yielding the smallest trap density. These results demonstrate that the N2 plasma treatment decreases the interface trap states and defects on ZTO nanowires, thereby enabling the fabrication of high-quality nanowire interfaces.

  5. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  6. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  7. Influence of Operating Parameters on Surface Properties of RF Glow Discharge Oxygen Plasma Treated TiO2/PET Film for Biomedical Application

    EPA Science Inventory

    Thin transparent titania (TiO2) films were coated on the surface of flexible poly (ethylene terephthalate) (PET) surface using standard sol gel techniques. The TiO2/PET thin film surfaces were further modified by exposing the films to a RF glow discharge oxygen plasma. The exposu...

  8. Bactericidal active ingredient in cryopreserved plasma-treated water with the reduced-pH method for plasma disinfection

    NASA Astrophysics Data System (ADS)

    Kitano, Katsuhisa; Ikawa, Satoshi; Nakashima, Yoichi; Tani, Atsushi; Yokoyama, Takashi; Ohshima, Tomoko

    2016-09-01

    For the plasma disinfection of human body, plasma sterilization in liquid is crucial. We found that the plasma-treated water (PTW) has strong bactericidal activity under low pH condition. Physicochemical properties of PTW is discussed based on chemical kinetics. Lower temperature brings longer half-life and the bactericidal activity of PTW can be kept by cryopreservation. High performance PTW, corresponding to the disinfection power of 22 log reduction (B. subtilis spore), can be obtained by special plasma system equipped with cooling device. This is equivalent to 65% H2O2, 14% sodium hypochlorite and 0.33% peracetic acid, which are deadly poison for human. But, it is deactivated soon at higher temperature (4 sec. at body temperature), and toxicity to human body seems low. For dental application, PTW was effective on infected models of human extracted tooth. Although PTW has many chemical components, respective chemical components in PTW were isolated by ion chromatography. In addition to peaks of H2O2, NO2- and NO3-, a specific peak was detected. and only this fraction had bactericidal activity. Purified active ingredient of PTW is the precursor of HOO, and further details will be discussed in the presentation. MEXT (15H03583, 23340176, 25108505). NCCE (23-A-15).

  9. Use of statistical design of experiments for surface modification of Kapton films by CF4sbnd O2 microwave plasma treatment

    NASA Astrophysics Data System (ADS)

    Grandoni, Andrea; Mannini, Giacomo; Glisenti, Antonella; Manariti, Antonella; Galli, Giancarlo

    2017-10-01

    A statistical design of experiments (DoE) was used to evaluate the effects of CF4sbnd O2 plasma on Kapton films in which the duration of treatment, volume ratio of plasma gases, and microwave power were selected as effective experimental factors for systematic investigation of surface modification. Static water contact angle (θW), polar component of surface free energy (γSp) and surface O/C atomic ratio were analyzed as response variables. A significant enhancement in wettability and polarity of the treated films compared to untreated Kapton films was observed; depending on the experimental conditions, θW very significantly decreased, showing full wettability, and γSp rose dramatically, up to ten times. Within the DoE the conditions of plasma treatment were identified that resulted in selected optimal values of θW, γSp and O/C responses. Surface chemical changes were detected by XPS and ATR-IR investigations that evidenced both the introduction of fluorinated groups and the opening of the imide ring in the plasma-treated films.

  10. Non-equilibrium nitrogen DC-arc plasma treatment of TiO2 nanopowder.

    PubMed

    Suzuki, Yoshikazu; Gonzalez-Aguilar, José; Traisnel, Noel; Berger, Marie-Hélène; Repoux, Monique; Fulcheri, Laurent

    2009-01-01

    Non-equilibrium nitrogen DC-arc plasma treatment of a commercial TiO2 anatase nanopowder was examined to obtain nitrogen-doped TiO2. By using a non-thermal discharge at low current (150 mA) and high voltage (1200 V) using pure N2 gas, light yellowish-gray TiO2 powder was successfully obtained within a short period of 5-10 min. XPS and TEM-EELS studies confirmed the existence of doped nitrogen. Due to the relatively mild conditions (plasma power of 180 W), metastable anatase structure and fine crystallite size of TiO2 (ca. 10 nm) were maintained after the plasma treatment. The in-flight powder treatment system used in this study is promising for various type of powder treatment.

  11. Synergistic Effect of H2O2 and NO2 in Cell Death Induced by Cold Atmospheric He Plasma

    PubMed Central

    Girard, Pierre-Marie; Arbabian, Atousa; Fleury, Michel; Bauville, Gérard; Puech, Vincent; Dutreix, Marie; Sousa, João Santos

    2016-01-01

    Cold atmospheric pressure plasmas (CAPPs) have emerged over the last decade as a new promising therapy to fight cancer. CAPPs’ antitumor activity is primarily due to the delivery of reactive oxygen and nitrogen species (RONS), but the precise determination of the constituents linked to this anticancer process remains to be done. In the present study, using a micro-plasma jet produced in helium (He), we demonstrate that the concentration of H2O2, NO2− and NO3− can fully account for the majority of RONS produced in plasma-activated buffer. The role of these species on the viability of normal and tumour cell lines was investigated. Although the degree of sensitivity to H2O2 is cell-type dependent, we show that H2O2 alone cannot account for the toxicity of He plasma. Indeed, NO2−, but not NO3−, acts in synergy with H2O2 to enhance cell death in normal and tumour cell lines to a level similar to that observed after plasma treatment. Our findings suggest that the efficiency of plasma treatment strongly depends on the combination of H2O2 and NO2− in determined concentrations. We also show that the interaction of the He plasma jet with the ambient air is required to generate NO2− and NO3− in solution. PMID:27364563

  12. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    PubMed

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Effects of different sterilization methods on the physico-chemical and bioresponsive properties of plasma-treated polycaprolactone films.

    PubMed

    Ghobeira, Rouba; Philips, Charlot; Declercq, Heidi; Cools, Pieter; De Geyter, Nathalie; Cornelissen, Ria; Morent, Rino

    2017-01-24

    For most tissue engineering applications, surface modification and sterilization of polymers are critical aspects determining the implant success. The first part of this study is thus dedicated to modifying polycaprolactone (PCL) surfaces via plasma treatment using a medium pressure dielectric barrier discharge, while the second part focuses on the sterilization of plasma-modified PCL. Chemical and physical surface changes are examined making use of water contact angle goniometry (WCA), x-ray photoelectron spectroscopy and atomic force microscopy. Bioresponsive properties are evaluated by performing cell culture tests. The results show that air and argon plasmas decrease the WCA significantly due to the incorporation of oxygen-containing functionalities onto the PCL surface, without modifying its morphology. Extended treatment times lead to PCL degradation, especially in the case of air plasma. In addition to surface modification, the plasma potential to sterilize PCL is studied with appropriate treatment times, but sterility has not been achieved so far. Therefore, plasma-modified films are subjected to UV, H 2 O 2 plasma (HP) and ethylene oxide (EtO) sterilizations. UV exposure of 3 h does not alter the PCL physico-chemical properties. A decreased wettability is observed after EtO sterilization, attributable to the modification of PCL chain ends reacting with EtO molecules. HP sterilization increases the WCA of the plasma-treated samples, presumably due to the scission of the hydrophilic bonds generated during the prior plasma treatments. Moreover, HP modifies the PCL surface morphology. For all the sterilizations, an improved cell adhesion and proliferation is observed on plasma-treated films compared to untreated ones. EtO shows the lowest proliferation rate compared to HP and UV. Overall, of the three sterilizations, UV is the most effective, since the physical alterations provoked by HP might interfere with the structural integrity when it comes to 3D

  14. Characteristics of surface-wave plasma with air-simulated N2 O2 gas mixture for low-temperature sterilization

    NASA Astrophysics Data System (ADS)

    Xu, L.; Nonaka, H.; Zhou, H. Y.; Ogino, A.; Nagata, T.; Koide, Y.; Nanko, S.; Kurawaki, I.; Nagatsu, M.

    2007-02-01

    Sterilization experiments using low-pressure air discharge plasma sustained by the 2.45 GHz surface-wave have been carried out. Geobacillus stearothermoplilus spores having a population of 3.0 × 106 were sterilized for only 3 min using air-simulated N2-O2 mixture gas discharge plasma, faster than the cases of pure O2 or pure N2 discharge plasmas. From the SEM analysis of plasma-irradiated spores and optical emission spectroscopy measurements of the plasmas, it has been found that the possible sterilization mechanisms of air-simulated plasma are the chemical etching effect due to the oxygen radicals and UV emission from the N2 molecules and NO radicals in the wavelength range 200-400 nm. Experiment suggested that UV emission in the wavelength range less than 200 nm might not be significant in the sterilization. The UV intensity at 237.0 nm originated from the NO γ system (A 2Σ+ → X 2Π) in N2-O2 plasma as a function of the O2 percentage added to N2-O2 mixture gas has been investigated. It achieved its maximum value when the O2 percentage was roughly 10-20%. This result suggests that air can be used as a discharge gas for sterilization, and indeed we have confirmed a rapid sterilization with the actual air discharge at a sample temperature of less than 65 °C.

  15. Chemical Stability and Biological Properties of Plasma-Sprayed CaO-SiO2-ZrO2 Coatings

    NASA Astrophysics Data System (ADS)

    Liang, Ying; Xie, Youtao; Ji, Heng; Huang, Liping; Zheng, Xuebin

    2010-12-01

    In this work, calcia-stabilized zirconia powders were coated by silica derived from tetraethoxysilane (TEOS) hydrolysis. After calcining at 1400 °C, decalcification of calcia-stabilized zirconia by silica occurred and powders composed of Ca2SiO4, ZrO2, and CaZrO3 were prepared. We produced three kinds of powders with different Ca2SiO4 contents [20 wt.% (denoted as CZS2), 40 wt.% (denoted as CZS4), and 60 wt.% (denoted as CZS6)]. The obtained powders were sprayed onto Ti-6Al-4V substrates using atmospheric plasma spraying. The microstructure of the powders and coatings were analyzed. The dissolution rates of the coatings were assessed by monitoring the ions release and mass losses after immersion in Tris-HCl buffer solution. Results showed that the chemical stability of the coatings were significantly improved compared with pure calcium silicate coatings, and increased with the increase of Zr contents. The CZS4 coating showed not only good apatite-formation ability in simulated body fluid, but also well attachment and proliferation capability for the canine bone marrow stem cells. Results presented here indicate that plasma-sprayed CZS4 coating has medium dissolution rate and good biological properties, suggesting its potential use as bone implants.

  16. Atomic scale simulation of H2O2 permeation through aquaporin: toward the understanding of plasma cancer treatment

    NASA Astrophysics Data System (ADS)

    Yusupov, Maksudbek; Yan, Dayun; Cordeiro, Rodrigo M.; Bogaerts, Annemie

    2018-03-01

    Experiments have demonstrated the potential selective anticancer capacity of cold atmospheric plasmas (CAPs), but the underlying mechanisms remain unclear. Using computer simulations, we try to shed light on the mechanism of selectivity, based on aquaporins (AQPs), i.e. transmembrane protein channels transferring external H2O2 and other reactive oxygen species, created e.g. by CAPs, to the cell interior. Specifically, we perform molecular dynamics simulations for the permeation of H2O2 through AQP1 (one of the members of the AQP family) and the palmitoyl-oleoyl-phosphatidylcholine (POPC) phospholipid bilayer (PLB). The free energy barrier of H2O2 across AQP1 is lower than for the POPC PLB, while the permeability coefficient, calculated using the free energy and diffusion rate profiles, is two orders of magnitude higher. This indicates that the delivery of H2O2 into the cell interior should be through AQP. Our study gives a better insight into the role of AQPs in the selectivity of CAPs for treating cancer cells.

  17. Spectral and ion emission features of laser-produced Sn and SnO2 plasmas

    NASA Astrophysics Data System (ADS)

    Hui, Lan; Xin-Bing, Wang; Du-Luo, Zuo

    2016-03-01

    We have made a detailed comparison of the atomic and ionic debris, as well as the emission features of Sn and SnO2 plasmas under identical experimental conditions. Planar slabs of pure metal Sn and ceramic SnO2 are irradiated with 1.06 μm, 8 ns Nd:YAG laser pulses. Fast photography employing an intensified charge coupled device (ICCD), optical emission spectroscopy (OES), and optical time of flight emission spectroscopy are used as diagnostic tools. Our results show that the Sn plasma provides a higher extreme ultraviolet (EUV) conversion efficiency (CE) than the SnO2 plasma. However, the kinetic energies of Sn ions are relatively low compared with those of SnO2. OES studies show that the Sn plasma parameters (electron temperature and density) are lower compared to those of the SnO2 plasma. Furthermore, we also give the effects of the vacuum degree and the laser pulse energy on the plasma parameters. Project supported by the National Natural Science Foundation of China (Grant No. 11304235) and the Director Fund of WNLO, China.

  18. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  19. Parametric computational study of sheaths in multicomponent Ar/O2 plasma

    NASA Astrophysics Data System (ADS)

    Hromadka, J.; Ibehej, T.; Hrach, R.

    2018-02-01

    Our study is devoted to sheath structures emerging in Ar/O2 plasma. By means of two dimensional PIC/MCC computer model two configurations were investigated - sheath structure in the vicinity of a cylindrical Langmuir probe for two different biases and changes of the sheath structure when a cylindrical probe passes into a semi-planar probe. It was shown that O+ ions play important role in shielding out negative bias of a solid immersed in Ar/O2 plasma and edge effects of a semi-planar probe on its sheath structure were evaluated.

  20. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  1. Al2O3-ZrO2 Finely Structured Multilayer Architectures from Suspension Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Tingaud, Olivier; Montavon, Ghislain; Denoirjean, Alain; Coudert, Jean-François; Rat, Vincent; Fauchais, Pierre

    2010-01-01

    Suspension plasma spraying (SPS) is an alternative to conventional atmospheric plasma spraying (APS) aiming at manufacturing thinner layers (i.e., 10-100 μm) due to the specific size of the feedstock particles, from a few tens of nanometers to a few micrometers. The staking of lamellae and particles, which present a diameter ranging from 0.1 to 2.0 μm and an average thickness from 20 to 300 nm, permits to manufacture finely structured layers. Moreover, it appears as a versatile process able to manufacture different coating architectures according to the operating parameters (suspension properties, injection configuration, plasma properties, spray distance, torch scan velocity, scanning step, etc.). However, the different parameters controlling the properties of the coating, and their interdependences, are not yet fully identified. Thus, the aim of this paper is, on the one hand, to better understand the influence of operating parameters on the coating manufacturing mechanisms (in particular, the plasma gas mixture effect) and, on the other hand, to produce Al2O3-ZrO2 finely structured layers with large varieties of architectures. For this purpose, a simple theoretical model was used to describe the plasma torch operating conditions at the nozzle exit, based on experimental data (mass enthalpy, arc current intensity, thermophysical properties of plasma forming gases, etc.) and the influences of the spray parameters were determined by mean of the study of sizes and shapes of spray beads. The results enabled then to reach a better understanding of involved phenomena and their interactions on the final coating architectures permitting to manufacture several types of microstructures.

  2. Understanding the mechanisms of interfacial reactions during TiO{sub 2} layer growth on RuO{sub 2} by atomic layer deposition with O{sub 2} plasma or H{sub 2}O as oxygen source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaker, A.; Szkutnik, P. D.; Pointet, J.

    2016-08-28

    In this paper, TiO{sub 2} layers grown on RuO{sub 2} by atomic layer deposition (ALD) using tetrakis (dimethyla-mino) titanium (TDMAT) and either oxygen plasma or H{sub 2}O as oxygen source were analyzed using X-ray diffraction (XRD), Raman spectroscopy, and depth-resolved X-ray Photoelectron spectroscopy (XPS). The main objective is to investigate the surface chemical reactions mechanisms and their influence on the TiO{sub 2} film properties. The experimental results using XRD show that ALD deposition using H{sub 2}O leads to anatase TiO{sub 2} whereas a rutile TiO{sub 2} is obtained when oxygen-plasma is used as oxygen source. Depth-resolved XPS analysis allows tomore » determine the reaction mechanisms at the RuO{sub 2} substrate surface after growth of thin TiO{sub 2} layers. Indeed, the XPS analysis shows that when H{sub 2}O assisted ALD process is used, intermediate Ti{sub 2}O{sub 3} layer is obtained and RuO{sub 2} is reduced into Ru as evidenced by high resolution transmission electron microscopy. In this case, there is no possibility to re-oxidize the Ru surface into RuO{sub 2} due to the weak oxidation character of H{sub 2}O and an anatase TiO{sub 2} layer is therefore grown on Ti{sub 2}O{sub 3}. In contrast, when oxygen plasma is used in the ALD process, its strong oxidation character leads to the re-oxidation of the partially reduced RuO{sub 2} following the first Ti deposition step. Consequently, the RuO{sub 2} surface is regenerated, allowing the growth of rutile TiO{sub 2}. A surface chemical reaction scheme is proposed that well accounts for the observed experimental results.« less

  3. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  4. Lu2O3-SiO2-ZrO2 Coatings for Environmental Barrier Application by Solution Precursor Plasma Spraying and Influence of Precursor Chemistry

    NASA Astrophysics Data System (ADS)

    Darthout, Émilien; Quet, Aurélie; Braidy, Nadi; Gitzhofer, François

    2014-02-01

    As environmental barrier coatings are subjected to thermal stress in gas turbine engines, the introduction of a secondary phase as zircon (ZrSiO4) is likely to increase the stress resistance of Lu2Si2O7 coatings generated by induction plasma spraying using liquid precursors. In a first step, precursor chemistry effect is investigated by the synthesis of ZrO2-SiO2 nanopowders by induction plasma nanopowder synthesis technique. Tetraethyl orthosilicate (TEOS) as silicon precursor and zirconium oxynitrate and zirconium ethoxide as zirconium precursors are mixed in ethanol and produce a mixture of tetragonal zirconia and amorphous silica nanoparticles. The use of zirconium ethoxide precursor results in zirconia particles with diameter below 50 nm because of exothermic thermal decomposition of the ethoxide and its high boiling point with respect to solvent, while larger particles are formed when zirconium oxynitrate is employed. The formation temperature of zircon from zirconia and silica oxides is found at 1425 °C. Second, coatings are synthesized in Lu2O3-ZrO2-SiO2 system. After heat treatment, the doping effect of lutetium on zirconia grains totally inhibits the zircon formation. Dense coatings are obtained with the use of zirconium ethoxide because denser particles with a homogeneous diameter distribution constitute the coating.

  5. Energy balance in the core of the Saturn plasma sheet: H2O chemistry

    NASA Astrophysics Data System (ADS)

    Shemansky, D. E.; Yoshii, J.; Liu, X.

    2011-10-01

    A model of the weakly ionized plasma at Saturn has been developed to investigate the properties of the system. Energy balance is a critical consideration. The present model is based on two sources of mass, H2O, and HI. H2O is a variable. HI is a significant volume of gas flowing through the plasma imposed by the source at Saturn [1,2,3]. The energy sources are solar radiation and heterogeneous magnetosphere electrons. The model calculations produce energy rates, species partitioning, and relaxation lifetimes. For the first time the state of the ambient plasma sheet electrons is directly connected to the energy forcing functions. Within limits of knowledge, the predicted state of the core region of the plasma sheet in neutral and ionized gas corresponds satisfactorily to observation. The dominant ions in these calculations are H2O+ and H3O+ with lifetimes of several days. The lifetime of H2O is roughly 60 days. In calculations carried out so far the predicted source rate for H2O is lower than the rates quoted from the Enceladus encounters.

  6. Investigation of hybrid plasma-catalytic removal of acetone over CuO/γ-Al2O3 catalysts using response surface method.

    PubMed

    Zhu, Xinbo; Tu, Xin; Mei, Danhua; Zheng, Chenghang; Zhou, Jinsong; Gao, Xiang; Luo, Zhongyang; Ni, Mingjiang; Cen, Kefa

    2016-07-01

    In this work, plasma-catalytic removal of low concentrations of acetone over CuO/γ-Al2O3 catalysts was carried out in a cylindrical dielectric barrier discharge (DBD) reactor. The combination of plasma and the CuO/γ-Al2O3 catalysts significantly enhanced the removal efficiency of acetone compared to the plasma process using the pure γ-Al2O3 support, with the 5.0 wt% CuO/γ-Al2O3 catalyst exhibiting the best acetone removal efficiency of 67.9%. Catalyst characterization was carried out to understand the effect the catalyst properties had on the activity of the CuO/γ-Al2O3 catalysts in the plasma-catalytic reaction. The results indicated that the formation of surface oxygen species on the surface of the catalysts was crucial for the oxidation of acetone in the plasma-catalytic reaction. The effects that various operating parameters (discharge power, flow rate and initial concentration of acetone) and the interactions between these parameters had on the performance of the plasma-catalytic removal of acetone over the 5.0 wt% CuO/γ-Al2O3 catalyst were investigated using central composite design (CCD). The significance of the independent variables and their interactions were evaluated by means of the Analysis of Variance (ANOVA). The results showed that the gas flow rate was the most significant factor affecting the removal efficiency of acetone, whilst the initial concentration of acetone played the most important role in determining the energy efficiency of the plasma-catalytic process. Copyright © 2016 Elsevier Ltd. All rights reserved.

  7. Development of Al2O3 electrospun fibers prepared by conventional sintering method or plasma assisted surface calcination

    NASA Astrophysics Data System (ADS)

    Mudra, E.; Streckova, M.; Pavlinak, D.; Medvecka, V.; Kovacik, D.; Kovalcikova, A.; Zubko, P.; Girman, V.; Dankova, Z.; Koval, V.; Duzsa, J.

    2017-09-01

    In this paper, the electrospinning method was used for preparation of α-Al2O3 microfibers from PAN/Al(NO3)3 precursor solution. The precursor fibers were thermally treated by conventional method in furnace or low-temperature plasma induced surface sintering method in ambient air. The four different temperatures of PAN/Al(NO3)3 precursors were chosen for formation of α-Al2O3 phase by conventional sintering way according to the transition features observed in the TG/DSC analysis. In comparison, the low-temperature plasma treatment at atmospheric pressure was used as an alternative sintering method at the exposure times of 5, 10 and 30 min. FTIR analysis was used for evaluation of residual polymer after plasma induced calcination and for studying the mechanism of polymer degradation. The polycrystalline alumina fibers arranged with the nanoparticles was created continuously throughout the whole volume of the sample. On the other side the low temperature approach, high density of reactive species and high power density of plasma generated at atmospheric pressure by used plasma source allowed rapid removal of polymer in preference from the surface of fibers leading to the formation of composite ceramic/polymer fibers. This plasma induced sintering of PAN/Al(NO3)3 can have obvious importance in industrial applications where the ceramic character of surface with higher toughness of the fibers are required.

  8. Quantitative Phase Analysis of Plasma-Treated High-Silica Materials

    NASA Astrophysics Data System (ADS)

    Kosmachev, P. V.; Abzaev, Yu. A.; Vlasov, V. A.

    2018-06-01

    The paper presents the X-ray diffraction (XRD) analysis of the crystal structure of SiO2 in two modifications, namely quartzite and quartz sand before and after plasma treatment. Plasma treatment enables the raw material to melt and evaporate after which the material quenches and condenses to form nanoparticles. The Rietveld refinement method is used to identify the lattice parameters of SiO2 phases. It is found that after plasma treatment SiO2 oxides are in the amorphous state, which are modeled within the microcanonical ensemble. Experiments show that amorphous phases are stable, and model X-ray reflection intensities approximate the experimental XRD patterns with fine precision. Within the modeling, full information is obtained for SiO2 crystalline and amorphous phases, which includes atom arrangement, structural parameters, atomic population of silicon and oxygen atoms in lattice sites.

  9. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  10. Reaction pathways in remote plasma nitridation of ultrathin SiO2 films

    NASA Astrophysics Data System (ADS)

    Niimi, Hiro; Khandelwal, Amit; Lamb, H. Henry; Lucovsky, Gerald

    2002-01-01

    Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N-Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.

  11. Understanding the magnetic behavior of heat treated CaO-P2O5-Na2O-Fe2O3-SiO2 bioactive glass using electron paramagnetic resonance studies

    NASA Astrophysics Data System (ADS)

    Shankhwar, Nisha; Kothiyal, G. P.; Srinivasan, A.

    2014-09-01

    Bioactive glass of composition 41CaO-44SiO2-4P2O5-8Fe2O3-3Na2O has been heat treated in the temperature (TA) range of 750-1150 °C for time periods (tA) ranging from 1 h to 3 h to yield magnetic bioactive glass ceramics (MBCs). X-ray diffraction studies indicate the presence of bone mineral (hydroxyapatite and wollastonite) and magnetic (magnetite and α-hematite) phases in nanocrystalline form in the MBCs. Electron paramagnetic resonance (EPR) study was carried out to understand the variation in saturation magnetization and coercivity of the MBCs with TA and tA. These studies reveal the nature and amount of iron ions present in the MBCs and their interaction in the glassy oxide matrix as a function of annealing parameters. The deterioration in the magnetic properties of the glass heat treated above 1050 °C is attributed to the crystallization of the non-magnetic α-hematite phase. These results are expected to be useful in the application of these MBCs as thermoseeds in hyperthermia treatment of cancer.

  12. X-ray Photoelectron Spectroscopy Study of Argon-Plasma-Treated Fluoropolymers

    NASA Technical Reports Server (NTRS)

    Golub, Morton A.; Lopata, Eugene S.; Finney, Lorie S.

    1994-01-01

    Films of poly(tetrafluoroethylene) (PTFE) and of a tetrafluoroethylene-perfluoroalkyl vinyl ether (approximately 49:1) copolymer (PFA) were exposed to a radio-frequency argon plasma and then examined by X-ray photoelectron spectroscopy (XPS). The use of fluoropolymer films nearly free of surface hydrocarbon contamination as well as the use of a monochromatized X-ray source for XPS removed two factors contributing to conflicting reports on the effect of exposure time on the fluorine-to-carbon (F/C) and oxygen-to-carbon (O/C) ratios for several Ar-plasma-treated fluoropolymers. Contrary to literature indications, a common pattern was found for PTFE and PFA: a moderate decrease in F/C ratio (from 1.99 to 1.40, and from 1.97 to 1.57, respectively), together with a moderate increase in O/C ratio (from negligible to about 0.10, and from 0.012 to about O.10, respectively) at very short exposures, after which the F/C ratios remained essentially constant on prolonged exposures, while the O/C ratios for PTFE and PFA leveled off at 0.11 and 0.15, respectively. The XPS C(sub 1s), spectra for these polymers exposed to the Ar plasma for 20 min were similar and presented, besides a prominent peak at 292.0 eV (CF2,) and a minor peak at 294.0 or 294.1 eV (CF3), a composite band of four curve-resolved peaks (approximately 285-290 eV) representing various CH, CC, CO, CN, and CF functionalities.

  13. A comparative study on the activity of TiO2 in pulsed plasma under different discharge conditions

    NASA Astrophysics Data System (ADS)

    Lijuan, DUAN; Nan, JIANG; Na, LU; Kefeng, SHANG; Jie, LI; Yan, WU

    2018-05-01

    In the present study, a combination of pulsed discharge plasma and TiO2 (plasma/TiO2) has been developed in order to study the activity of TiO2 by varying the discharge conditions of pulsed voltage, discharge mode, air flow rate and solution conductivity. Phenol was used as the chemical probe to characterize the activity of TiO2 in a pulsed discharge system. The experimental results showed that the phenol removal efficiency could be improved by about 10% by increasing the applied voltage. The phenol removal efficiency for three discharge modes in the plasma-discharge-alone system was found to be highest in the spark mode, followed by the spark–streamer mode and finally the streamer mode. In the plasma/TiO2 system, the highest catalytic effect of TiO2 was observed in the spark–streamer discharge mode, which may be attributed to the favorable chemical and physical effects from the spark–streamer discharge mode, such as ultraviolet light, O3, H2O2, pyrolysis, shockwaves and high-energy electrons. Meanwhile, the optimal flow rate and conductivity were 0.05 m3 l‑1 and 10 μS cm‑1, respectively. The main phenolic intermediates were hydroquinone, catechol, and p-benzoquinone during the discharge treatment process. A different phenol degradation pathway was observed in the plasma/TiO2 system as compared to plasma alone. Analysis of the reaction intermediates demonstrated that p-benzoquinone reduction was selectively catalyzed on the TiO2 surface. The effective decomposition of phenol constant (D e) increased from 74.11% to 79.16% when TiO2 was added, indicating that higher phenol mineralization was achieved in the plasma/TiO2 system.

  14. Study of the physical discharge properties of a Ar/O2 DC plasma jet

    NASA Astrophysics Data System (ADS)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.

    2018-03-01

    In this paper, the physical properties of plasma discharge in a manufactured DC plasma jet operating with the Ar/O2 gaseous mixture are studied. Moreover, the optical emission spectroscopy technique is used to perform the experimental measurements. The obtained emission spectra are analyzed and, the plasma density, rotational, vibrational and electronic temperature are calculated. The NO emission lines from {NO }γ( A2 Σ^{+} \\to {X}2 Πr ) electronic transition are observed. It is seen that, at the higher argon contributions in Ar/O2 gaseous mixture, the emission intensities from argon ions will increase. Moreover, while the vibrational and excitation temperatures are increased at the higher input DC currents, they will decrease at the higher Ar percentages in the Ar/O2 gaseous mixture. Furthermore, at the higher DC currents and Ar contributions, both the plasma electron density and dissociation fraction of oxygen atoms are increased.

  15. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O2/H2O low-temperature plasma treatment

    NASA Astrophysics Data System (ADS)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    The natural leather was modified through O2/H2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O2/H2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  16. Optical emission spectroscopy of magnetically confined laser induced vanadium pentoxide (V2O5) plasma

    NASA Astrophysics Data System (ADS)

    Amin, Saba; Bashir, Shazia; Anjum, Safia; Akram, Mahreen; Hayat, Asma; Waheed, Sadia; Iftikhar, Hina; Dawood, Assadullah; Mahmood, Khaliq

    2017-08-01

    Optical emission spectra of a laser induced plasma of vanadium pentoxide (V2O5) using a Nd:YAG laser (1064 nm, 10 ns) in the presence and absence of the magnetic field of 0.45 T have been investigated. The effect of the magnetic field (B) on the V2O5 plasma at various laser irradiances ranging from 0.64 GW cm-2 to 2.56 GW cm-2 is investigated while keeping the pressure of environmental gases of Ar and Ne constant at 100 Torr. The magnetic field effect on plasma parameters of V2O5 is also explored at different delay times ranging from 0 μs to 10 μs for both environmental gases of Ar and Ne at the laser irradiance of 1.28 GW cm-2. It is revealed that both the emission intensity and electron temperature of the vanadium pentoxide plasma initially increase with increasing irradiance due to the enhanced energy deposition and mass ablation rate. After achieving a certain maximum, both exhibit a decreasing trend or saturation which is attributable to the plasma shielding effect. However, the electron density shows a decreasing trend with increasing laser irradiance. This trend remains the same for both cases, i.e., in the presence and in the absence of magnetic field and for both background gases of Ar and Ne. However, it is revealed that both the electron temperature and electron density of the V2O5 plasma are significantly enhanced in the presence of the magnetic field for both environments at all laser irradiances and delay times, and more pronounced effects are observed at higher irradiances. The enhancement in plasma parameters is attributed to the confinement as well as Joule heating effects caused by magnetic field employment. The confinement of the plasma is also confirmed by the analytically calculated value of magnetic pressure β, which is smaller than plasma pressure at all irradiances and delay times, and therefore confirms the validity of magnetic confinement of the V2O5 plasma.

  17. Electrical and structural characterization of plasma polymerized polyaniline/TiO2 heterostructure diode: a comparative study of single and bilayer TiO2 thin film electrode.

    PubMed

    Ameen, Sadia; Akhtar, M Shaheer; Kimi, Young Soon; Yang, O-Bong; Shin, Hyung-Shik

    2011-04-01

    A heterostructure was fabricated using p-type plasma polymerized polyaniline (PANI) and n-type (single and bilayer) titanium dioxide (TiO2) thin film on FTO glass. The deposition of single and bilayer TiO2 thin film on FTO substrate was achieved through doctor blade followed by dip coating technique before subjected to plasma enhanced polymerization. To fabricate p-n heterostructure, a plasma polymerization of aniline was conducted using RF plasma at 13.5 MHz and at the power of 120 W on the single and bilayer TiO2 thin film electrodes. The morphological, optical and the structural characterizations revealed the formation of p-n heterostructures between PANI and TiO2 thin film. The PANI/bilayer TiO2 heterostructure showed the improved current-voltage (I-V) characteristics due to the substantial deposition of PANI molecules into the bilayer TiO2 thin film which provided good conducting pathway and reduced the degree of excitons recombination. The change of linear I-V behavior of PANI/TiO2 heterostructure to non linear behavior with top Pt contact layer confirmed the formation of Schottky contact at the interfaces of Pt layer and PANI/TiO2 thin film layers.

  18. Atomic layer deposition of TiO2 on surface modified nanoporous low-k films.

    PubMed

    Levrau, Elisabeth; Devloo-Casier, Kilian; Dendooven, Jolien; Ludwig, Karl F; Verdonck, Patrick; Meersschaut, Johan; Baklanov, Mikhail R; Detavernier, Christophe

    2013-10-01

    This paper explores the effects of different plasma treatments on low dielectric constant (low-k) materials and the consequences for the growth behavior of atomic layer deposition (ALD) on these modified substrates. An O2 and a He/H2 plasma treatment were performed on SiCOH low-k films to modify their chemical surface groups. Transmission FTIR and water contact angle (WCA) analysis showed that the O2 plasma changed the hydrophobic surface completely into a hydrophilic surface, while the He/H2 plasma changed it only partially. In a next step, in situ X-ray fluorescence (XRF), ellipsometric porosimetry (EP), and Rutherford backscattering spectroscopy (RBS) were used to characterize ALD growth of TiO2 on these substrates. The initial growth of TiO2 was found to be inhibited in the original low-k film containing only Si-CH3 surface groups, while immediate growth was observed in the hydrophilic O2 plasma treated film. The latter film was uniformly filled with TiO2 after 8 ALD cycles, while pore filling was delayed to 17 ALD cycles in the hydrophobic film. For the He/H2 plasma treated film, containing both Si-OH and Si-CH3 groups, the in situ XRF data showed that TiO2 could no longer be deposited in the He/H2 plasma treated film after 8 ALD cycles, while EP measurements revealed a remaining porosity. This can be explained by the faster deposition of TiO2 in the hydrophilic top part of the film than in the hydrophobic bulk which leaves the bulk porous, as confirmed by RBS depth profiling. The outcome of this research is not only of interest for the development of advanced interconnects in ULSI technology, but also demonstrates that ALD combined with RBS analysis is a handy approach to analyze the modifications induced by a plasma treatment on a nanoporous thin film.

  19. Influence of Feedstock Powder Modification by Heat Treatments on the Properties of APS-Sprayed Al2O3-40% TiO2 Coatings

    NASA Astrophysics Data System (ADS)

    Berger, Lutz-Michael; Sempf, Kerstin; Sohn, Yoo Jung; Vaßen, Robert

    2018-04-01

    The formation and decomposition of aluminum titanate (Al2TiO5, tialite) in feedstock powders and coatings of the binary Al2O3-TiO2 system are so far poorly understood. A commercial fused and crushed Al2O3-40%TiO2 powder was selected as the feedstock for the experimental series presented in this paper, as the composition is close to that of Al2TiO5. Part of that powder was heat-treated in air at 1150 and 1500 °C in order to modify the phase composition, while not influencing the particle size distribution and processability. The powders were analyzed by thermal analysis, XRD and FESEM including EDS of metallographically prepared cross sections. Only a maximum content of about 45 wt.% Al2TiO5 was possible to obtain with the heat treatment at 1500 °C due to inhomogeneous distribution of Al and Ti in the original powder. Coatings were prepared by plasma spraying using a TriplexPro-210 (Oerlikon Metco) with Ar-H2 and Ar-He plasma gas mixtures at plasma power levels of 41 and 48 kW. Coatings were studied by XRD, SEM including EDS linescans of metallographically prepared cross sections, and microhardness HV1. With the exception of the powder heat-treated at 1500 °C an Al2TiO5-Ti3O5 (tialite-anosovite) solid solution Al2- x Ti1+ x O5 instead of Al2TiO5 existed in the initial powder and the coatings.

  20. Spectroscopic studies of MW plasmas containing HMDSO, O2 and N2

    NASA Astrophysics Data System (ADS)

    Nave, Andy; Roepcke, Juergen; Mitschker, Felix; Awakowicz, Peter

    2015-09-01

    The deposition of SiOx layers based on organosilicon plasmas is used to implement advantageous mechanical, electrical, and/or optical properties on various substrates. The development of such coating processes resulting in a wide range of chemical and physical film properties, using hexamethyldisiloxane (HMDSO) as a precursor, has been in the center of interest of various studies. In plasma, the dissociation of HMDSO into a large amount of fragments is a complex chemical phenomenon. The monitoring of the precursor and of formed species is very valuable to understand the plasma chemistry. Infrared absorption spectroscopy based on lead salt lasers and EC Quantum Cascade Laser have been used to monitor the concentrations of HMDSO, and of the reaction products CH4, C2H2, C2H4,C2H6, CO, CO2 and CH3 as a function of the HMDSO/O2 mixture ratio, and the power at various pressures in a MW plasma deposition reactor. Optical emission spectroscopy has been applied as complementary diagnostics to evaluate electron density and electron temperature. Supported by the German Research Foundation within SFB-TR24 and SFB-TR87.

  1. Innovative Plasma Disinfection Technique with the Reduced-pH Method and the Plasma-Treated Water (PTW) -Safety and Powerful Disinfection with Cryopreserved PTW-

    NASA Astrophysics Data System (ADS)

    Kitano, Katsuhisa; Ikawa, Satoshi; Nakashima, Yoichi; Tani, Atsushi; Yokoyama, Takashi; Ohshima, Tomoko

    2015-09-01

    Among the applications of the plasma disinfection to human body, plasma sterilization in liquid is crucial. We found that the plasma-treated water (PTW) has strong bactericidal activity under low pH condition and the half-lives of its activity depend on temperature. Lower temperature brings longer half-life and the bactericidal activity of PTW can be kept by cryopreservation. These physicochemical properties were in accordance with Arrhenius equation both in liquid and solid states. From the experimental results of ESR (Electron Spin Resonance) measurement of O2-in liquid against PTW with spin trapping method, half-lives of PTW were also in accordance with Arrhenius equation. It suggests that high concentration PTW as integrated value can be achieved by cooling of plasma apparatus. Pure PTW has disinfection power of 22 log reduction (B. subtilis). This corresponds to 65% H2O2, 14% hypochlorous acid and 0.33% peracetic acid, which are deadly poison for human. On the other hand, PTW is deactivated soon at body temperature. This indicates that toxicity to human body seems to be low. PTW, which is a sort of indirect plasma exposure, with pH and temperature controls could be applied for safety and powerful disinfection. MEXT (15H03583, 23340176, 25108505). NCCE (23-A-15).

  2. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    NASA Astrophysics Data System (ADS)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  3. Mass spectrometric studies of SiO2 deposition in an indirect plasma enhanced LPCVD system

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.; Mcconica, C. M.

    1993-01-01

    Reaction pathways for the low temperature deposition of SiO2 from silane and indirect plasma-excited oxygen-nitrogen mixtures are proposed based on experimental evidence gained from mass spectrometry in an indirect plasma enhanced chemical vapor deposition chamber. It was observed that about 80-85 percent of the silane was oxidized to byproduct hydrogen and only about 15-20 percent to water. Such conversion levels have led us to interpret that silanol (SiH3OH) could be the precursor for SiO2 film deposition, rather than siloxane /(SiH3)2O/ which has generally been cited in the literature. From mass spectrometry, we have also shown the effects of the plasma, and of mixing small amounts of N2 with the oxygen flow, in increasing the deposition rate of SiO2. Free radical reaction of nitric oxide, synthesized from the reaction of oxygen and nitrogen in the plasma chamber, and an *ncrease in atomic oxygen concentration, are believed to be the reasons for these SiO2 deposition rate increases. Through mass spectrometry we have, in addition, been able to identify products, presumably originating from terminating reactions, among a sequence of chemical reactions proposed for the deposition of SiO2.

  4. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  5. Studying the morphological features of plasma treated silver and PEGylated silver nanoparticles: antibacterial activity

    NASA Astrophysics Data System (ADS)

    Waseem, M.; Awan, T.; Yasin, H. M.; Rehman, N. U.

    2018-03-01

    A strategy to treat the silver and PEGylated silver nanoparticles with plasma was being purposed. Oil in water (o/w) microemulsion method was used for the synthesis of Ag nanoparticles (AgNPs). Polyethylene glycol (PEG) having molecular weight 600 was used to coat the surface of AgNPs. Optical emission spectroscopy (OES) was used to characterize the plasma and it is noted that plasma treatment is useful to modify the structural characteristic of silver nanoparticles. The nanoparticles were treated with helium-oxygen mixture plasma, generated in plasma needle at atmospheric pressure. Both AgNPs and PEGylated AgNPs before and after plasma treatment were characterized by x-rays diffraction (XRD), scanning electron microscopy (SEM) and Fourier transform infrared (FTIR) spectroscopy. The crystallite size of silver nanoparticles after the treatment of plasma decreases from 71 nm to 27 nm. The SEM micrographs show that the size of Ag nanoparticles was nearly 118 nm whereas the thickness of the silver needle was around 135 nm. All the characteristics IR bands associated to the silver nanoparticles were detected. The FTIR spectrum also support the accumulation of OH radicals in the plasma treated samples. The samples before and after plasma treatment were screened against Gram positive (Bacillus Subtilis and Staphylococcus Aureus) and Gram negative (Escherichia Coli and Pseudomonas Aeruginosa) bacteria. The promising response was detected when plasma treated PEGylated AgNPs was tested against bacterial strains.

  6. Improved mechanical performance of PBO fiber-reinforced bismaleimide composite using mixed O2/Ar plasma

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Chen, Ping; Yu, Qi; Ma, Keming; Ding, Zhenfeng

    2014-06-01

    The mixed O2/Ar plasma was employed to enhance mechanical properties of the PBO/bismaleimide composite. The interlaminar shear strength was improved to 61.6 MPa or by 38.1%, but the composite brittleness increased. The plasma gas compositions exhibited notable effects on the interfacial adhesion strength. XPS results suggested that the mixed plasma presented higher activation effects on the surface chemical compositions than pure gas plasmas and a larger number of oxygen atoms and hydrophilic groups were introduced on the fiber surface due to the synergy effect, but the synergy effect was considerably performed only within the O2 percentage range of 40-60%. The fibers surface was increasingly etched with growing the O2 contents in the plasma, deteriorating the fibers tensile strength. SEM micrographs demonstrated that the composite shear fracture changed from debonding to cohesive failure in the matrices, and the improving mechanisms were discussed.

  7. Plasma Spray Synthesis Of Nanostructured V2O5 Films For Electrical Energy Storage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nanda, Jagjit

    We demonstrate for the first time, the synthesis of nanostructured vanadium pentoxide (V2O5) films and coatings using plasma spray technique. V2O5 has been used in several applications such as catalysts, super-capacitors and also as an electrode material in lithium ion batteries. In the present studies, V2O5 films were synthesized using liquid precursors (vanadium oxychloride and ammonium metavanadate) and powder suspension. In our approach, the precursors were atomized and injected radially into the plasma gun for deposition on the substrates. During the flight towards the substrate, the high temperature of the plasma plume pyrolyzes the precursor particles resulting into the desiredmore » film coatings. These coatings were then characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), Transmission electron microscopy (TEM) and Differential Scanning Calorimetry (DSC). Among the precursors, vanadium oxychloride gave the best results in terms of nanocrystalline and monophasic films. Spraying of commercial powder suspension yielded multi-phasic mixture in the films. Our approach enables deposition of large area coatings of high quality nanocrystalline films of V2O5 with controllable particle morphology. This has been optimized by means of control over precursor composition and plasma spray conditions. Initial electrochemical studies of V2O5 film electrodes show potential for energy storage studies.« less

  8. Friction and wear behaviour of plasma sprayed Cr2O3-TiO2 coating

    NASA Astrophysics Data System (ADS)

    Bagde, Pranay; Sapate, S. G.; Khatirkar, R. K.; Vashishtha, Nitesh; Tailor, Satish

    2018-02-01

    Cr2O3-25TiO2 coating was deposited by atmospheric plasma spray (APS) coating technique. Effect of load (5-30 N) and sliding velocity (0.25, 0.75 m s-1) on friction coefficient and abrasive wear behaviour of the Cr2O3-25TiO2 coating was studied. Mechanical and microstructural characterization of the Cr2O3-25TiO2 coating was carried out. With an increase in sliding velocity, abrasive wear rate and friction coefficient (COF) decreased while wear rate and friction coefficient showed an increasing trend with the load. The worn out surfaces were analyzed by SEM, EDS and XRD. At lower sliding velocity, XRD analysis revealed peaks of Ti2O3, Ti3O5, CrO2 and CrO3. In addition, peak of Ti4O7 was also detected at higher sliding velocity and at 30 N load. At higher sliding velocity medium to severe tribo oxidation was observed. XPS analysis of worn surfaces at both the sliding velocities, showed surface film of oxides of titanium and chromium along with Cr(OH)3. Magneli phase titanium oxides with sub stoichiometric composition, along with surface films of chromium oxides and hydroxides altered the friction and wear behaviour of the coating. The decrease in friction coefficient with an increase in sliding velocity was attributed to tribo oxides and tribochemical reaction films having lower shear strength with good lubricating properties. The mechanism of material removal involved plastic deformation at lower load whereas inter-granular and trans-granular fracture, delamination cracking and splat fracture was observed with an increase load from 10 N to 30 N.

  9. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  10. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE PAGES

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram; ...

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  11. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  12. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2-x.

    PubMed

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3  S m -1 , relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2 . The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.

  13. Effect of organic solar cells using various power O2 plasma treatments on the indium tin oxide substrate.

    PubMed

    Ke, Jhong-Ciao; Wang, Yeong-Her; Chen, Kan-Lin; Huang, Chien-Jung

    2016-03-01

    The effect of organic solar cells (OSCs) by using different power O2 plasma treatments on indium tin oxide (ITO) substrate was studied. The power of O2 plasma treatment on ITO substrate was varied from 20W to 80W, and the power conversion efficiency of device was improved from 1.18% to 1.93% at 20W O2 plasma treatment. The function of O2 plasma treatment on ITO substrate was to remove the surface impurity and to improve the work function of ITO, which can reduce the energy offset between the ITO and SubPc layer and depress the leakage current of device, leading to the shunt resistance increased from 897 to 1100Ωcm(2). The surface roughness of ITO decreased from 3.81 to 3.33nm and the work function of ITO increased from 4.75 to 5.2eV after 20W O2 plasma treatment on ITO substrate. As a result, the open circuit voltage and the fill factor were improved from 0.46 to 0.70V and from 0.56 to 0.61, respectively. However, the series resistance of device was dramatically increased as the power of O2 plasma treatment exceeds 40W, leading to the efficiency reduction. The result is attributed to the variation of oxygen vacancies in ITO film after the 60, 80W O2 plasma treatment. As a consequence, the power of O2 plasma treatment on ITO substrate for the OSCs application should be controlled below 40W to avoid affecting the electricity of ITO film. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  15. Inductively-Coupled RF Powered O2 Plasma as a Sterilization Source

    NASA Technical Reports Server (NTRS)

    Sharma, S. P.; Rao, M. V. V. S.; Cruden, B. A.; Meyyappan, M.; Mogul, R.; Khare, B.; Chan, S. L.; Arnold, James O. (Technical Monitor)

    2001-01-01

    Low-temperature or cold plasmas have been shown to be effective for the sterilization of sensitive medical devices and electronic equipment. Low-temperature plasma sterilization procedures possess certain advantages over other protocols such as ethylene oxide, gamma radiation, and heat due to the use of inexpensive reagents, the insignificant environmental impacts and the low energy requirements. In addition, plasmas may also be more efficacious in the removal of robust microorganisms due to their higher chemical reactivity. Together, these attributes render cold plasma sterilization as ideal for the surface decontamination requirements for NASA Planetary Protection. Hence, the work described in this study involves the construction, characterization, and application of an inductively-coupled, RF powered oxygen (O2) plasma.

  16. H2O(+) structures in the inner plasma tail of comet Austin

    NASA Technical Reports Server (NTRS)

    Jockers, Klaus; Bonev, T.; Geyer, E. H.

    1992-01-01

    We present images of comet Austin 1989c1 in the light of H2O(+) from which the contribution of the dust continuum and the gas coma was completely removed. We describe the behavior of the H2O(+) plasma in the inner coma where it is reliably observed for the first time.

  17. Metal-HfO{sub 2}-Ge capacitor: Its enhanced charge trapping properties with S-treated substrate and atomic-layer-deposited HfO{sub 2} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, In-Sung; Jung, Yong Chan; Seong, Sejong

    2015-01-15

    The charge trapping properties of metal-HfO{sub 2}-Ge capacitor as a nonvolatile memory have been investigated with (NH{sub 4}){sub 2}S-treated Ge substrate and atomic-layer-deposited HfO{sub 2} layer. The interfacial layer generated by (NH{sub 4}){sub 2}S-treated Ge substrate reveals a trace of -S- bonding, very sharp interface edges, and smooth surface morphology. The Ru-HfO{sub 2}-Ge capacitor with (NH{sub 4}){sub 2}S-treated Ge substrate shows an enhanced interface state with little frequency dispersion, a lower leakage current, and very reliable properties with the enhanced endurance and retention than Ru-HfO{sub 2}-Ge capacitor with cyclic-cleaned Ge substrate.

  18. Collision cross sections and transport coefficients of O-, O2 -, O3 - and O4 - negative ions in O2, N2 and dry air for non-thermal plasmas modelling

    NASA Astrophysics Data System (ADS)

    Hennad, Ali; Yousfi, Mohammed

    2018-02-01

    The ions interaction data such as interaction potential parameters, elastic and inelastic collision cross sections and the transport coefficients (reduced mobility and diffusion coefficients) have been determined and analyzed in the case of the main negative oxygen ions (O-, O2 -, O3 - and O4 -) present in low temperature plasma at atmospheric pressure when colliding O2, N2 and dry air. The ion transport has been determined from an optimized Monte Carlo simulation using calculated elastic and experimentally fitted inelastic collision cross sections. The elastic momentum transfer collision cross sections have been calculated from a semi-classical JWKB approximation based on a ( n-4) rigid core interaction potential model. The cross sections sets involving elastic and inelastic processes were then validated using measured reduced mobility data and also diffusion coefficient whenever available in the literature. From the sets of elastic and inelastic collision cross sections thus obtained for the first time for O3-/O2, O2 -/N2, O3 -/N2, and O4 -/N2 systems, the ion transport coefficients were calculated in pure gases and dry air over a wide range of the density reduced electric field E/N.

  19. Propagation characteristics of atmospheric-pressure He+O{sub 2} plasmas inside a simulated endoscope channel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, S.; Chen, Z. Y.; Wang, X. H., E-mail: xhw@mail.xjtu.edu.cn

    2015-11-28

    Cold atmospheric-pressure plasmas have potential to be used for endoscope sterilization. In this study, a long quartz tube was used as the simulated endoscope channel, and an array of electrodes was warped one by one along the tube. Plasmas were generated in the inner channel of the tube, and their propagation characteristics in He+O{sub 2} feedstock gases were studied as a function of the oxygen concentration. It is found that each of the plasmas originates at the edge of an instantaneous cathode, and then it propagates bidirectionally. Interestingly, a plasma head with bright spots is formed in the hollow instantaneousmore » cathode and moves towards its center part, and a plasma tail expands through the electrode gap and then forms a swallow tail in the instantaneous anode. The plasmas are in good axisymmetry when [O{sub 2}] ≤ 0.3%, but not for [O{sub 2}] ≥ 1%, and even behave in a stochastic manner when [O{sub 2}] = 3%. The antibacterial agents are charged species and reactive oxygen species, so their wall fluxes represent the “plasma dosage” for the sterilization. Such fluxes mainly act on the inner wall in the hollow electrode rather than that in the electrode gap, and they get to the maximum efficiency when the oxygen concentration is around 0.3%. It is estimated that one can reduce the electrode gap and enlarge the electrode width to achieve more homogenous and efficient antibacterial effect, which have benefits for sterilization applications.« less

  20. Low-density polyethylene films treated by an atmospheric Ar-O2 post-discharge: functionalization, etching, degradation and partial recovery of the native wettability state

    NASA Astrophysics Data System (ADS)

    Abou Rich, S.; Dufour, T.; Leroy, P.; Nittler, L.; Pireaux, J. J.; Reniers, F.

    2014-02-01

    To optimize the adhesion of layers presenting strong barrier properties on low-density polyethylene (LDPE) surfaces, we investigated the influence of argon and argon-oxygen atmospheric pressure post-discharges. This study was performed using x-ray photoelectron spectroscopy, atomic force microscopy, optical emission spectroscopy (OES) and dynamic water contact angle (WCA) measurements. After the plasma treatment, a slight increase in the roughness was emphasized, more particularly for the samples treated in a post-discharge supplied in oxygen. Measurements of the surface roughness and of the oxygen surface concentration suggested the competition of two processes playing a role on the surface hydrophilicity and occurring during the post-discharge treatment: the etching and the activation of the surface. The etching rate was estimated to about 2.7 nm s-1 and 5.8 nm s-1 for Ar and Ar-O2 post-discharges, respectively. The mechanisms underlying this etching were investigated through experiments, in which we discuss the influence of the O2 flow rate and the distance (gap) separating the plasma torch from the LDPE surface located downstream. O atoms and NO molecules (emitting in the UV range) detected by OES seem to be good candidates to explain the etching process. An ageing study is also presented to evidence the stability of the treated surfaces over 60 days. After 60 days of storage, we showed that whatever the O2 flow rate, the treated films registered a loss of their hydrophilic state since their WCA increased towards a common threshold of 80°. This ‘hydrophobic recovery’ effect was mostly attributed to the reorientation of induced polar chemical groups into the bulk of the material. Indeed, the relative concentrations of the carbonyl and carboxyl groups at the surface decreased with the storage time and seemed to reach a plateau after 30 days.

  1. Effect of source frequency and pulsing on the SiO2 etching characteristics of dual-frequency capacitive coupled plasma

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Jun; Jeon, Min Hwan; Mishra, Anurag Kumar; Kim, In Jun; Sin, Tae Ho; Yeom, Geun Young

    2015-01-01

    A SiO2 layer masked with an amorphous carbon layer (ACL) has been etched in an Ar/C4F8 gas mixture with dual frequency capacitively coupled plasmas under variable frequency (13.56-60 MHz)/pulsed rf source power and 2 MHz continuous wave (CW) rf bias power, the effects of the frequency and pulsing of the source rf power on the SiO2 etch characteristics were investigated. By pulsing the rf power, an increased SiO2 etch selectivity was observed with decreasing SiO2 etch rate. However, when the rf power frequency was increased, not only a higher SiO2 etch rate but also higher SiO2 etch selectivity was observed for both CW and pulse modes. A higher CF2/F ratio and lower electron temperature were observed for both a higher source frequency mode and a pulsed plasma mode. Therefore, when the C 1s binding states of the etched SiO2 surfaces were investigated using X-ray photoelectron spectroscopy (XPS), the increase of C-Fx bonding on the SiO2 surface was observed for a higher source frequency operation similar to a pulsed plasma condition indicating the increase of SiO2 etch selectivity over the ACL. The increase of the SiO2 etch rate with increasing etch selectivity for the higher source frequency operation appears to be related to the increase of the total plasma density with increasing CF2/F ratio in the plasma. The SiO2 etch profile was also improved not only by using the pulsed plasma but also by increasing the source frequency.

  2. Using atmospheric pressure plasma treatment for treating grey cotton fabric.

    PubMed

    Kan, Chi-Wai; Lam, Chui-Fung; Chan, Chee-Kooi; Ng, Sun-Pui

    2014-02-15

    Conventional wet treatment, desizing, scouring and bleaching, for grey cotton fabric involves the use of high water, chemical and energy consumption which may not be considered as a clean process. This study aims to investigate the efficiency of the atmospheric pressure plasma (APP) treatment on treating grey cotton fabric when compared with the conventional wet treatment. Grey cotton fabrics were treated with different combinations of plasma parameters with helium and oxygen gases and also through conventional desizing, scouring and bleaching processes in order to obtain comparable results. The results obtained from wicking and water drop tests showed that wettability of grey cotton fabrics was greatly improved after plasma treatment and yielded better results than conventional desizing and scouring. The weight reduction of plasma treated grey cotton fabrics revealed that plasma treatment can help remove sizing materials and impurities. Chemical and morphological changes in plasma treated samples were analysed by FTIR and SEM, respectively. Finally, dyeability of the plasma treated and conventional wet treated grey cotton fabrics was compared and the results showed that similar dyeing results were obtained. This can prove that plasma treatment would be another choice for treating grey cotton fabrics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  3. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  4. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE PAGES

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu; ...

    2017-07-28

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  5. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreasedmore » sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.« less

  6. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  7. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2−x

    PubMed Central

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-01-01

    The thermoelectric properties of sub-stoichiometric TiO2−x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO2−x deposits are formed through in-situ reaction of the TiO1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO2−x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of −230 μV K−1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 103 S m−1, relatively low thermal conductivity in the range of 1.5 to 2 W m−1 K−1 resulting in power factor of 2.9 μW cm−1 K−2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures. PMID:27811954

  8. Comparison of Erosion Behavior and Particle Contamination in Mass-Production CF4/O2 Plasma Chambers Using Y2O3 and YF3 Protective Coatings

    PubMed Central

    Lin, Tzu-Ken; Wang, Wei-Kai; Huang, Shih-Yung; Tasi, Chi-Tsung

    2017-01-01

    Yttrium fluoride (YF3) and yttrium oxide (Y2O3) protective coatings prepared using an atmospheric plasma spraying technique were used to investigate the relationship between surface erosion behaviors and their nanoparticle generation under high-density plasma (1012–1013 cm−3) etching. As examined by transmission electron microscopy, the Y2O3 and YF3 coatings become oxyfluorinated after exposure to the plasma, wherein the yttrium oxyfluoride film formation was observed on the surface with a thickness of 5.2 and 6.8 nm, respectively. The difference in the oxyfluorination of Y2O3 and YF3 coatings could be attributed to Y–F and Y–O bonding energies. X-ray photoelectron spectroscopy analyses revealed that a strongly fluorinated bonding (Y–F bond) was obtained on the etched surface of the YF3 coating. Scanning electron microscopy and energy dispersive X-ray diffraction analysis revealed that the nanoparticles on the 12-inch wafer are composed of etchant gases and Y2O3. These results indicate that the YF3 coating is a more erosion-resistant material, resulting in fewer contamination particles compared with the Y2O3 coating. PMID:28708079

  9. Three-dimensional reduced-graphene/MnO2 prepared by plasma treatment as high-performance supercapacitor electrodes

    NASA Astrophysics Data System (ADS)

    Liu, Runru; Wen, Dongdong; Zhang, Xueyu; Wang, Dejun; Yang, Qiang; Yuan, Beilei; Lü, Wei

    2018-06-01

    In this work, three-Dimensional nitrogen-doped graphene/MnO2 (NG/MnO2) was prepared by plasma treatment, which provides a high specific surface area due to porous structure and exhibits enhanced supercapacitor performance. The advantage of NG/MnO2 electrode was obvious compared with reduced graphene oxide/MnO2 (RGO/MnO2) which was prepared by traditional hydrothermal method, such as improved electrochemical property and better cycling stability. The specific capacitance of NG/MnO2 at the scan rate of 5 mV s‑1 (393 F g‑1) is higher than that of RGO/MnO2 (260 F g‑1). In addition, NG/MnO2 showed higher durability with 90.2% capacitance retention than that of RGO/MnO2 (82%) after 5000 cycles. Such cheap and high-performance supercapacitor electrodes are available by our feasible plasma treatment, which give promise in large-scale commercial energy storage devices.

  10. Effects of O 2 and N 2/H 2 plasma treatments on the neuronal cell growth on single-walled carbon nanotube paper scaffolds

    NASA Astrophysics Data System (ADS)

    Yoon, Ok Ja; Lee, Hyun Jung; Jang, Yeong Mi; Kim, Hyun Woo; Lee, Won Bok; Kim, Sung Su; Lee, Nae-Eung

    2011-08-01

    The O 2 and N 2/H 2 plasma treatments of single-walled carbon nanotube (SWCNT) papers as scaffolds for enhanced neuronal cell growth were conducted to functionalize their surfaces with different functional groups and to roughen their surfaces. To evaluate the effects of the surface roughness and functionalization modifications of the SWCNT papers, we investigated the neuronal morphology, mitochondrial membrane potential, and acetylcholine/acetylcholinesterase levels of human neuroblastoma during SH-SY5Y cell growth on the treated SWCNT papers. Our results demonstrated that the plasma-chemical functionalization caused changes in the surface charge states with functional groups with negative and positive charges and then the increased surface roughness enhanced neuronal cell adhesion, mitochondrial membrane potential, and the level of neurotransmitter in vitro. The cell adhesion and mitochondrial membrane potential on the negatively charged SWCNT papers were improved more than on the positively charged SWCNT papers. Also, measurements of the neurotransmitter level showed an enhanced acetylcholine level on the negatively charged SWCNT papers compared to the positively charged SWCNT papers.

  11. OH and O radicals production in atmospheric pressure air/Ar/H2O gliding arc discharge plasma jet

    NASA Astrophysics Data System (ADS)

    N, C. ROY; M, R. TALUKDER; A, N. CHOWDHURY

    2017-12-01

    Atmospheric pressure air/Ar/H2O gliding arc discharge plasma is produced by a pulsed dc power supply. An optical emission spectroscopic (OES) diagnostic technique is used for the characterization of plasmas and for identifications of {{OH}} and {{O}} radicals along with other species in the plasmas. The OES diagnostic technique reveals the excitation T x ≈ 5550-9000 K, rotational T r ≈ 1350-2700 K and gas T g ≈ 850-1600 K temperatures, and electron density {n}{{e}}≈ ({1.1-1.9})× {10}14 {{{cm}}}-3 under different experimental conditions. The production and destruction of {{OH}} and {{O}} radicals are investigated as functions of applied voltage and air flow rate. Relative intensities of {{OH}} and {{O}} radicals indicate that their production rates are increased with increasing {{Ar}} content in the gas mixture and applied voltage. {n}{{e}} reveals that the higher densities of {{OH}} and {{O}} radicals are produced in the discharge due to more effective electron impact dissociation of {{{H}}}2{{O}} and {{{O}}}2 molecules caused by higher kinetic energies as gained by electrons from the enhanced electric field as well as by enhanced {n}{{e}}. The productions of {{OH}} and {{O}} are decreasing with increasing air flow rate due to removal of Joule heat from the discharge region but enhanced air flow rate significantly modifies discharge maintenance properties. Besides, {T}{{g}} significantly reduces with the enhanced air flow rate. This investigation reveals that {{Ar}} plays a significant role in the production of {{OH}} and {{O}} radicals.

  12. Highly efficient low-temperature plasma-assisted modification of TiO2 nanosheets with exposed {001} facets for enhanced visible-light photocatalytic activity.

    PubMed

    Li, Beibei; Zhao, Zongbin; Zhou, Quan; Meng, Bo; Meng, Xiangtong; Qiu, Jieshan

    2014-11-03

    Anatase TiO2 nanosheets with exposed {001} facets have been controllably modified under non-thermal dielectric barrier discharge (DBD) plasma with various working gas, including Ar, H2 , and NH3 . The obtained TiO2 nanosheets possess a unique crystalline core/amorphous shell structure (TiO2 @TiO2-x ), which exhibit the improved visible and near-infrared light absorption. The types of dopants (oxygen vacancy/surface Ti(3+) /substituted N) in oxygen-deficient TiO2 can be tuned by controlling the working gases during plasma discharge. Both surface Ti(3+) and substituted N were doped into the lattice of TiO2 through NH3 plasma discharge, whereas the oxygen vacancy or Ti(3+) (along with the oxygen vacancy) was obtained after Ar or H2 plasma treatment. The TiO2 @TiO2-x from NH3 plasma with a green color shows the highest photocatalytic activity under visible-light irradiation compared with the products from Ar plasma or H2 plasma due to the synergistic effect of reduction and simultaneous nitridation in the NH3 plasma. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Pre-treating water with non-thermal plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Young I.; Fridman, Alexander; Rabinovich, Alexander

    The present invention consists of a method of pre-treatment of adulterated water for distillation, including adulterated water produced during hydraulic fracturing ("fracking") of shale rock during natural gas drilling. In particular, the invention is directed to a method of treating adulterated water, said adulterated water having an initial level of bicarbonate ion in a range of about 250 ppm to about 5000 ppm and an initial level of calcium ion in a range of about 500 ppm to about 50,000 ppm, said method comprising contacting the adulterated water with a non-thermal arc discharge plasma to produce plasma treated water havingmore » a level of bicarbonate ion of less than about 100 ppm. Optionally, the plasma treated water may be further distilled.« less

  14. The Effects of Gas Composition on the Atmospheric Pressure Plasma Jet Modification of Polyethylene Films

    NASA Astrophysics Data System (ADS)

    Sun, Jie; Qiu, Yiping

    2015-05-01

    Polyethylene (PE) films are treated using an atmospheric pressure plasma jet (APPJ) with He or He/O2 gas for different periods of time. The influence of gas type on the plasma-polymer interactions is studied. The surface contact angle of the PE film can be effectively lowered to 58° after 20 s of He/O2 plasma treatment and then remains almost unchanged for longer treatment durations, while, for He plasma treatment, the film surface contact angle drops gradually to 47° when the time reaches 120 s. Atomic force microscopy (AFM) results show that the root mean square (RMS) roughness was significantly higher for the He/O2 plasma treated samples than for the He plasma treated counterparts, and the surface topography of the He/O2 plasma treated PE films displays evenly distributed dome-shaped small protuberances. Chemical composition analysis reveals that the He plasma treated samples have a higher oxygen content but a clearly lower percentage of -COO than the comparable He/O2 treated samples, suggesting that differences exist in the mode of incorporating oxygen between the two gas condition plasma treatments. Electron spin resonance (ESR) results show that the free radical concentrations of the He plasma treated samples were clearly higher than those of the He/O2 plasma treated ones with other conditions unchanged. supported by the Fundamental Research Funds for the Central Universities of China (Nos. JUSRP1044 and JUSRP1045), National Natural Science Foundation of China (Nos. 51203062 and 51302110), and the Cooperative Innovation Fund, Project of Jiangsu Province, China (Nos. BY2012064, BY2013015-31 and BY2013015-32)

  15. Room-temperature aqueous plasma electrolyzing Al2O3 nano-coating on carbon fiber

    NASA Astrophysics Data System (ADS)

    Zhang, Yuping; Meng, Yang; Shen, Yonghua; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2017-10-01

    A novel room-temperature aqueous plasma electrolysis technique has been developed in order to prepared Al2O3 nano-coating on each fiber within a carbon fiber bundle. The microstructure and formation mechanism of the Al2O3 nano-coating were systematically investigated. The oxidation resistance and tensile strength of the Al2O3-coated carbon fiber was measured at elevated temperatures. It showed that the dense Al2O3 nano-coating was relatively uniformly deposited with 80-120 nm in thickness. The Al2O3 nano-coating effectively protected the carbon fiber, evidenced by the slower oxidation rate and significant increase of the burn-out temperature from 800 °C to 950 °C. Although the bare carbon fiber remained ∼25 wt.% after oxidation at 700 °C for 20 min, a full destruction was observed, evidenced by the ∼0 GPa of the tensile strength, compared to ∼1.3 GPa of the Al2O3-coated carbon fiber due to the effective protection from the Al2O3 nano-coating. The formation mechanism of the Al2O3 nano-coating on carbon fiber was schematically established mainly based on the physic-chemical effect in the cathodic plasma arc zone.

  16. Studies on corrosion resistance and bio-activity of plasma spray deposited hydroxylapatite (HA) based TiO2 and ZrO2 dispersed composite coatings on titanium alloy (Ti-6Al-4V) and the same after post spray heat treatment

    NASA Astrophysics Data System (ADS)

    Kumari, Renu; Majumdar, Jyotsna Dutta

    2017-10-01

    In the present study, the effect of plasma spray deposited hydroxylapatite (HA) based TiO2 dispersed (HA + 50 wt.% TiO2), coating and post spray heat treatment to be referred as HA-TiO2 (heat treated at 650 °C for 2 h) and ZrO2 dispersed (HA + 10 wt.% ZrO2), to be referred as HA-ZrO2 coating (heat treated at 750 °C for 2 h) on corrosion resistance and bioactivity of Ti-6Al-4V substrate has been undertaken. There is partial decomposition of HA to tri-calcium-phosphate (Ca3(PO4)2) and formation of CaTiO3 phase in HA-TiO2 coating and CaZrO3 phase in the HA-ZrO2 coating. Corrosion study in Hank's solution shows that there is shifting of corrosion potential (Ecorr) towards active potential (-1.1 V(SCE) for as-sprayed and post spray heat treated HA-TiO2 coating, -1.1 V(SCE) for as-sprayed HA-ZrO2 coating and -1 V(SCE) for HA-ZO2 coating after post spray heat treatment), and deterioration in pitting corrosion (Epit) resistance in as-sprayed coatings and the same after heat treatment (-0.7 V(SCE) for both HA-TiO2 and HA-ZrO2 coating as compared to as received substrate (-0.3 V(SCE)). The corrosion rate was increased for both the coatings with a maximum increase in HA-ZrO2 coating. Bioactivity test shows a higher degree of apatite deposition in as-sprayed coating and the same after heat treatment as compared to as received Ti-6Al-4V though the as-sprayed one showed a superior behavior.

  17. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  18. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    NASA Astrophysics Data System (ADS)

    Shimoeda, Hironao; Kondo, Hiroki; Ishikawa, Kenji; Hiramatsu, Mineo; Sekine, Makoto; Hori, Masaru

    2014-04-01

    Cauliflorous nanographene sheets were hierarchically regrown on the spearlike structures of carbon nanowalls (CNWs) produced by O2-plasma etching. The spears on the CNWs acted as a stem for the growth of flowerlike flaky nanographene sheets, where the root of the nanoflower was located at a defect or disordered site. The defects on the graphitic structures were induced by irradiation with oxygen-related radicals and ions in the O2-based plasmas and acted as sites for the nucleation of flowerlike nanographene. The porous carbon nanostructures regrown after O2-plasma treatment have a relatively higher surface area and are thus promising materials for electrochemical applications.

  19. Effects of SF6 plasma treatment on the properties of InGaZnO thin films

    NASA Astrophysics Data System (ADS)

    Choi, Jinsung; Bae, Byung Seong; Yun, Eui-Jung

    2018-03-01

    The effects of sulfur hexafluoride (SF6) plasma on the properties of amorphous InGaZnO (a-IGZO) thin films were examined. The properties of the a-IGZO thin films were characterized by Hall effect measurement, dynamic secondary ion mass spectroscopy (SIMS), and X-ray photoelectron spectroscopy (XPS). The IGZO thin films treated with SF6 plasma before annealing had a very high resistance mainly owing to the inclusion of S into the film surface, as evidenced by SIMS profiles. On the other hand, the samples treated with SF6 plasma after annealing showed better electrical properties with a Hall mobility of 10 cm2/(V·s) than the untreated samples or the samples SF6 plasma-treated before annealing. This was attributed to the increase in the number of oxygen vacancy defects in the a-IGZO thin films owing to the enhanced out-diffusion of O to the ambient and the increase in the number of F-related donor defects originating from the incorporation of a much larger amount of F than of S into the film surface, which were confirmed by XPS and SIMS.

  20. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  1. TiO2 Nanoparticle-Induced Oxidation of the Plasma Membrane: Importance of the Protein Corona.

    PubMed

    Runa, Sabiha; Lakadamyali, Melike; Kemp, Melissa L; Payne, Christine K

    2017-09-21

    Titanium dioxide (TiO 2 ) nanoparticles, used as pigments and photocatalysts, are widely present in modern society. Inhalation or ingestion of these nanoparticles can lead to cellular-level interactions. We examined the very first step in this cellular interaction, the effect of TiO 2 nanoparticles on the lipids of the plasma membrane. Within 12 h of TiO 2 nanoparticle exposure, the lipids of the plasma membrane were oxidized, determined with a malondialdehyde assay. Lipid peroxidation was inhibited by surface passivation of the TiO 2 nanoparticles, incubation with an antioxidant (Trolox), and the presence of serum proteins in solution. Subsequent experiments determined that serum proteins adsorbed on the surface of the TiO 2 nanoparticles, forming a protein corona, inhibit lipid peroxidation. Super-resolution fluorescence microscopy showed that these serum proteins were clustered on the nanoparticle surface. These protein clusters slow lipid peroxidation, but by 24 h, the level of lipid peroxidation is similar, independent of the protein corona or free serum proteins. Additionally, over 24 h, this corona of proteins was displaced from the nanoparticle surface by free proteins in solution. Overall, these experiments provide the first mechanistic investigation of plasma membrane oxidation by TiO 2 nanoparticles, in the absence of UV light and as a function of the protein corona, approximating a physiological environment.

  2. Production of simplex RNS and ROS by nanosecond pulse N2/O2 plasma jets with homogeneous shielding gas for inducing myeloma cell apoptosis

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Xu, Dehui; Liu, Dingxin; Cui, Qingjie; Cai, Haifeng; Li, Qiaosong; Chen, Hailan; Kong, Michael G.

    2017-05-01

    In this paper, atmospheric pressure N2/O2 plasma jets with homogeneous shielding gas excited by nanosecond pulse are obtained to generate simplex reactive nitrogen species (RNS) and reactive oxygen species (ROS), respectively, for the purpose of studying the simplex RNS and ROS to induce the myeloma cell apoptosis with the same discharge power. The results reveal that the cell death rate by the N2 plasma jet with N2 shielding gas is about two times that of the O2 plasma jet with O2 shielding gas for the equivalent treatment time. By diagnosing the reactive species of ONOO-, H2O2, OH and \\text{O}2- in medium, our findings suggest the cell death rate after plasma jets treatment has a positive correlation with the concentration of ONOO-. Therefore, the ONOO- in medium is thought to play an important role in the process of inducing myeloma cell apoptosis.

  3. SnO2/CNT nanocomposite supercapacitors fabricated using scanning atmospheric-pressure plasma jets

    NASA Astrophysics Data System (ADS)

    Xu, Chang-Han; Chiu, Yi-Fan; Yeh, Po-Wei; Chen, Jian-Zhang

    2016-08-01

    SnO2/CNT electrodes for supercapacitors are fabricated by first screen-printing pastes containing SnO2 nanoparticles and CNTs on carbon cloth, following which nitrogen atmospheric pressure plasma jet (APPJ) sintering is performed at various APPJ scan rates. The APPJ scan rates change the time intervals for which the reactive plasma species and the heat of the nitrogen APPJs influence the designated sintering spot on the carbon cloth, resulting in APPJ-sintered SnO2/CNT nanocomposites with different properties. The water contact angle decreases with the APPJ scan rate. The improved wettability can facilitate the penetration of the electrolyte into the nanopores of the SnO2/CNT nanocomposites, thereby improving the charge storage and specific capacitance of the supercapacitors. Among the three tested APPJ scan rates, 1.5, 3, and 6 mm s-1, the SnO2/CNT supercapacitor sintered by APPJ under the lowest APPJ scan rate of 1.5 mm s-1 shows the best specific capacitance of ˜90 F g-1 as evaluated by cyclic voltammetry under a potential scan rate of 2 mV s-1. A high APPJ scan rate may result in low degree of materials activation and sintering, leading to poorer performance of SnO2/CNT supercapacitors. The results suggest the feasibility of an APPJ roll-to-roll process for the fabrication of SnO2/CNT nanocomposite supercapacitors.

  4. Selection of Nuclear Fuel for TREAT: UO 2 vs U 3O 8

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glazoff, Michael Vasily; Van Rooyen, Isabella Johanna; Coryell, Benjamin David

    The Transient Reactor Test (TREAT) that resides at the Materials and Fuels Complex (MFC) at Idaho National Laboratory (INL), first achieved criticality in 1959, and successfully performed many transient tests on nuclear fuel until 1994 when its operations were suspended. Resumption of operations at TREAT was approved in February 2014 to meet the U.S. Department of Energy (DOE) Office of Nuclear Energy’s objectives in transient testing of nuclear fuels. The National Nuclear Security Administration’s is converting TREAT from its existing highly enriched uranium (HEU) core to a new core containing low enriched uranium (LEU) (i.e., U-235< 20% by weight). Themore » TREAT Conversion project is currently progressing with conceptual design phase activities. Dimensional stability of the fuel element assemblies, predictable fuel can oxidation and sufficient heat conductivity by the fuel blocks are some of the critical performance requirements of the new LEU fuel. Furthermore, to enable the design team to design fuel block and can specifications, it is amongst the objectives to evaluate TREAT LEU fuel and cladding material’s chemical interaction. This information is important to understand the viability of Zr-based alloys and fuel characteristics for the fabrication of the TREAT LEU fuel and cladding. Also, it is very important to make the right decision on what type of nuclear fuel will be used at TREAT. In particular, one has to consider different oxides of uranium, and most importantly, UO 2 vs U 3O 8. In this report, the results are documented pertaining to the choice mentioned above (UO 2 vs U 3O 8). The conclusion in favor of using UO 2 was made based on the analysis of historical data, up-to-date literature, and self-consistent calculations of phase equilibria and thermodynamic properties in the U-O and U-O-C systems. The report is organized as follows. First, the criteria that were used to make the choice are analyzed. Secondly, existing historical data and

  5. Effects of MgO and SiO2 on Plasma-Sprayed Hydroxyapatite Coating: An in Vivo Study in Rat Distal Femoral Defects.

    PubMed

    Ke, Dongxu; Robertson, Samuel F; Dernell, William S; Bandyopadhyay, Amit; Bose, Susmita

    2017-08-09

    Plasma-sprayed hydroxyapatite (HA)-coated titanium implants have been widely used in orthopedic applications due to their inheritance of an excellent mechanical property from titanium and great osteoconductivity from HA. However, the lack of osteoinductivity limits their further applications. In this study, 1 wt % MgO and 0.5 wt % SiO 2 were mixed with HA for making plasma-sprayed coatings on titanium implants. Plasma-sprayed HA- and MgO/SiO 2 -HA-coated titanium implants showed adhesive bond strengths of 25.73 ± 1.92 and 23.44 ± 2.89 MPa, respectively. The presence of MgO and SiO 2 significantly increased the osteogenesis, osseointegration, and bone mineralization of HA-coated titanium implants by the evaluation of their histomorphology after 6, 10, and 14 weeks of implantation in rat distal femoral defects. Implant pushout tests also showed a shear modulus of 149.83 ± 3.69 MPa for MgO/SiO 2 -HA-coated implants after 14 weeks of implantation, compared to 52.68 ± 10.41 MPa for uncoated implants and 83.92 ± 3.68 MPa for pure HA-coated implants; These are differences in the shear modulus of 96% and 56.4%, respectively. This study assesses for the first time the quality of the bone-implant interface of induction plasma-sprayed MgO and SiO 2 binary-doped HA coatings on load-bearing implants compared to bare titanium and pure HA coatings in a quantitative manner. Relating the osseointegration and interface shear modulus to the quality of implant fixation is critical to the advancement and implementation of HA-coated orthopedic implants.

  6. Cold physical plasma treated buffered saline solution as effective agent against pancreatic cancer cells.

    PubMed

    Bekeschus, Sander; Kading, Andre; Schroder, Tim; Wende, Kristian; Hackbarth, Christine; Liedtke, Kim Rouven; van der Linde, Julia; von Woedtke, Thomas; Heidecke, Claus-Dieter; Partecke, Lars-Ivo

    2018-05-07

    Cold physical plasma has been suggested as a new anticancer tool recently. However, direct use of plasma is limited to visible tumors and in some clinical situations not feasible. This includes repetitive treatment of peritoneal metastases which commonly occur in advanced gastrointestinal cancer and in pancreatic cancer in particular. In case of diffuse intraperitoneal metastatic spread Hyperthermic Intraperitoneal Intraoperative Chemotherapy (HIPEC) is used as therapeutic approach. Plasma treated solutions may combine their suspected systemic non-toxic characteristics with the anticancer effects of HIPEC. Previous work has provided evidence for an anti-cancer efficacy of plasma treated cell culture medium but the clinical relevance of such an approach is low due to its complex formulation and lack of medical accreditation. Therefore, plasma treated phosphate-buffered saline (PBS) which closely resembles medically certified solutions was investigated for its cytotoxic effect on 2D monolayer murine pancreatic cancer cells in vitro. It significantly decreased cancer cell metabolisms and proliferation whereas plasma treated Dulbecco's Modified Eagle Medium had no effect. Moreover, tumor cell growth attenuation was significantly higher when compared to syngeneic primary murine fibroblasts. Both results were confirmed in a human pancreatic cancer cell line. Finally, plasma treated PBS also decreased tumor sizes of pancreatic tumors in the TUM-CAM model in a three-dimensional manner, and induction of apoptosis was found to be responsible for all anticancer effects identified. Altogether, plasma treated PBS inhibited cell growth in 2D and 3D models of cancer. These results may help facilitating the development of new plasma derived anticancer agent with clinical relevance in the future. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  7. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  8. Ta2O5 Polycrystalline Silicon Capacitors with CF4 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kao, Chyuan-Haur; Chen, Hsiang

    2012-04-01

    In this research, the effects of CF4 plasma treatment with post annealing on the electrical characteristics and material properties of Ta2O5 dielectrics were determined. The dielectric performance characteristics of samples under different treatment conditions were measured using equivalent oxide thickness (EOT), current density-electric field (J-E) characteristics, gate voltage shift versus time, and Weibull plots. In addition, X-ray diffraction (XRD) analysis provided insight into the changes in crystalline structure, atomic force microscopy (AFM) measurements visualized the surface roughness, and secondary ion mass spectroscopy (SIMS) revealed the distribution of fluorine ions inside the dielectric samples. Findings indicate that dielectric performance can be significantly improved by CF4 plasma treatment for 1 min with post annealing at 800 °C. The improvements in electrical characteristics were caused by the appropriate incorporation of the fluorine atoms and the removal of the dangling bonds and traps. The Ta2O5 dielectric incorporated with appropriate CF4 plasma and annealing treatments shows great promise for future generation of nonvolatile memory applications.

  9. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  10. Preparation and characterization of nanostructured Pt/TiO2 thin films treated using electron beam.

    PubMed

    Shin, Joong-Hyeok; Woo, Hee-Gweon; Kim, Bo-Hye; Lee, Byung Cheol; Jun, Jin

    2010-05-01

    Pt nanoparticle-doped titanium dioxide (Pt/TiO2) thin films were prepared on a silicon wafer substrate by sol-gel spin coating process. The prepared thin films were treated with electron beam (EB at 1.1 MeV, 100, 200, 300 kGy) at air atmosphere. The effect of EB-irradiation on the composition of the treated thin films, optical properties and morphology of thin films were investigated by various analytical techniques such as X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry (SE), X-ray diffraction (XRD), field emission-scanning electron microscopy (FE-SEM) and transmission electron microscopy (TEM). The crystal structure of the TiO2 layer was found to be an anatase phase and the size of TiO2 particles was determined to be about 13 nm. Pt nanoparticles with diameter of 5 nm were observed on surface of the films. A new layer (presumed to be Pt-Ti complex and/or PtO2 compound) was created in the Pt/TiO2 thin film treated with EB (300 kGy). The transmittance of thin film decreased with EB treatment whereas the refractive index increased.

  11. Effect of Nano-Si3N4 Additives and Plasma Treatment on the Dry Sliding Wear Behavior of Plasma Sprayed Al2O3-8YSZ Ceramic Coatings

    NASA Astrophysics Data System (ADS)

    Gou, Junfeng; Zhang, Jian; Zhang, Qiwen; Wang, You; Wang, Chaohui

    2017-04-01

    In this paper, the effect of nano-Si3N4 additives and plasma treatment on the wear behavior of Al2O3-8YSZ ceramic coatings was studied. Nano-Al2O3, nano-8YSZ (8 wt.% Y2O3-stabilized ZrO2) and nano-Si3N4 powders were used as raw materials to fabricate four types of sprayable feedstocks. Plasma treatment was used to improve the properties of the feedstocks. The surface morphologies of the ceramic coatings were observed. The mechanical properties of the ceramic coatings were measured. The dry sliding wear behavior of the Al2O3-8YSZ coatings with and without Si3N4 additives was studied. Nano-Si3N4 additives and plasma treatment can improve the morphologies of the coatings by prohibiting the initiation of micro-cracks and reducing the unmelted particles. The hardness and bonding strength of AZSP (Al2O3-18 wt.% 8YSZ-10 wt.% Si3N4-plasma treatment) coating increased by 79.2 and 44% compared to those of AZ (Al2O3-20 wt.% 8YSZ) coating. The porosity of AZSP coating decreased by 85.4% compared to that of AZ coating. The wear test results showed that the addition of nano-Si3N4 and plasma treatment could improve the wear resistance of Al2O3-8YSZ coatings.

  12. Influence of an O2 background gas on the composition and kinetic energies of species in laser induced La0.4Ca0.6MnO3 plasmas

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Stender, Dieter; Bator, Matthias; Schneider, Christof W.; Lippert, Thomas; Wokaun, Alexander

    2013-08-01

    Oxygen is one of the most commonly used background gases for pulsed laser deposition of oxide thin films. In this work the properties of a 308 nm laser-induced La0.4Ca0.6MnO3 plasma were analyzed using a quadrupole mass spectrometer combined with an energy analyzer, to investigate the interaction between the various plasma species and the background gas. The composition and kinetic energies of the plasma species were compared in vacuum and an O2 background gas at different pressures. It has been observed that the O2 background gas decreases the kinetic energy of the positively charged atomic plasma species. In addition, the interaction with the O2 background gas causes the generation of positive diatomic oxide species of LaO+, CaO+ and MnO+. The amount of negatively charged diatomic or tri-atomic oxide species decreases in the O2 background compared to vacuum, while the amount of O2- increases strongly.

  13. Manganese-cerium oxide catalysts prepared by non-thermal plasma for NO oxidation: Effect of O2 in discharge atmosphere

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Wu, Shenghao; Gao, Xiang; Ni, Mingjiang; Cen, Kefa

    2017-09-01

    Non-thermal plasma with different O2 concentration in discharge atmosphere was applied to synthesize manganese and cerium mixed-oxides catalysts, which were compared in NO oxidation activity. Discharge atmosphere displayed a crucial influence on the performance of the catalysts prepared by plasma. Relatively low O2 concentration in discharge atmosphere allows synthesizing manganese-cerium oxides catalysts in a moderate environment and therefore is favorable for better physicochemical properties which lead to superior catalytic behavior. The best catalyst was obtained by treatment with 10% O2/N2 plasma and presented over 80% NO conversion in the temperature range of 275-325 °C, whereas catalyst prepared in pure O2 discharge atmosphere had the same activity with a catalyst prepared by calcinations. A correlation between the surface properties of the plasma prepared catalysts and its catalytic activity in NO oxidation is proposed. The amount of the surface adsorbed oxygen has an obvious linear correlation with the amount of Ce3+, the H2 consumption at low temperatures and the catalytic performance. The superior catalytic performance is mainly attributed to the stronger interaction between manganese oxides and ceria, and the formation of poorly crystallized Mn-O-Ce phase in the catalyst which resulted from the slow decomposition of nitrates and organics during plasma treatment. Catalysts prepared in relatively low O2 concentration have large specific surface area and is abundant in Ce3+ species and active oxygen species. The study suggests that plasma treatment with proper discharge gas components is a promising method to prepare effective manganese- cerium oxides catalyst for NO oxidation.

  14. Experimental study of the visible-light photocatalytic activity of oxygen-deficient TiO2 prepared with Ar/H2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Nakano, Takuma; Yazawa, Shota; Araki, Shota; Kogoshi, Sumio; Katayama, Noboru; Kudo, Yusuke; Nakanishi, Tetsuya

    2015-01-01

    Oxygen-deficient TiO2 (TiO2-x) has been proposed as a visible-light-responsive photocatalyst. TiO2-x thin films were prepared by Ar/H2 plasma surface treatment, applying varying levels of microwave input power and processing times. The highest visible light photocatalytic activity was observed when using an input power of 200 W, a plasma processing time of 10 min, and a 1:1 \\text{Ar}:\\text{H}2 ratio, conditions that generate an electron temperature of 5.7(±1.0) eV and an electron density of 8.5 × 1010 cm-3. The maximum formaldehyde (HCHO) removal rate of the TiO2-x film was 2.6 times higher than that obtained from a TiO2-xNx film under the same test conditions.

  15. Preparation of YBa2Cu3O7 High Tc Superconducting Coatings by Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Danroc, J.; Lacombe, J.

    The following sections are included: * INTRODUCTION * THE COMPOUND YBa2Cu3O7-δ * Structure * Critical temperature * Critical current density * Phase equilibria in the YBaCuO system * PREPARATION OF YBa2Cu3O7 COATINGS * General organisation of the preparation process * The powder * Hot plasma spraying of YBa2Cu3O7 * The post-spraying thermal treatment * CHARACTERISTICS OF THE YBa2Cu3O7-δ COATINGS * Chemical composition * Crystalline structure * Morphology of the coatings * Electrical and magnetic characteristics * Conclusion * REFERENCES

  16. Poly (vinyl alcohol)/gum karaya electrospun plasma treated membrane for the removal of nanoparticles (Au, Ag, Pt, CuO and Fe3O4) from aqueous solutions.

    PubMed

    Padil, Vinod Vellora Thekkae; Černík, Miroslav

    2015-04-28

    In the present work, nanofibre membranes composed of polyvinyl alcohol (PVA) and a natural gum karaya (GK) hydrocolloid were prepared using electrospinning. The electrospun membranes of PVA/GK were cross-linked with heat treatment and later methane plasma was used to obtain a hydrophobic membrane. The morphology, characterization and adsorption ability of P-NFM was assessed using scanning electron microscopy, UV-vis spectroscopy, ATR-FTIR techniques, water contact angle and ICP-MS analytical methods. The membrane was employed for the extraction of nanoparticles (Ag, Au, Pt, CuO and Fe3O4) from water. The nanoparticle extraction kinetic and adsorption isotherm perform the pseudo-second-order model and Langmuir isotherm model, respectively. The adsorption capacities of the membrane for the removal of NPs from water diverge in the order Pt>Au>Ag>CuO>Fe3O4. The high adsorption efficiency for the removal of NPs from water was compared with an untreated membrane. Physisorption, functional group interactions, complexation reactions between metal/metal oxide nanoparticles with various functional groups present in NFM and modified surface properties such as the balance of hydrophilicity/hydrophobicity, surface free energy, and the high surface area of the plasma treated membrane were possible mechanisms of NPs adsorption onto NFM. The regeneration and reusability were tested in five consecutive adsorption/desorption cycles. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Study on plasma pre-functionalized PVC film grafted with TiO2/PVP to improve blood compatible and antibacterial properties

    NASA Astrophysics Data System (ADS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Serra Rodríguez, Carmen

    2017-04-01

    Research into the design of new biopolymers/polymer functionalized with nanoparticles is of tremendous interest to the medical sector, particularly with regard to blood-contacting devices. In this present study, a steady blood compatible and active antibacterial coating was fabricated by the grafting of titanium dioxide (TiO2)/polyvinylpyyrolidone (PVP) onto a polyvinyl chloride (PVC) film surface via the direct-current glow discharge plasma method. To enhance the chemical interaction between TiO2/PVP and PVC, the surfaces of the PVC films were functionalized by different plasmas (air, argon, and oxygen) before coating. In this study, the plasma parameters were varied, such as treatment time of about 5-20 min for a constant power of 100 W, potential 300 V, and a constant gas pressure of 2 Pa for air, argon, and oxygen gas environment. Then, the different plasma treatments on the PVC films, TiO2/PVP were grafted using a simple dip-coating method. In addition, the TiO2/PVP-grafted PVC films were characterized by contact angle, attenuated total reflectance Fourier transform infrared spectroscopy, field-emission scanning electron microscope, and x-ray photo electron spectroscopy. Importantly, TiO2/PVP is grafted onto the PVC surface due to the plasma-based retained functionality and demonstrates adhesive efficiency, which was observed by XPS. The bio-stability of the TiO2/PVP-modified PVC film was evaluated by in vitro platelet activation analysis and protein adsorption analysis. Then, the antibacterial properties were evaluated by the agar diffusion method against Escherichia coli. The result reveals that the grafting of TiO2/PVP was slightly higher for the 15 min oxygen plasma-functionalized PVC, which significantly decreases the platelet adhesion and protein adsorption. Moreover, the antibacterial properties of the 15 min oxygen plasma-functionalized PVC with TiO2/PVP-grafted film is also greatly improved compared with an air- and argon-functionalized surface

  18. Au-nanoparticles grafted on plasma treated PE

    NASA Astrophysics Data System (ADS)

    Švorčík, V.; Chaloupka, A.; Řezanka, P.; Slepička, P.; Kolská, Z.; Kasálková, N.; Hubáček, T.; Siegel, J.

    2010-03-01

    Polyethylene (PE) surface was treated with Ar plasma. Activated surface was grafted from methanol solution of 1,2-ethanedithiol. Then the sample was immersed into freshly prepared colloid solution of Au-nanoparticles. Finally Au layer was sputtered on the samples. Properties of the modified PE were studied using various methods: AFM, EPR, RBS and nanoindentation. It was shown that the plasma treatment results in degradation of polymer chain (AFM) and creation of free radicals by EPR. After grafting with dithiol, the concentration of free radicals declines. The presence of Au and S in the surface layer after the coating with Au-nanoparticles was proved by RBS. Plasma treatment changes PE surface morphology and increases surface roughness, too. Another significant change in surface morphology and roughness was observed after deposition of Au-nanoparticles. Nanoindentation measurements show that the grafting with Au-nanoparticles increases adhesion of subsequently sputtered Au layer.

  19. Surface tailoring of newly developed amorphous Znsbnd Sisbnd O thin films as electron injection/transport layer by plasma treatment: Application to inverted OLEDs and hybrid solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Hongsheng; Kim, Junghwan; Yamamoto, Koji; Xing, Xing; Hosono, Hideo

    2018-03-01

    We report a unique amorphous oxide semiconductor Znsbnd Sisbnd O (a-ZSO) which has a small work function of 3.4 eV for as-deposited films. The surface modification of a-ZSO thin films by plasma treatments is examined to apply it to the electron injection/transport layer of organic devices. It turns out that the energy alignment and exciton dissociation efficiency at a-ZSO/organic semiconductor interface significantly changes by choosing different gas (oxygen or argon) for plasma treatments (after a-ZSO was exposed to atmospheric environment for 5 days). In situ ultraviolet photoelectron spectroscopy (UPS) measurement reveals that the work function of a-ZSO is increased to 4.0 eV after an O2-plasma treatment, while the work function of 3.5 eV is recovered after an Ar-plasma treatment which indicates this treatment is effective for surface cleaning. To study the effects of surface treatments to device performance, OLEDs and hybrid polymer solar cells with O2-plasma or Ar-plasma treated a-ZSO are compared. Effects of these surface treatments on performance of inverted OLEDs and hybrid polymer solar cells are examined. Ar-plasma treated a-ZSO works well as the electron injection layer in inverted OLEDs (Alq3/a-ZSO) because the injection barrier is small (∼ 0.1 eV). On the other hands, O2-plasma treated a-ZSO is more suitable for application to hybrid solar cells which is benefiting from higher exciton dissociation efficiency at polymer (P3HT)/ZSO interface.

  20. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  1. Natural dyeing and UV protection of plasma treated cotton

    NASA Astrophysics Data System (ADS)

    Gorjanc, Marija; Mozetič, Miran; Vesel, Alenka; Zaplotnik, Rok

    2018-03-01

    Raw cotton fabrics have been exposed to low-pressure non-equilibrium gaseous plasma to improve the adsorption of natural dyes as well as ultraviolet (UV) protection factor. Plasma created in a glass tube by an electrodeless radiofrequency (RF) discharge was created either in oxygen or ammonia at the pressure of 50 Pa to stimulate formation of oxygen and nitrogen groups, respectively. The type and concentration of functional groups was determined by X-ray photoelectron spectroscopy (XPS) and morphological modifications by scanning electron microscopy (SEM). The colour yield for curcumin dye was improved significantly for samples treated with ammonia plasma what was explained by bonding of the dye to surface of amino groups. Contrary, the yield decreased when oxygen plasma treatment was applied due to the negatively charged surface that repels the negatively charged dye molecules. The effect was even more pronounced when using green tea extract as the colouring agent. The colour difference between the untreated and ammonia plasma treated sample increased linearly with plasma treatment time reaching the factor of 3.5 for treatment time of 300 s. The ultraviolet protection factor (UPF) was over 50 indicating excellent protection due to improved adsorption of the dye on the ammonia plasma treated samples.

  2. Ar + CO2 and He + CO2 Plasmas in ASTRAL

    NASA Astrophysics Data System (ADS)

    Boivin, R. F.; Gardner, A.; Munoz, J.; Kamar, O.; Loch, S.

    2007-11-01

    Spectroscopy study of the ASTRAL helicon plasma source running Ar + CO2 and He + CO2 gas mixes is presented. ASTRAL produces plasmas with the following parameters: ne = 10^10 - 10^13 cm-3, Te = 2 - 10 eV and Ti = 0.03 - 0.5 eV, B-field <= 1.3 kGauss, rf power <= 2 kWatt. A 0.33 m scanning monochromator is used for this study. Using Ar + CO2 gas mixes, very different plasmas are observed as the concentration of CO2 is changed. At low CO2 concentration, the bluish plasma is essentially atomic and argon transitions dominate the spectra. Weak C I and O I lines are present in the 750 - 1000 nm range. At higher CO2 concentration, the plasma becomes essentially molecular and is characterized by intense, white plasma columns. Here, spectra are filled with molecular bands (CO2, CO2^+, CO and CO^+). Limited molecular dissociative excitation processes associated with the production of C I and O I emission are also observed. On the other hand, He + CO2 plasmas are different. Here, rf matches are only possible at low CO2 concentration. Under these conditions, the spectra are characterized by strong C I and O I transitions with little or no molecular bands. Strong dissociative processes observed in these plasmas can be link to the high Te associated with He plasmas. An analysis of the spectra with possible scientific and industrial applications will be presented.

  3. Collisional radiative model for Ar-O2 mixture plasma with fully relativistic fine structure cross sections

    NASA Astrophysics Data System (ADS)

    Priti, Gangwar, Reetesh Kumar; Srivastava, Rajesh

    2018-04-01

    A collisional radiative (C-R) model has been developed to diagnose the rf generated Ar-O2 (0%-5%) mixture plasma at low temperatures. Since in such plasmas the most dominant process is an electron impact excitation process, we considered several electron impact fine structure transitions in an argon atom from its ground as well as excited states. The cross-sections for these transitions have been obtained using the reliable fully relativistic distorted wave theory. Processes which account for the coupling of argon with the oxygen molecules have been further added to the model. We couple our model to the optical spectroscopic measurements reported by Jogi et al. [J. Phys. D: Appl. Phys. 47, 335206 (2014)]. The plasma parameters, viz. the electron density (ne) and the electron temperature (Te) as a function of O2 concentration have been obtained using thirteen intense emission lines out of 3p54p → 3p54s transitions observed in their spectroscopic measurements. It is found that as the content of O2 in Ar increases from 0%-5%, Te increases in the range 0.85-1.7 eV, while the electron density decreases from 2.76 × 1012-2.34 × 1011 cm-3. The Ar-3p54s (1si) fine-structure level populations at our extracted plasma parameters are found to be in very good agreement with those obtained from the measurements. Furthermore, we have estimated the individual contributions coming from the ground state, 1si manifolds and cascade contributions to the population of the radiating Ar-3p54p (2pi) states as a function of a trace amount of O2. Such information is very useful to understand the importance of various processes occurring in the plasma.

  4. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Avshish; Parveen, Shama; Husain, Samina

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current densitymore » of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.« less

  5. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  6. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    NASA Astrophysics Data System (ADS)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  7. Equations for O2 and CO2 solubilities in saline and plasma: combining temperature and density dependences.

    PubMed

    Christmas, Kevin M; Bassingthwaighte, James B

    2017-05-01

    Solubilities of respiratory gasses in water, saline, and plasma decrease with rising temperatures and solute concentrations. Henry's Law, C = α·P, states that the equilibrium concentration of a dissolved gas is solubility times partial pressure. Solubilities in the water of a solution depend on temperature and the content of other solutes. Blood temperatures may differ more than 20°C between skin and heart, and an erythrocyte will undergo that range as blood circulates. The concentrations of O 2 and CO 2 are the driving forces for diffusion, exchanges, and for reactions. We provide an equation for O 2 and CO 2 solubilities, α, that allows for continuous changes in temperature, T, and solution density, ρ, in dynamically changing states:[Formula: see text]This two-exponential expression with a density scalar γ, and a density exponent β, accounts for solubility changes due to density changes of an aqueous solution. It fits experimental data on solubilities in water, saline, and plasma over temperatures from 20 to 40°C, and for plasma densities, ρ sol up to 1.020 g/ml with ~0.3% error. The amounts of additional bound O 2 (to Hb) and CO 2 (bicarbonate and carbamino) depend on the concentrations in the local water space and the reaction parameters. During exercise, solubility changes are large; both ρ sol and T change rapidly with spatial position and with time. In exercise hemoconcentration plasma, ρ sol exceeds 1.02, whereas T may range over 20°C. The six parameters for O 2 and the six for CO 2 are constants, so solubilities are calculable continuously as T and ρ sol change. NEW & NOTEWORTHY Solubilities for oxygen and carbon dioxide are dependent on the density of the solution, on temperature, and on the partial pressure. We provide a brief equation suitable for hand calculators or mathematical modeling, accounting for these factors over a wide range of temperatures and solution densities for use in rapidly changing conditions, such as extreme exercise or

  8. Vibrational excitation in O2and Cl2inductively-coupled plasmas and DC discharges

    NASA Astrophysics Data System (ADS)

    Booth, Jean-Paul; Marinov, Daniil; Foucher, Mickael; Annusova, Adriana; Guerra, Vasco

    2016-09-01

    Low-energy electrons can interact with molecules via resonances to cause vibrational excitation with large cross-sections. Such processes can absorb significant energy from the plasma electrons, affecting the electron energy distribution and potentially (via vibration-translation (VT) energy transfer) causing substantial gas heating. The presence of vibrationally excited molecules may significant increase the rates of collisional processes, including electron dissociative attachment and electron impact dissociation into neutral atoms. However, the cross-sections of these processes are often poorly known since they are extremely difficult to measure directly, and reliable theoretical calculations are only now appearing for simple diatomic molecules. We have measured the vibrational distributions in discharges in pure O2 and pure Cl2, using high-sensitivity ultra-broadband ultraviolet absorption spectroscopy. In O2 plasmas significant vibrational excitation is observed, up to v'' =18, with a tail temperature of around 8000K. In Cl2 excitation is only observed up to v'' =3, and the distribution appears to be in local equilibrium with the gas translational temperature (up to 1500K). We are developing a detailed self-consistent 0D global model of these systems including vibrational excitation. Work performed in the LABEX Plas@par project, with financial state aid (ANR-11-IDEX-0004-02 and ANR-13-BS09-0019).

  9. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  10. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  11. A flexible plasma-treated silver-nanowire electrode for organic light-emitting devices.

    PubMed

    Li, Jun; Tao, Ye; Chen, Shufen; Li, Huiying; Chen, Ping; Wei, Meng-Zhu; Wang, Hu; Li, Kun; Mazzeo, Marco; Duan, Yu

    2017-11-28

    Silver nanowires (AgNWs) are a promising candidate to replace indium tin oxide (ITO) as transparent electrode material. However, the loose contact at the junction of the AgNWs and residual surfactant polyvinylpyrrolidone (PVP) increase the sheet resistance of the AgNWs. In this paper, an argon (Ar) plasma treatment method is applied to pristine AgNWs to remove the PVP layer and enhance the contact between AgNWs. By adjusting the processing time, we obtained AgNWs with a sheet resistance of 7.2Ω/□ and a transmittance of 78% at 550 nm. To reduce the surface roughness of the AgNWs, a peel-off process was used to transfer the AgNWs to a flexible NOA63 substrate. Then, an OLED was fabricated with the plasma-treated AgNWs electrode as anode. The highest brightness (27000 cd/m 2 ) and current efficiency (11.8 cd/A) was achieved with a 30 nm thick light emitting layer of tris-(8-hydroxyquinoline) aluminum doped with 1% 10-(2-benzothiazolyl)-2,3,6,7-tetrahydro-1,1,7,7-tetramethyl-1H,5 H,11H-(1)-benzopyropyrano(6,7-8-I,j)quinolizin-11-one. Compared to thermal annealing, the plasma-treated AgNW film has a lower sheet resistance, a shorter processing time, and a better hole-injection. Our results indicate that plasma treatment is an effective and efficient method to enhance the conductivity of AgNW films, and the plasma-treated AgNW electrode is suitable to manufacture flexible organic optoelectronic devices.

  12. Fabrication and characterization of plasma-sprayed HA/SiO(2) coatings for biomedical application.

    PubMed

    Morks, M F

    2008-01-01

    Fused silica powder has been mixed with hydroxyapatite (HA) powder and plasma sprayed by using gas tunnel-type plasma jet. The influence of silica content (10 wt% and 20 wt%) on the microstructure and mechanical properties of HA-silica coatings was investigated. For investigating the microstructure and mechanical properties of HA-silica coatings, SUS 304 stainless steel was used as substrate material. The spraying was carried out on roughened substrate in an atmospheric chamber. Scanning electron microscope micrographs of cross-sectioned HA/SiO(2) coatings showed that the sprayed HA coatings with 10 and 20 wt% SiO(2) have dense structure with low porosity compared to the pure HA coatings. On the other hand, as the amount of silica was increased the coatings became denser, harder and exhibited high abrasive wear resistance. The presence of silica significantly improved the adhesive strength of HA/SiO(2) coatings mainly due to the increase in bonding strength of the coating at the interface.

  13. Effects of Cr2O3 Activating Flux on the Plasma Plume in Pulsed Laser Welding

    NASA Astrophysics Data System (ADS)

    Yi, Luo; Yunfei, Du; Xiaojian, Xie; Rui, Wan; Liang, Zhu; Jingtao, Han

    2016-11-01

    The effects of Cr2O3 activating flux on pulsed YAG laser welding of stainless steel and, particularly, on the behavior of the plasma plume in the welding process were investigated. According to the acoustic emission (AE) signals detected in the welding process, the possible mechanism for the improvement in penetration depth was discussed. The results indicated that the AE signals detected in the welding process reflected the behavior of the plasma plume as pulsed laser energy affecting the molten pool. The root-mean-square (RMS) waveform, AE count, and power spectrum of AE signals were three effective means to characterize the behavior of the plasma plume, which indicated the characteristics of energy released by the plasma plume. The activating flux affected by the laser beam helped to increase the duration and intensity of energy released by the plasma plume, which improved the recoil force and thermal effect transferred from the plasma plume to the molten pool. These results were the main mechanism for Cr2O3 activating flux addition improving the penetration depth in pulsed YAG laser welding.

  14. Electrochemical oxidation of 4-chlorophenol for wastewater treatment using highly active UV treated TiO2 nanotubes.

    PubMed

    Tian, Min; Thind, Sapanbir S; Dondapati, Jesse S; Li, Xinyong; Chen, Aicheng

    2018-06-07

    In the present work, we report on a facile UV treatment approach for enhancing the electrocatalytic activity of TiO 2 nanotubes. The TiO 2 nanotubes were prepared using an anodization oxidation method by applying a voltage of 40 V for 8 h in a DMSO + 2% HF solution, and further treated under UV light irradiation. Compared with Pt and untreated TiO 2 nanotubes, the UV treated electrode exhibited a superior electrocatalytic activity toward the oxidation of 4-chlorophenol (4-ClPh). The effects of current density and temperature on the electrochemical oxidation of the 4-ClPh were also systematically investigated. The high electrocatalytic activity of the UV treated TiO 2 nanotubes was further confirmed by the electrochemical oxidation of other persistent organic pollutants including phenol, 2-, 3-, 4-nitrophenol, and 4-aminophenol. The total organic carbon (TOC) analysis revealed that over 90% 4-ClPh was removed when the UV treated TiO 2 electrode was employed and the rate constant was 16 times faster than that of the untreated TiO 2 electrode; whereas only 60% 4-ClPh was eliminated at the Pt electrode under the same conditions. This dramatically improved electrocatalytic activity might be attributed to the enhanced donor density, conductivity, and high overpotential for oxygen evolution. Our results demonstrated that the application of the UV treatment to the TiO 2 nanotubes enhanced their electrochemical activity and energy consumption efficiency significantly, which is highly desirable for the abatement of persistent organic pollutants. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. High-frequency underwater plasma discharge application in antibacterial activity

    NASA Astrophysics Data System (ADS)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U.; Mongre, R. K.; Jeong, D. K.; Suresh, R.; Lee, H. J.

    2017-03-01

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli ( E. coli) by generating high-frequency, high-voltage, oxygen (O2) injected and hydrogen peroxide (H2O2) added discharge in water was achieved. The effect of H2O2 dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H2O2 addition with O2 injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH•, H, and O). Interestingly, the results demonstrated that O2 injected and H2O2 added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  16. Kinetics of highly vibrationally excited O2(X) molecules in inductively-coupled oxygen plasmas

    NASA Astrophysics Data System (ADS)

    Annušová, Adriana; Marinov, Daniil; Booth, Jean-Paul; Sirse, Nishant; Lino da Silva, Mário; Lopez, Bruno; Guerra, Vasco

    2018-04-01

    The high degree of vibrational excitation of O2 ground state molecules recently observed in inductively coupled plasma discharges is investigated experimentally in more detail and interpreted using a detailed self-consistent 0D global kinetic model for oxygen plasmas. Additional experimental results are presented and used to validate the model. The vibrational kinetics considers vibrational levels up to v = 41 and accounts for electron impact excitation and de-excitation (e-V), vibration-to-translation relaxation (V-T) in collisions with O2 molecules and O atoms, vibration-to-vibration energy exchanges (V-V), excitation of electronically excited states, dissociative electron attachment, and electron impact dissociation. Measurements were performed at pressures of 10–80 mTorr (1.33 and 10.67 Pa) and radio frequency (13.56 MHz) powers up to 500 W. The simulation results are compared with the absolute densities in each O2 vibrational level obtained by high sensitivity absorption spectroscopy measurements of the Schumann–Runge bands for O2(X, v = 4–18), O(3 P) atom density measurements by two-photon absorption laser induced fluorescence (TALIF) calibrated against Xe, and laser photodetachment measurements of the O‑ negative ions. The highly excited O2(X, v) distribution exhibits a shape similar to a Treanor-Gordiets distribution, but its origin lies in electron impact e-V collisions and not in V-V up-pumping, in contrast to what happens in all other molecular gases known to date. The relaxation of vibrational quanta is mainly due to V-T energy-transfer collisions with O atoms and to electron impact dissociation of vibrationally excited molecules, e+O2(X, v)→O(3P)+O(3P).

  17. Thermochemistry of CaO-MgO-Al2O3-SiO2 (CMAS) and Advanced Thermal and Environmental Barrier Coating Systems

    NASA Technical Reports Server (NTRS)

    Costa, Gustavo; Zhu, Dongming

    2017-01-01

    CaO-MgO-Al2O3-SiO2 (CMAS) oxides are constituents in a broad number of materials and minerals which have recently inferred to discussions in materials science, planetary science, geochemistry and cosmochemistry communities. In materials science, there is increasing interest in the degradation studies of thermal (TBC) and environmental (EBC) barrier coatings of gas turbines by molten CMAS. CMAS minerals usually are carried by the intake air into gas turbines, e.g. in aircraft engines, and their deposits react at high temperatures (1000C) with the coating materials. This causes degradation and accelerated failure of the static and rotating components of the turbine engines. We discuss some preliminary results of the reactions between CMAS and Rare-Earth (RE Y, Yb, Dy, Gd, Nd and Sm) oxide stabilized ZrO2 or HfO2 systems, and the stability of the resulting oxides and silicates. Plasma sprayed hollow tube samples ( 2.2 mm and 26 mm height) were half filled with CMAS powder, wrapped and sealed with platinum foil, and heat treated at 1310 C for 5h. Samples were characterized by differential scanning calorimetry, X-ray diffraction and cross section electron microscopy analysis.

  18. Rapid destruction of the rhodamine B using TiO2 photocatalyst in the liquid phase plasma

    PubMed Central

    2013-01-01

    Background Rhodamine B (RhB) is widely used as a colorant in textiles and food stuffs, and is also a well-known water tracer fluorescent. It is harmful to human beings and animals, and causes irritation of the skin, eyes and respiratory tract. The carcinogenicity, reproductive and developmental toxicity, neurotoxicity and chronic toxicity toward humans and animals have been experimentally proven. RhB cannot be effectively removed by biological treatment due to the slow kinetics. Therefore, RhB is chosen as a model pollutant for liquid phase plasma (LPP) treatment in the present investigation. Results This paper presents experimental results for the bleaching of RhB from aqueous solutions in the presence of TiO2 photocatalyst with LPP system. Properties of generated plasma were investigated by optical emission spectroscopy methods. The results of electrical-discharge degradation of RhB showed that the decomposition rate increased with the applied voltage, pulse width, and frequency. The oxygen gas addition to reactant solution increases the degradation rate by active oxygen species. The RhB decomposition rate was shown to increase with the TiO2 particle dosage. Conclusion This work presents the conclusions on the photocatalytic oxidation of RhB, as a function of plasma conditions, oxygen gas bubbling as well as TiO2 particle dosage. We knew that using the liquid phase plasma system with TiO2 photocatalyst at high speed we could remove the organic matter in the water. PMID:24041151

  19. The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Graves, D. B.

    2011-08-01

    The degradation of porous low-k materials, like SiOCH, under plasma processing continues to be a problem in the next generation of integrated-circuit fabrication. Due to the exposure of the film to many species during plasma treatment, such as photons, ions, radicals, etc, it is difficult to identify the mechanisms responsible for plasma-induced damage. Using a vacuum beam apparatus with a calibrated Xe vacuum ultraviolet (VUV) lamp, we show that 147 nm VUV photons and molecular O2 alone can damage these low-k materials. Using Fourier-transform infrared (FTIR) spectroscopy, we show that VUV/O2 exposure causes a loss of methylated species, resulting in a hydrophilic, SiOx-like layer that is susceptible to H2O absorption, leading to an increased dielectric constant. The effect of VUV radiation on chemical modification of porous SiOCH films in the vacuum beam apparatus and in Ar and O2 plasma exposure was found to be a significant contributor to dielectric damage. Measurements of dielectric constant change using a mercury probe are consistent with chemical modification inferred from FTIR analysis. Furthermore, the extent of chemical modification appears to be limited by the penetration depth of the VUV photons, which is dependent on wavelength of radiation. The creation of a SiOx-like layer near the surface of the material, which grows deeper as more methyl is extracted, introduces a dynamic change of VUV absorption throughout the material over time. As a result, the rate of methyl loss is continuously changing during the exposure. We present a model that attempts to capture this dynamic behaviour and compare the model predictions to experimental data through a fitting parameter that represents the effective photo-induced methyl removal. While this model accurately simulates the methyl loss through VUV exposure by the Xe lamp and Ar plasma, the methyl loss from VUV photons in O2 plasma are only accurately depicted at longer exposure times. We conclude that other

  20. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-12-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency.

  1. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window.

    PubMed

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-15

    SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO 2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV-vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 samples fabricated by the containerless process and SPS between 852 °C-857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  2. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-01

    SrAl2O4-Sr3Al2O6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV–vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl2O4-Sr3Al2O6 samples fabricated by the containerless process and SPS between 852 °C–857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl2O4-Sr3Al2O6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  3. False-positive pregnancy test after transfusion of solvent/detergent-treated plasma.

    PubMed

    Jilma-Stohlawetz, Petra; Wreford-Bush, Tim; Mills, Francesca; Davidson, Fiona; Kursten, Friedrich W; Jilma, Bernd; Birchall, Janet

    2017-12-01

    The transmission of pathogens, antibodies, and proteins is a possible consequence of blood product transfusion. A female patient had an unexpected positive serum β-human chorionic gonadotropin result, indicative of pregnancy, after she had received a transfusion with 1 unit of platelet concentrate, 4 units of red blood cells, and 4 units of pooled solvent/detergent-treated plasma (Octaplas). To investigate the possibility of passive transfusion of β-human chorionic gonadotropin from the plasma transfusion, one additional unit from the same batch was thawed and analyzed. To validate the β-human chorionic gonadotropin assay for use in solvent/detergent-treated plasma and to investigate any interference in the assay, dilution experiments were performed using the implicated plasma batch diluted with male and non-pregnant female sera. Also, plasma from a known pregnant woman was diluted with Octaplas (tested negative for β-human chorionic gonadotropin) and with a male serum to validate the assay for use in solvent/detergent-treated plasma. The implicated solvent/detergent-treated plasma had a mean β-human chorionic gonadotropin level of 91.5 mIU/mL. Results from the dilution experiments revealed an excellent correlation (r > 0.99) between β-human chorionic gonadotropin measurement in solvent/detergent-treated plasma and male serum and no over or under recovery of the expected results. Further measurements of β-human chorionic gonadotropin levels in the female recipient revealed an estimated half-life of 6 hours. This case demonstrates the importance of considering the possibility of passive transmission of analytes to a patient from the transfusion of blood products. Furthermore, the measurement of β-human chorionic gonadotropin is valid in solvent/detergent-treated plasma using a Roche Cobas analyzer. © 2017 AABB.

  4. Optical emission spectroscopic studies and comparisons of CH{sub 3}F/CO{sub 2} and CH{sub 3}F/O{sub 2} inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lou, Qiaowei; Kaler, Sanbir; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2015-03-15

    A CH{sub 3}F/CO{sub 2} inductively coupled plasma (ICP), sustained in a compact plasma reactor, was investigated as a function of power (5–400 W) and feed gas composition, at a pressure of 10 mTorr, using optical emission spectroscopy and rare gas actinometry. Number densities of H, F, and O increased rapidly between 74% and 80% CO{sub 2}, ascribed to the transition from polymer-covered to polymer-free reactor walls, similar to that found previously in CH{sub 3}F/O{sub 2} ICPs at 48% O{sub 2}. Below 40% O{sub 2} or CO{sub 2}, relative emission intensity ratios were almost identical for most key species in CH{sub 3}F/O{submore » 2} and CH{sub 3}F/CO{sub 2} ICPs except for higher OH/Xe (a qualitative measure of OH and H{sub 2}O densities) over the full range of CH{sub 3}F/O{sub 2} composition. The number density of H, F, and O increased with power in CH{sub 3}F/CO{sub 2} (20%/80%) plasmas (polymer-free walls), reaching 4.0, 0.34, and 1.6 × 10{sup 13}/cm{sup 3}, respectively, at 300 W. The CO number density increased with power and was estimated, based on self-actinometry, to be 8.8 × 10{sup 13}/cm{sup 3} at 300 W. The CO{sub 2} number density was independent of power below 40 W (where very little decomposition occurred), and then decreased rapidly with increasing power, reaching 2.8 × 10{sup 13}/cm{sup 3} at 300 W, corresponding to 83% dissociation. Films deposited on p-Si, 10 cm from the open, downstream end of the plasma reactor, were analyzed by x-ray photoelectron spectroscopy. Between 10% and 40% CO{sub 2} or O{sub 2} addition to CH{sub 3}F, film deposition rates fell and O content in the films increased. Faster deposition rates in CH{sub 3}F/CO{sub 2} plasmas were ascribed mainly to a larger thermodynamic driving force to form solid carbon, compared with CH{sub 3}F/O{sub 2} plasmas. Oxygen content in the films increased with increasing CO{sub 2} or O{sub 2} addition, but for the same deposition rate, no substantial differences

  5. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR <- 3.5 V), both of the two samples show good agreement with the surface leakage mechanism. The leakage current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  6. High-frequency underwater plasma discharge application in antibacterial activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O{sub 2}) injected and hydrogen peroxide (H{sub 2}O{sub 2}) added discharge in water was achieved. The effect of H{sub 2}O{sub 2} dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H{sub 2}O{sub 2} addition with O{sub 2} injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population onmore » the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH{sup •}, H, and O). Interestingly, the results demonstrated that O{sub 2} injected and H{sub 2}O{sub 2} added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.« less

  7. Scientific Reports of Plasma Medicine and its Mechanism for Therapy in Plasma Bioscience Research Center

    NASA Astrophysics Data System (ADS)

    Choi, Eun Ha

    2015-09-01

    Scientific reports of plasma medicine and its basic mechanism for therapy will be introduced, especially, performed in Plasma Bioscience Research Center, Korea. We have investigated enhanced anticancer effect of monocytes and macrophages activated by nonthermal plasma which act as immune-modulator on these immune cells. Further, we investigated the action of the nanosecond pulsed plasma activated media (NPPAM) on the lung cancer cells and its DNA oxidation pathway. We observed OD induced apoptosis on melanocytes G361 cancer cells through DNA damage signaling cascade. We also studied DNA oxidation by extracting DNA from treated cancer cell and analyzed the effects of OD/OH/D2O2/H2O2 on protein modification and oxidation. Additionally, we attempted molecular docking approaches to check the action of D2O2 on the apoptosis related genes.

  8. Effects of chronic nitric oxide synthase inhibition on V'O2max and exercise capacity in mice.

    PubMed

    Wojewoda, M; Przyborowski, K; Sitek, B; Zakrzewska, A; Mateuszuk, L; Zoladz, J A; Chlopicki, S

    2017-03-01

    Acute inhibition of NOS by L-NAME (N ω -nitro-L-arginine methyl ester) is known to decrease maximal oxygen consumption (V'O 2max ) and impair maximal exercise capacity, whereas the effects of chronic L-NAME treatment on V'O 2max and exercise performance have not been studied so far. In this study, we analysed the effect of L-NAME treatment, (LN2 and LN12, respectively) on V'O 2max and exercise capacity (in maximal incremental running and prolonged sub-maximal incremental running tests), systemic NO bioavailability (plasma nitrite (NO 2 - ) and nitrate (NO 3 - )) and prostacyclin (PGI 2 ) production in C57BL6/J mice. Mice treated with L-NAME for 2 weeks (LN2) displayed higher V'O 2max and better running capacity than age-matched control mice. In LN2 mice, NO bioavailability was preserved, as evidenced by maintained NO 2 - plasma concentration. PGI 2 production was activated (increased 6-keto-PGF 1α plasma concentration) and the number of circulating erythrocytes (RBC) and haemoglobin concentration were increased. In mice treated with L-NAME for 12 weeks (LN12), NO bioavailability was decreased (lower NO 2 - plasma concentration), and 6-keto-PGF 1α plasma concentration and RBC number were not elevated compared to age-matched control mice. However, LN12 mice still performed better during the maximal incremental running test despite having lower V'O 2max . Interestingly, the LN12 mice showed poorer running capacity during the prolonged sub-maximal incremental running test. To conclude, short-term (2 weeks) but not long-term (12 weeks) treatment with L-NAME activated robust compensatory mechanisms involving preservation of NO2- plasma concentration, overproduction of PGI 2 and increased number of RBCs, which might explain the fully preserved exercise capacity despite the inhibition of NOS.

  9. Heterogeneous processes in CF4/O2 plasmas probed using laser-induced fluorescence of CF2

    NASA Astrophysics Data System (ADS)

    Hansen, S. G.; Luckman, G.; Nieman, George C.; Colson, Steven D.

    1990-09-01

    Laser-induced fluorescence of CF2 is used to monitor heterogeneous processes in ≊300 mTorr CF4/O2 plasmas. CF2 is rapidly removed at fluorinated copper and silver surfaces in 13.56-MHz rf discharges as judged by a distinct dip in its spatial distribution. These metals, when employed as etch masks, are known to accelerate plasma etching of silicon, and the present results suggest catalytic dehalogenation of CF2 is involved in this process. In contrast, aluminum and silicon dioxide exhibit negligible reactivity with CF2, which suggests that aluminum masks will not appreciably accelerate silicon etching and that ground state CF2 does not efficiently etch silicon dioxide. Measurement of CF2 decay in a pulsed discharge coupled with direct laser sputtering of metal into the gas phase indicates the interaction between CF2 and the active metals is purely heterogeneous. Aluminum does, however, exhibit homogeneous reactivity with CF2. Redistribution of active metal by plasma sputtering readily occurs; silicon etch rates may also be enhanced by the metal's presence on the silicon surface. Polymers contribute CF2 to the plasma as they etch. The observation of an induction period suggests fluorination of the polymer surface is the first step in its degradation. Polymeric etch masks can therefore depress the silicon etch rate by removal of F atoms, the primary etchants.

  10. Experimental demonstration of single electron transistors featuring SiO{sub 2} plasma-enhanced atomic layer deposition in Ni-SiO{sub 2}-Ni tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karbasian, Golnaz, E-mail: Golnaz.Karbasian.1@nd.edu; McConnell, Michael S.; Orlov, Alexei O.

    The authors report the use of plasma-enhanced atomic layer deposition (PEALD) to fabricate single-electron transistors (SETs) featuring ultrathin (≈1 nm) tunnel-transparent SiO{sub 2} in Ni-SiO{sub 2}-Ni tunnel junctions. They show that, as a result of the O{sub 2} plasma steps in PEALD of SiO{sub 2}, the top surface of the underlying Ni electrode is oxidized. Additionally, the bottom surface of the upper Ni layer is also oxidized where it is in contact with the deposited SiO{sub 2}, most likely as a result of oxygen-containing species on the surface of the SiO{sub 2}. Due to the presence of these surface parasitic layersmore » of NiO, which exhibit features typical of thermally activated transport, the resistance of Ni-SiO{sub 2}-Ni tunnel junctions is drastically increased. Moreover, the transport mechanism is changed from quantum tunneling through the dielectric barrier to one consistent with thermally activated resistors in series with tunnel junctions. The reduction of NiO to Ni is therefore required to restore the metal-insulator-metal (MIM) structure of the junctions. Rapid thermal annealing in a forming gas ambient at elevated temperatures is presented as a technique to reduce both parasitic oxide layers. This method is of great interest for devices that rely on MIM tunnel junctions with ultrathin barriers. Using this technique, the authors successfully fabricated MIM SETs with minimal trace of parasitic NiO component. They demonstrate that the properties of the tunnel barrier in nanoscale tunnel junctions (with <10{sup −15} m{sup 2} in area) can be evaluated by electrical characterization of SETs.« less

  11. Optimizing Thermoelectric Properties of In Situ Plasma-Spray-Synthesized Sub-stoichiometric TiO2-x Deposits

    NASA Astrophysics Data System (ADS)

    Lee, Hwasoo; Seshadri, Ramachandran Chidambaram; Pala, Zdenek; Sampath, Sanjay

    2018-06-01

    In this article, an attempt has been made to relate the thermoelectric properties of thermal spray deposits of sub-stoichiometric titania to process-induced phase and microstructural variances. The TiO2-x deposits were formed through the in situ reaction of the TiO1.9 or TiO1.7 feedstock within the high-temperature plasma flame and manipulated via varying the amounts of hydrogen fed into in the thermal plasma. Changes in the flow rates of H2 in the plasma plume greatly affected the in-flight particle behavior and composition of the deposits. For reference, a high-velocity oxy-fuel spray torch was also used to deposit the two varieties of feedstocks. Refinements to the representation of the in-flight particle characteristics derived via single particle and ensemble diagnostic methods are proposed using the group parameters (melting index and kinetic energy). The results show that depending on the value of the melting index, there is an inverse proportional relationship between electrical conductivity and Seebeck coefficient, whereas thermal conductivity has a directly proportional relationship with the electrical conductivity. Retention of the original phase and reduced decomposition is beneficial to retain the high Seebeck coefficient or the high electrical conductivity in the TiO2 system.

  12. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    PubMed Central

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-01-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency. PMID:28004829

  13. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    NASA Astrophysics Data System (ADS)

    Basak, Ganesh C.; Bandyopadhyay, Abhijit; Neogi, Sudarsan; Bhowmick, Anil K.

    2011-01-01

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -Cdbnd O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  14. NMR-based plasma metabolomic discrimination for male fertility assessment of rats treated with Eurycoma longifolia extracts.

    PubMed

    Ebrahimi, Forough; Ibrahim, Baharudin; Teh, Chin-Hoe; Murugaiyah, Vikneswaran; Chan, Kit-Lam

    2017-06-01

    Male infertility is one of the leading causes of infertility which affects many couples worldwide. Semen analysis is a routine examination of male fertility status which is usually performed on semen samples obtained through masturbation that may be inconvenient to patients. Eurycoma longifolia (Tongkat Ali, TA), native to Malaysia, has been traditionally used as a remedy to boost male fertility. In our recent studies in rats, upon the administration of high-quassinoid content extracts of TA including TA water (TAW), quassinoid-rich TA (TAQR) extracts, and a low-quassinoid content extract including quassinoid-poor TA (TAQP) extract, sperm count (SC) increased in TAW- and TAQR-treated rats when compared to the TAQP-treated and control groups. Consequently, the rats were divided into normal- (control and TAQP-treated) and high- (TAW- and TAQR-treated) SC groups [Ebrahimi et al. 2016]. Post-treatment rat plasma was collected. An optimized plasma sample preparation method was developed with respect to the internal standards sodium 3- (trimethylsilyl) propionate- 2,2,3,3- d4 (TSP) and deuterated 4-dimethyl-4-silapentane-1-ammonium trifluoroacetate (DSA). Carr-Purcell-Meibum-Gill (CPMG) experiments combined with orthogonal partial least squares discriminant analysis (OPLS-DA) was employed to evaluate plasma metabolomic changes in normal- and high-SC rats. The potential biomarkers associated with SC increase were investigated to assess fertility by capturing the metabolomic profile of plasma. DSA was selected as the optimized internal standard for plasma analysis due to its significantly smaller half-height line width (W h/2 ) compared to that of TSP. The validated OPLS-DA model clearly discriminated the CPMG profiles in regard to the SC level. Plasma profiles of the high-SC group contained higher levels of alanine, lactate, and histidine, while ethanol concentration was significantly higher in the normal-SC group. This approach might be a new alternative applicable to

  15. Growth of carbon nanotubes in arc plasma treated graphite disc: microstructural characterization and electrical conductivity study

    NASA Astrophysics Data System (ADS)

    Nayak, B. B.; Sahu, R. K.; Dash, T.; Pradhan, S.

    2018-03-01

    Circular graphite discs were treated in arc plasma by varying arcing time. Analysis of the plasma treated discs by field emission scanning electron microscope revealed globular grain morphologies on the surfaces, but when the same were observed at higher magnification and higher resolution under transmission electron microscope, growth of multiwall carbon nanotubes of around 2 nm diameter was clearly seen. In situ growth of carbon nanotube bundles/bunches consisting of around 0.7 nm tube diameter was marked in the case of 6 min treated disc surface. Both the untreated and the plasma treated graphite discs were characterized by X-ray diffraction, energy dispersive spectra of X-ray, X-ray photoelectron spectroscopy, transmission electron microscopy, micro Raman spectroscopy and BET surface area measurement. From Raman spectra, BET surface area and microstructure observed in transmission electron microscope, growth of several layers of graphene was identified. Four-point probe measurements for electrical resistivity/conductivity of the graphite discs treated under different plasma conditions showed significant increase in conductivity values over that of untreated graphite conductivity value and the best result, i.e., around eightfold increase in conductivity, was observed in the case of 6 min plasma treated sample exhibiting carbon nanotube bundles/bunches grown on disc surface. By comparing the microstructures of the untreated and plasma treated graphite discs, the electrical conductivity increase in graphite disc is attributed to carbon nanotubes (including bundles/bunches) growth on disc surface by plasma treatment.

  16. Impression of plasma voltage on growth of α-V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Sharma, Rabindar Kumar; Kumar, Prabhat; Reddy, G. B.

    2015-06-01

    In this communication, we synthesized vanadium pentoxide (α-V2O5) nanostructured thin films (NSTs) accompanied with nanoflakes/ nanoplates on the Ni-coated glass substrates employing plasma assisted sublimation process (PASP) as a function of plasma voltage (Vp). The effect of plasma voltage on structural, morphological, compositional, and vibrational properties have been studied systematically. The structural analysis divulged that all films deposited at different Vp have pure orthorhombic phase, no impurity phase is detected under resolution limit of XRD and XPS. The morphological studies of samples is carried out by SEM, revealed that features as well as alignment of V2O5 NSTs is greatly monitored by Vp and the film possessing the best features is obtained at 2500volt. In addition, XPS results reveal that V5+ oxidation state is the most prominent state in sample V2, which represents better stoichiometric nature of film. The vibrational study of all samples is performed by FTIR and strongly support the XRD observations. All the results are in consonance with each other.

  17. Formation of TiO2 nanostructure by plasma electrolytic oxidation for Cr(VI) reduction

    NASA Astrophysics Data System (ADS)

    Torres, D. A.; Gordillo-Delgado, F.; Plazas-Saldaña, J.

    2017-01-01

    Plasma electrolytic oxidation (PEO) is an environmentally friendly technique that allows the growth of ceramic coatings without organic solvents and non-toxic residues. This method was applied to ASME SB-265 titanium (Ti) plates (2×2×0.1cm) using voltage pulses from a switching power supply (340V) for 10 minutes at frequency of 1000Hz changing duty cycle at 10, 60 and 90% and the electrolytes were Na3PO4 and NaOH. The treated sheets surfaces were analysed by X-ray diffraction and scanning electron microscopy. According to the diffractograms, the duty cycle increase produces amorphous TiO2 coating on Ti sheets and the thickness increases. After sintering at 900°C during 1 hour, the 10% duty cycle generated a combination of anatase and rutile phases at the sample surface with weight percentages of 13.3 and 86.6% and particle sizes of 32.461±0.009nm and 141.14±0.03 nm, respectively. With this sample, the total reduction of hexavalent chromium was reached at 50 minutes for 1ppm solution. This photocatalytic activity was measured following the colorimetric method ASTM-3500-Cr B.

  18. Mechanical properties of epoxy composites with plasma-modified rice-husk-derived nanosilica

    NASA Astrophysics Data System (ADS)

    Hubilla, Fatima Athena D.; Panghulan, Glenson R.; Pechardo, Jason; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we explored the use of rice-husk-derived nanosilica (nSiO2) as fillers in epoxy resins. The nSiO2 was irradiated with a capacitively coupled 13.56 MHz radio frequency (RF) plasma using an admixture of argon (Ar) and hexamethyldisiloxane (HMDSO) or 1,7-octadiene (OD) monomers. The plasma-polymerized nSiO2 was loaded at various concentrations (1-5%) into the epoxy matrix. Surface hydrophobicity of the plasma-treated nSiO2-filled composites increased, which is attributed to the attachment of functional groups from the monomer gases on the silica surface. Microhardness increased by at least 10% upon the inclusion of plasma-modified nSiO2 compared with pristine nSiO2-epoxy composites. Likewise, hardness increased with increasing loading volume, with the HMDSO-treated silica composite recording the highest increase. Elastic moduli of the composites also showed an increase of at least 14% compared with untreated nSiO2-filled composites. This work demonstrated the use of rice husk, an agricultural waste, as a nSiO2 source for epoxy resin fillers.

  19. Cold plasma inactivates Salmonella Stanley and Escherichia coli O157:H7 inoculated on golden delicious apples.

    PubMed

    Niemira, Brendan A; Sites, Joseph

    2008-07-01

    Cold plasma generated in a gliding arc was applied to outbreak strains of Escherichia coli O157:H7 and Salmonella Stanley on agar plates and inoculated onto the surfaces of Golden Delicious apples. This novel sanitizing technology inactivated both pathogens on agar plates, with higher flow rate (40 liters/min) observed to be more efficacious than were lower flow rates (20 liters/min), irrespective of treatment time (1 or 2 min). Golden Delicious apples were treated with various flow rates (10, 20, 30, or 40 liters/min) of cold plasma for various times (1, 2, or 3 min), applied to dried spot inoculations. All treatments resulted in significant (P < 0.05) reductions from the untreated control, with 40 liters/min more effective than were lower flow rates. Inactivation of Salmonella Stanley followed a time-dependent reduction for all flow rates. Reductions after 3 min ranged from 2.9 to 3.7 log CFU/ml, close to the limit of detection. For E. coli O157:H7, 40 liters/min gave similar reductions for all treatment times, 3.4 to 3.6 log CFU/ml. At lower flow rates, inactivation was related to exposure time, with 3 min resulting in reductions of 2.6 to 3 log CFU/ml. Temperature increase of the treated apples was related to exposure time for all flow rates. The maximum temperature of any plasma-treated apple was 50.8 degrees C (28 degrees C above ambient), after 20 liters/min for 3 min, indicating that antimicrobial effects were not the result of heat. These results indicate that cold plasma is a nonthermal process that can effectively reduce human pathogens inoculated onto fresh produce.

  20. A comparative study: Effect of plasma on V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Singh, Megha; Kumar, Prabhat; Sharma, Rabindar K.; Reddy, G. B.

    2016-05-01

    Vanadium pentoxide nanostructured thin films (NSTs) have been studied to analyze the effect of plasma on nanostructures grown and morphology of films deposited using sublimation process. Nanostructured thin films were deposited on glass substrates, one in presence of oxygen plasma and other in oxygen environment (absence of plasma). Films were characterized using XRD, Raman spectroscopy, SEM and HRTEM. XRD studies revealed α-V2O5 films (orthorhombic phase) with good crystallinity. However, film deposited in presence of plasma have higher peak intensities as compared to those deposited in absence of plasma. Raman studies also support these finding following same trends of considerable increase in intensity in case of film deposited in presence of plasma. SEM micrographs makes the difference more visible, as film deposited in plasma have well defined plate like structures whereas other film have not-clearly-defined petal-like structures. HRTEM results show orthorhombic phase with 0.39 nm interplanar spacing, as reported by XRD. Results are hereby in good agreement with each other.

  1. Effects of SnO2 on spectroscopic properties of borosilicate glasses before and after plasma treatment and its mechanical properties

    NASA Astrophysics Data System (ADS)

    Abdel Wahab, E. A.; Shaaban, Kh S.

    2018-02-01

    B2O3-SiO2-Na2O-Al2O3-TiO2 glasses modified by SnO2 have prepared and characterized by UV-spectroscopy before and after plasma treatment and by ultrasonic techniques. Makishima-Mackenzie Model has been applied to determine the elastic moduli of glasses. The density and the elastic moduli either determined from the ultrasonic or that computed according to the Makishima-Mackenzie model increase as the SnO2 concentration increases. The values of the optical band gap E g before and after plasma treatment, and refractive index have been determined. It was found that these parameters are sensitive to the increase of SnO2 content. The vibration temperature of nitrogen glow discharge has been calculated using Boltzmann plots of second positive system N2 (C3Πu) → (B3 Πg). The obtained results of vibration temperature decrease with increasing of gas pressure at different discharge currents.

  2. Ion Energy and Ion Flux Distributions of CF4/Ar/O2 Inductively Coupled Plasmas in a GEC Cell

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Knowledge of ion kinetics in plasma processing gas mixtures, such as CF4:Ar:O2, is important for understanding plasma assisted etching and deposition of materials. Ion energies and ion fluxes were measured in this mixture for 80:10:10, 60:20:20, and 40:30:30 mixture ratios in the pressure range of 10-50 mTorr, and at 200 and 300 W of RF power. Ions from plasma, sampled through a 10 micron orifice in the center of the lower plane electrode, were energy and mass analyzed by a combination of electrostatic energy and quadrupole mass filters. CFx(+) (x = 1 - 3), F2(+), F(+), C(+) from CF4, Ar(+) from Ar, and O2(+) and O(+) from O2, and by-product ions SiFx(+)(x = 1 - 3) from etching of quartz coupling window, COFx(+)(x = 1 - 3), CO(+), CO2(+), and OF(+) were detected. In all conditions ion flux decreases with increase of pressure but increase with increase of RF power. Ar(+) signal decreases with increase of pressure while CF3(+), which is the dominant ion at all conditions, increases with increase in pressure. The loss mechanism for Ar(+) and increase of CF3(+) is due to large cross section for Ar(+) + CF4 yields Ar + CF3(+) + F. Ion energies, which range from 15-25 eV depending on plasma operating conditions, are nearly Gaussian. By-product ion signals are higher at lower pressures indicating stronger plasma interaction with quartz window.

  3. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  4. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  5. High Temperature Degradation of Advanced Thermal and Environmental Barrier Coatings (TEBCs) by CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Technical Reports Server (NTRS)

    Costa, Gustavo; Zhu, Dongming

    2017-01-01

    There is increasing interest in the degradation studies of thermal and environmental barrier coatings (TEBCs) of gas turbines by molten CaO-MgO-Al2O3-SiO2 (CMAS). CMAS minerals are usually referred as silica-containing sand dust and volcano ash materials that are carried by the intake air into gas turbines, e.g. aircraft engines. The low-melting deposits react at high temperatures (1000C) with the coating materials. This causes degradation and accelerated coating failure of the static and rotating components of the turbine engines. We discuss some preliminary results of the reactions between CMAS and Rare-Earth (RE Y, Yb, Dy, Gd, Nd and Sm) oxide stabilized ZrO2 or HfO2 systems, and the stability of the resulting oxides and silicates. Plasma sprayed hollow tube samples (outer diameter 4.7 mm, wall thickness 0.76 mm and 26 mm height) were half filled with CMAS powder, wrapped and sealed with platinum foil, and heat- treated at 1310 C for 5h. Samples were characterized by differential scanning calorimetry, X-ray diffraction and cross section electron microscopy analysis.

  6. MPK6 controls H2 O2-induced root elongation by mediating Ca2+ influx across the plasma membrane of root cells in Arabidopsis seedlings.

    PubMed

    Han, Shuan; Fang, Lin; Ren, Xuejian; Wang, Wenle; Jiang, Jing

    2015-01-01

    Mitogen-activated protein kinases (MPKs) play critical roles in signalling and growth, and Ca(2+) and H2 O2 control plant growth processes associated with abscisic acid (ABA). However, it remains unclear how MPKs are involved in H2 O2 - and Ca(2+) -mediated root elongation. Root elongation in seedlings of the loss-of-function mutant Atmpk6 (Arabidopsis thaliana MPK6) was less sensitive to moderate H2 O2 or ABA than that in wild-type (WT) plants. The enhanced elongation was a result of root cell expansion. This effect disappeared when ABA-induced H2 O2 accumulation or the cytosolic Ca(2+) increase were defective. Molecular and biochemical evidence showed that increased expression of the cell wall peroxidase PRX34 in Atmpk6 root cells enhanced apoplastic H2 O2 generation; this promoted a cytosolic Ca(2+) increase and Ca(2+) influx across the plasma membrane. The plasma membrane damage caused by high levels of H2 O2 was ameliorated in a Ca(2+) -dependent manner. These results suggested that there was intensified PRX34-mediated H2 O2 generation in the apoplast and increased Ca(2+) flux into the cytosol of Atmpk6 root cells; that is, the spatial separation of apoplastic H2 O2 from cytosolic Ca(2+) in root cells prevented H2 O2 -induced inhibition of root elongation in Atmpk6 seedlings. © 2014 The Authors. New Phytologist © 2014 New Phytologist Trust.

  7. Dose-Dependent Effects of CeO2 on Microstructure and Antibacterial Property of Plasma-Sprayed TiO2 Coatings for Orthopedic Application

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaobing; Liu, Gaopeng; Zheng, Hai; Cao, Huiliang; Liu, Xuanyong

    2015-02-01

    Titanium and its alloys have been used extensively for orthopedic and dental implants. Although these devices have achieved high rates of success, two major complications may be encountered: the lack of osseointegration and the biomaterial-related infection. Accordingly, cerium oxide (CeO2)-doped titanium oxide (TiO2) materials were coated on titanium by an atmospheric plasma spraying (APS) technique. The phase structures, morphologies, and surface chemical states of the obtained coatings were characterized by x-ray diffraction, scanning electron microscopy, and x-ray photoelectron spectroscopy techniques. The in vitro antibacterial and cytocompatibility of the materials were studied with Staphylococcus aureus ( S. aureus, ATCC25923) and osteoblast precursor cell line MC3T3-E1. The results indicated that the addition of CeO2 shifts slightly the diffraction peaks of TiO2 matrix to low angles but does not change its rutile phase structure. In addition, the CeO2/TiO2 composite coatings possess dose-dependent corrosion resistance and antimicrobial properties. And doping of 10 wt.% CeO2 exhibits the highest activity against S. aureus, improved corrosion resistance, and competitive cytocompatibility, which argues a promising option for balancing the osteogenetic and antibacterial properties of titanium implants.

  8. Interactions between plasma-treated carbon nanotubes and electrically neutral materials

    NASA Astrophysics Data System (ADS)

    Ogawa, Daisuke; Nakamura, Keiji

    2014-10-01

    A plasma treatment can create dangling bonds on the surface of carbon nanotubes (CNTs). The dangling bonds are so reactive that the bonds possibly interact with other neutral species even out of the plasma if the lifetime of the bonds is effectively long. In order to have good understandings with the interactions, we placed multi-wall CNTs (MWCNTs) in atmospheric dielectric barrier discharge that was created in a closed environment with the voltage at 5 kV. We set 50 W for the operating power and 15 minutes for the process time for this plasma treatment. Our preliminary results showed that the reaction between dangling bonds and neutrals likely occurred in the situation when CNTs were treated with argon plasma, and then exposed in a nitrogen-rich dry box. We did Fourier transform infrared (FTIR) spectroscopy after the treatments. The measurement showed that the spectrum with plasma-treated CNTs was different from pristine CNTs. This is an indication that the plasma-treated CNTs have reactive cites on the surface even after the discharge (~ minutes), and then the CNTs likely reacted with the neutral species that causes the different spectrum. In this poster, we will show more details from our results and further progresses from this research.

  9. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  10. Susceptibility of Mice to Trypanosoma evansi Treated with Human Plasma Containing Different Concentrations of Apolipoprotein L-1

    PubMed Central

    Fanfa, Vinicius R.; Otto, Mateus A.; Gressler, Lucas T.; Tavares, Kaio C.S.; Lazzarotto, Cícera R.; Tonin, Alexandre A.; Miletti, Luiz C.; Duarte, Marta M.M.F.; Monteiro, Silvia G.

    2011-01-01

    The aim of this study was to test the susceptibility of mice to Trypanosoma evansi treated with human plasma containing different concentrations of apolipoprotein L-1 (APOL1). For this experiment, a strain of T. evansi and human plasma (plasmas 1, 2, and 3) from 3 adult males clinically healthy were used. In vivo test used 50 mice divided in 5 groups (A to E) with 10 animals in each group. Animals of groups B to E were infected, and then treated with 0.2 ml of human plasma in the following outline: negative control (A), positive control (B), treatment with plasma 1 (C), treatment with plasma 2 (D), and treatment with plasma 3 (E). Mice treated with human plasma showed an increase in longevity of 40.9±0.3 (C), 20±9.0 (D) and 35.6±9.3 (E) days compared to the control group (B) which was 4.3±0.5 days. The number of surviving mice and free of the parasite (blood smear and PCR negative) at the end of the experiment was 90%, 0%, and 60% for groups C, D, and E, respectively. The quantification of APOL1 was performed due to the large difference in the treatments that differed in the source plasma. In plasmas 1, 2, and 3 was detected the concentration of 194, 99, and 115 mg/dl of APOL1, respectively. However, we believe that this difference in the treatment efficiency is related to the level of APOL1 in plasmas. PMID:22355213

  11. Dielectric barrier discharge and jet type plasma surface modifications of hybrid polymeric poly (ε-caprolactone)/chitosan scaffolds.

    PubMed

    Ozkan, Ozan; Turkoglu Sasmazel, Hilal

    2018-04-01

    In this study, dry air plasma jet and dielectric barrier discharge Ar + O 2 or Ar + N 2 plasma modifications and their effects on wettability, topography, functionality and biological efficiency of the hybrid polymeric poly (ε-caprolactone)/chitosan scaffolds were reported. The samples treated with Ar + O 2 dielectric barrier discharge plasma (80 sccm O 2 flow rate, 3-min treatment) or with dry air plasma jet (15-cm nozzle-sample distance, 13-min treatment) had the closest wettability (49.11 ± 1.83 and 53.60 ± 0.95, respectively) to the commercial tissue culture polystyrene used for cell cultivation. Scanning electron microscopy images and X-ray photoelectron spectrometry analysis showed increase in topographical roughness and OH/NH 2 functionality, respectively. Increased fluid uptake capacity for the scaffolds treated with Ar + O 2 dielectric barrier discharge plasma (73.60% ± 1.78) and dry air plasma jet (72.48% ± 0.75) were also noted. Finally, initial cell attachment as well as seven-day cell viability, growth and proliferation performances were found to be significantly better for both plasma treated scaffolds than for untreated scaffolds.

  12. ‘Reliability of new poly (lactic-co-glycolic acid) membranes treated with oxygen plasma plus silicon dioxide layers for pre-prosthetic guided bone regeneration processes’

    PubMed Central

    Castillo-Dalí, Gabriel; Batista-Cruzado, Antonio; López-Santos, Carmen; Rodríguez-González-Elipe, Agustín; Saffar, Jean-Louis; Lynch, Christopher D.; Gutiérrez-Pérez, José-Luis; Torres-Lagares, Daniel

    2017-01-01

    Background The use of cold plasmas may improve the surface roughness of poly(lactic-co-glycolic) acid (PLGA) membranes, which may stimulate the adhesion of osteogenic mediators and cells, thus accelerating the biodegradation of the barriers. Moreover, the incorporation of metallic-oxide particles to the surface of these membranes may enhance their osteoinductive capacity. Therefore, the aim of this paper was to evaluate the reliability of a new PLGA membrane after being treated with oxygen plasma (PO2) plus silicon dioxide (SiO2) layers for guided bone regeneration (GBR) processes. Material and Methods Circumferential bone defects (diameter: 11 mm; depth: 3 mm) were created on the top of eight experimentation rabbits’ skulls and were randomly covered with: (1) PLGA membranes (control), or (2) PLGA/PO2/SiO2 barriers. The animals were euthanized two months afterwards. A micromorphologic study was then performed using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone, concentration of osteoclasts, and intensity of ostheosynthetic activity were assessed and compared with those of the original bone tissue. The Kruskal-Wallis test was applied for between-group com Asignificance level of a=0.05 was considered. Results The PLGA/PO2/SiO2 membranes achieved the significantly highest new bone formation, length of mineralised bone, concentration of osteoclasts, and ostheosynthetic activity. The percentage of regenerated bone supplied by the new membranes was similar to that of the original bone tissue. Unlike what happened in the control group, PLGA/PO2/SiO2 membranes predominantly showed bone layers in advanced stages of formation. Conclusions The addition of SiO2 layers to PLGA membranes pre-treated with PO2 improves their bone-regeneration potential. Although further research is necessary to corroborate these conclusions in humans, this could be a promising strategy to rebuild the bone architecture prior to rehabilitate

  13. Measurement of OH, O, and NO densities and their correlations with mouse melanoma cell death rate treated by a nanosecond pulsed streamer discharge

    NASA Astrophysics Data System (ADS)

    Yagi, Ippei; Shirakawa, Yuki; Hirakata, Kenta; Akiyama, Taketoshi; Yonemori, Seiya; Mizuno, Kazue; Ono, Ryo; Oda, Tetsuji

    2015-10-01

    Mouse melanoma cells in a culture medium are treated using a nanosecond pulsed streamer discharge plasma and the correlations between the rate of cell death and the densities of reactive species (OH, O, and NO) in the plasma are measured. The plasma is irradiated onto the culture medium surface with a vertical gas flow of an O2/N2 mixture from a glass tube at various gas flow rates and O2 concentrations. The densities of the reactive species are measured very close to the culture medium surface, where the reactive species interact with the culture medium, using laser-induced fluorescence. In the case of the N2 discharge (O2 = 0%), an increase in gas flow rate decreases OH density because it lowers the water vapor concentration by diluting the vapor, which is required for OH production. The increase in gas flow rate also leads to a decreased cell death rate. In the case of the O2/N2 discharge, on the other hand, an increase in O2 concentration at a fixed flow rate does not affect the rate of cell death, although it considerably changes the O and NO densities. These findings indicate that some reactive species derived from water vapor such as OH are responsible for the melanoma cell death, whereas those from O2, such as O and NO, are less likely responsible. They also indicate the importance of water evaporation from the culture medium surface in cell treatment.

  14. Stability of plasma treated superhydrophobic surfaces under different ambient conditions.

    PubMed

    Chen, Faze; Liu, Jiyu; Cui, Yao; Huang, Shuai; Song, Jinlong; Sun, Jing; Xu, Wenji; Liu, Xin

    2016-05-15

    Plasma hydrophilizing of superhydrophobic substrates has become an important area of research, for example, superhydrophobic-(super)hydrophilic patterned surfaces have significant practical applications such as lab-on-chip systems, cell adhesion, and control of liquid transport. However, the stability of plasma-induced hydrophilicity is always considered as a key issue since the wettability tends to revert back to the untreated state (i.e. aging behavior). This paper focuses on the stability of plasma treated superhydrophobic surface under different ambient conditions (e.g. temperature and relative humidity). Water contact angle measurement and X-ray photoelectron spectroscopy are used to monitor the aging process. Results show that low temperature and low relative humidity are favorable to retard the aging process and that pre-storage at low temperature (-10°C) disables the treated surface to recover superhydrophobicity. When the aging is performed in water, a long-lasting hydropholicity is obtained. As the stability of plasma-induced hydrophilcity over a desired period of time is a very important issue, this work will contribute to the optimization of storage conditions of plasma treated superhydrophobic surfaces. Copyright © 2016 Elsevier Inc. All rights reserved.

  15. Parametric study of plasma-mediated thermoluminescence produced by Al2O3 sub-micron powders

    NASA Astrophysics Data System (ADS)

    Morávek, T.; Ambrico, P. F.; Ambrico, M.; Schiavulli, L.; Ráheľ, J.

    2017-10-01

    Sub-micron Al2O3 powders with a surface activated by dielectric barrier discharge exhibit improved performance in wet deposition of ceramic layers. In addressing the possible mechanisms responsible for the observed improvement, a comprehensive thermoluminescence (TL) study of plasma-activated powders was performed. TL offers the unique possibility of exploring the population of intrinsic electrons/holes in the charge trapping states. This study covers a wide range of experimental conditions affecting the TL of powders: treatment time, plasma working gas composition, change of discharge configuration, step-annealing of powder, exposure to laser irradiation and aging time. Deconvoluted TL spectra were followed for the changes in their relative contributions. The TL spectra of all tested gases (air, Ar, N2 and 5% He in N2) consist of the well-known main dosimetric peak at 450 K and a peak of similar magnitude at higher temperatures, centered between 700 and 800 K depending on the working gas used. N2 plasma treatment gave rise to a new specific TL peak at 510 K, which exhibited several peculiarities. Initial thermal annealing of Al2O3 powders led to its significant amplification (unlike the other peaks); the peak was insensitive to optical bleaching, and it exhibited slow gradual growth during the long-term aging test. Besides its relevance to the ceramic processing studies, a comprehensive set of data is presented that provides a useful and unconventional view on plasma-mediated material changes.

  16. Exogenous NAD+ decreases oxidative stress and protects H2O2-treated RPE cells against necrotic death through the up-regulation of autophagy

    PubMed Central

    Zhu, Ying; Zhao, Ke-ke; Tong, Yao; Zhou, Ya-li; Wang, Yi-xiao; Zhao, Pei-quan; Wang, Zhao-yang

    2016-01-01

    Increased oxidative stress, which can lead to the retinal pigment epithelium (RPE) cell death by inducing ATP depletion and DNA repair, is believed to be a prominent pathology in age-related macular degeneration (AMD). In the present study, we showed that and 0.1 mM nicotinamide adenine dinucleotide (NAD+) administration significantly blocked RPE cell death induced by 300 μM H2O2. Further investigation showed that H2O2 resulted in increased intracellular ROS level, activation of PARP-1 and subsequently necrotic death of RPE cells. Exogenous NAD+ administration significantly decreased intracellular and intranuclear ROS levels in H2O2-treated RPE cells. In addition, NAD+ administration to H2O2-treated RPE cells inhibited the activation of PARP-1 and protected the RPE cells against necrotic death. Moreover, exogenous NAD+ administration up-regulated autophagy in the H2O2-treated RPE cells. Inhibition of autophagy by LY294002 blocked the decrease of intracellular and intranuclear ROS level. Besides, inhibition of autophagy by LY294002 abolished the protection of exogenous NAD+ against H2O2-induced cell necrotic death. Taken together, our findings indicate that that exogenous NAD+ administration suppresses H2O2-induced oxidative stress and protects RPE cells against PARP-1 mediated necrotic death through the up-regulation of autophagy. The results suggest that exogenous NAD+ administration might be potential value for the treatment of AMD. PMID:27240523

  17. Exogenous NAD(+) decreases oxidative stress and protects H2O2-treated RPE cells against necrotic death through the up-regulation of autophagy.

    PubMed

    Zhu, Ying; Zhao, Ke-Ke; Tong, Yao; Zhou, Ya-Li; Wang, Yi-Xiao; Zhao, Pei-Quan; Wang, Zhao-Yang

    2016-05-31

    Increased oxidative stress, which can lead to the retinal pigment epithelium (RPE) cell death by inducing ATP depletion and DNA repair, is believed to be a prominent pathology in age-related macular degeneration (AMD). In the present study, we showed that and 0.1 mM nicotinamide adenine dinucleotide (NAD(+)) administration significantly blocked RPE cell death induced by 300 μM H2O2. Further investigation showed that H2O2 resulted in increased intracellular ROS level, activation of PARP-1 and subsequently necrotic death of RPE cells. Exogenous NAD(+) administration significantly decreased intracellular and intranuclear ROS levels in H2O2-treated RPE cells. In addition, NAD(+) administration to H2O2-treated RPE cells inhibited the activation of PARP-1 and protected the RPE cells against necrotic death. Moreover, exogenous NAD(+) administration up-regulated autophagy in the H2O2-treated RPE cells. Inhibition of autophagy by LY294002 blocked the decrease of intracellular and intranuclear ROS level. Besides, inhibition of autophagy by LY294002 abolished the protection of exogenous NAD(+) against H2O2-induced cell necrotic death. Taken together, our findings indicate that that exogenous NAD(+) administration suppresses H2O2-induced oxidative stress and protects RPE cells against PARP-1 mediated necrotic death through the up-regulation of autophagy. The results suggest that exogenous NAD(+) administration might be potential value for the treatment of AMD.

  18. Decomposition of acetaminophen in water by a gas phase dielectric barrier discharge plasma combined with TiO2-rGO nanocomposite: Mechanism and degradation pathway.

    PubMed

    Zhang, Guyu; Sun, Yabing; Zhang, Chunxiao; Yu, Zhongqing

    2017-02-05

    Acetaminophen (APAP) served as the model pollutant to evaluate the feasibility of pollutant removal by gas phase dielectric barrier discharge plasma combined with the titanium dioxide-reduced Graphene Oxide (TiO 2 -rGO) nanocomposite. TiO 2 -rGO nanocomposite was prepared using the modified hydrothermal method and characterized by TEM and XPS before and after plasma process. The results indicated that the APAP degradation efficiency was significantly improved to 92% after 18min of discharge plasma treatment coupling 0.25gL -1 TiO 2 -rGO 5%wt at 18kV, compared with the plasma alone and plasma combined with P25 TiO 2 . The degradation mechanism for APAP in this system was studied by investigating the effects of the operational variables (e.g. discharge voltage and pH value) and the amount of the generated active species; and the results showed that O 3 and H 2 O 2 yields were influenced notably by adding TiO 2 -rGO. Also, it was observed that, compared with unused TiO 2 -rGO, the photocatalytic performance of used TiO 2 -rGO declined after several recirculation times due to the further reduction of Graphene Oxide in plasma system. Finally, intermediate products were analyzed by UV-vis spectrometry and HPLC/MS, and possible transformation pathways were identified with the support of theoretically calculating the frontier electron density of APAP. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. Electrochemical Characterization of O2 Plasma Functionalized Multi-Walled Carbon Nanotube Electrode for Legionella pneumophila DNA Sensor

    NASA Astrophysics Data System (ADS)

    Park, Eun Jin; Lee, Jun-Yong; Hyup Kim, Jun; Kug Kim, Sun; Lee, Cheol Jin; Min, Nam Ki

    2010-08-01

    An electrochemical DNA sensor for Legionella pneumophila detection was constructed using O2 plasma functionalized multi-walled carbon nanotube (MWCNT) film as a working electrode (WE). The cyclic voltammetry (CV) results revealed that the electrocatalytic activity of plasma functionalized MWCNT (pf-MWCNT) significantly changed depending on O2 plasma treatment time due to some oxygen containing functional groups on the pf-MWCNT surface. Scanning electron microscope (SEM) images and X-ray photoelectron spectroscopy (XPS) spectra were also presented the changes of their surface morphologies and oxygen composition before and after plasma treatment. From a comparison study, it was found that the pf-MWCNT WEs had higher electrocatalytic activity and more capability of probe DNA immobilization: therefore, electrochemical signal changes by probe DNA immobilization and hybridization on pf-MWCNT WEs were larger than on Au WEs. The pf-MWCNT based DNA sensor was able to detect a concentration range of 10 pM-100 nM of target DNA to detect L. pneumophila.

  20. Effect of O2 plasma treatment on density-of-states in a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Huang, Fei; Li, Sheng; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    This work reports an efficient route for enhancing the performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFT). The mobility was greatly improved by about 38% by means of O2 plasma treatment. Temperature-stress was carried out to investigate the stability and extract the parameters related to activation energy ( E a) and density-of-states (DOS). The DOS was calculated on the basis of the experimentally obtained E a, which can explain the experimental observation. A lower activation energy ( E a, 0.72 eV) and a smaller DOS were obtained in the O2 plasma treatment TFT based on the temperature-dependent transfer curves. The results showed that temperature stability and electrical properties enhancements in a-IGZO thin film transistors were attributed to the smaller DOS. [Figure not available: see fulltext.

  1. Correlation Between Optoelectronic and Positron Lifetime Properties in As-received and Plasma-treated ZnO Nanopowders

    NASA Astrophysics Data System (ADS)

    Peters, R. M.; Paramo, J. A.; Quarles, C. A.; Strzhemechny, Y. M.

    2009-03-01

    We employed photoluminescence and positron lifetime measurements on a number of commercially available ZnO nanopowders. The experiments were performed before and after processing of these samples in remote N and O/He plasma. In all the nanopowders, the average lifetime component is substantially longer than in a single-crystalline sample, consistent with the model of grains with defect-rich surface and subsurface layers. However, the sample-to-sample differences in the quality of the powders, as detected by the photoluminescence spectroscopy, obscure observation of possible size effects. Compression of the powders into pellets yields reductions of the average positron lifetimes. Plasma-induced modifications are most visible in the low-temperature photoluminescence spectra of the smallest nanocrystals, indicative of a surface-specific nature of the chosen treatment procedure.

  2. Synthesis of [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y Ceramic and its Application in Efficient Plasma Decomposition of CO2

    NASA Astrophysics Data System (ADS)

    Li, Ruixing; Tang, Qing; Yin, Shu; Sato, Tsugio

    According to both the first principle and materials chemistry, a method for fabricating [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y ceramic was investigated. It was considered that the sintering was promoted by self-accelerated diffusion due to the formation of point defects caused by doping with Li2Si2O5. Consequently, a concept of non-stoichiometrically activated sintering, which was enhanced by point defects without the help of a grain boundary phase, was systematically studied in the Ca1-xSrxTiO3-Li2Si2O5 system. The mechanical and dielectric properties of [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y were greatly enhanced by adding Li2Si2O5. To improve CO2 decomposition activity, [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y, which possesses both high permittivity and high dielectric strength was used as a dielectric barrier to decompose CO2 by dielectric barrier discharges (DBDs) plasma without using any catalyst and auxiliary substance. It successfully generated DBDs plasma and the CO2 conversion was much higher than that using an alumina or a silica glass barrier which was widely used as the dielectric barrier in previous studies.

  3. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    PubMed

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  4. Scanning electron microscopy of heat treated TiO2 nanotubes arrays obtained by anodic oxidation

    NASA Astrophysics Data System (ADS)

    Naranjo, D. I.; García-Vergara, S. J.; Blanco, S.

    2017-12-01

    Scanning electron microscopy was used to investigate the anatase-rutile transformation of self-organized TiO2 nanotubes obtained on titanium foil by anodizing and subsequent heat treatment. The anodizing was carried out at 20V in an 1% v/v HF acid and ethylene glycol:water (50:50) electrolyte at room temperature. The anodized samples were initially pre-heat treated at 450°C for 4 hours to modify the amorphous structure of TiO2 nanotubes into anatase structure. Then, the samples were heated between 600 to 800°C for different times, in order to promote the transformation to rutile structure. The formation of TiO2 nanotubes is evident by SEM images. Notably, when the samples are treated at high temperature, the formation of rutile crystals starts to become evident at the nanotubes located on the originally grain boundaries of the titanium. Thus, the anatase - rutile transformation has a close relationship with the microstructure of the titanium, more exactly with grain boundaries.

  5. Atmospheric plasma surface modifications of electrospun PCL/chitosan/PCL hybrid scaffolds by nozzle type plasma jets for usage of cell cultivation

    NASA Astrophysics Data System (ADS)

    Surucu, Seda; Masur, Kai; Turkoglu Sasmazel, Hilal; Von Woedtke, Thomas; Weltmann, Klaus Dieter

    2016-11-01

    This paper reports Ar gas, Ar + O2, Ar + O2 + N2 gas mixtures and dry air plasma modifications by atmospheric pressure argon driven kINPen and air driven Diener (PlasmaBeam) plasma jets to alter surface properties of three dimensional (3D), electrospun PCL/Chitosan/PCL layer by layer hybrid scaffolds to improve human fibroblast (MRC5) cell attachment and growth. The characterizations of the samples were done by contact angle (CA) measurements, scanning electron microscopy (SEM), X-Ray Photoelectron spectroscopy (XPS) analysis. The results showed that the plasma modification carried out under dry air and Ar + O2 + N2 gas mixtures were altered effectively the nanotopography and the functionality of the material surfaces. It was found that the samples treated with Ar + O2 + N2 gas mixtures for 1 min and dry air for 9 min have better hydrophilicity 78.9° ± 1.0 and 75.6° ± 0.1, respectively compared to the untreated samples (126.5°). Biocompatibility performance of the scaffolds was determined with alamarBlue (aB) assay and MTT assay methods, Giemsa staining, fluorescence microscope, confocal laser scanning microscope (CLSM) and scanning electron microscope (SEM) analyses. The results showed that plasma treated samples increased the hydrophilicity and oxygen functionality and topography of the surfaces significantly, thus affecting the cell viability and proliferation on/within scaffolds.

  6. Wear Behavior of Plasma Spray Deposited and Post Heat-Treated Hydroxyapatite (HA)-Based Composite Coating on Titanium Alloy (Ti-6Al-4V) Substrate

    NASA Astrophysics Data System (ADS)

    Kumari, Renu; Majumdar, Jyotsna Dutta

    2018-04-01

    The present study concerns a detailed evaluation of wear resistance property of plasma spray deposited composite hydroxyapatite (HA)-based (HA-50 wt pct TiO2 and HA-10 wt pct ZrO2) bioactive coatings developed on Ti-6Al-4V substrate and studying the effect of heat treatment on it. Heat treatment of plasma spray deposited samples has been carried out at 650 °C for 2 hours (for HA-50 wt pct TiO2 coating) and at 750 °C for 2 hours (for HA-10 wt pct ZrO2 coating). There is significant deterioration in wear resistance for HA-50 wt pctTiO2 coating and a marginal deterioration in wear resistance for HA-10 wt pct ZrO2 coating in as-sprayed state (as compared to as-received Ti-6Al-4V) which is, however, improved after heat treatment. The coefficient of friction is marginally increased for both HA-50 wt pct TiO2 and HA-10 wt pct ZrO2 coatings in as-sprayed condition as compared to Ti-6Al-4V substrate. However, coefficient of friction is decreased for both HA-50 wt pct TiO2 and HA-10 wt pct ZrO2 coatings after heat-treated condition as compared to Ti-6Al-4V substrate. The maximum improvement in wear resistance property is, however, observed for HA-10 wt pct ZrO2 sample after heat treatment. The mechanism of wear has been investigated.

  7. Plasma concentrations of 15-keto-13,14-dihydro-PGF-2 alpha, oestrone sulphate, oestradiol-17 beta and progesterone in pregnant guinea-pigs treated with polychlorinated biphenyls.

    PubMed

    Lundkvist, U; Kindahl, H

    1989-09-01

    Guinea-pigs treated by gavage with a total dose of 100 mg polychlorinated biphenyls (PCB: Clophen A50) during Days 17-61 of gestation had higher plasma concentrations of 15-keto-13,14-dihydroprostaglandin F-2 alpha, oestrone sulphate and oestradiol-17 beta during the later stages of gestation than did vehicle-treated guinea-pigs. No changes were observed in plasma progesterone concentrations. Our results provide no support for the hypothesis that an enzyme-induced decrease in progesterone concentrations is the main cause of the fetal death observed in PCB-treated guinea-pigs.

  8. Gas-phase evolution of Ar/H2O and Ar/CH4 dielectric barrier discharge plasmas

    NASA Astrophysics Data System (ADS)

    Barni, Ruggero; Riccardi, Claudia

    2018-04-01

    We present some experimental results of an investigation aimed to hydrogen production with atmospheric pressure plasmas, based on the use of dielectric barrier discharges, fed with a high-voltage alternating signal at frequency 30-50 kHz, in mixtures of methane or water vapor diluted in argon. The plasma gas-phase of the discharge was investigated by means of optical and electrical diagnostics. The emission spectra of the discharges was measured with a wide band spectrometer and a photosensor module, based on a photomultiplier tube. A Rogowski coil allowed to measure the electric current flowing into the circuit and a high voltage probe was employed for evaluating the voltage at the electrodes. The analysis of the signals of voltage and current shows the presence of microdischarges between the electrodes in two alternating phases during the period of oscillation of the applied voltage. The hydrogen concentration in the gaseous mixture was measured too. Besides this experimental campaign, we present also results from a numerical modeling of chemical kinetics in the gas-phase of Ar/H2O and Ar/CH4 plasmas. The simulations were conducted under conditions of single discharge to study the evolution of the system and of fixed frequency repeated discharging. In particular in Ar/H2O mixtures we could study the evolution from early atomic dissociation in the discharge, to longer time scales, when chemical reactions take place producing an increase of the density of species such as OH, H2O2 and subsequently of H and H2. The results of numerical simulations provide some insights into the evolution happening in the plasma gas-phase during the hydrogen reforming process.

  9. Effects of Atmospheric-Pressure N2, He, Air, and O2 Microplasmas on Mung Bean Seed Germination and Seedling Growth

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Zhuang, Jinxing; Yang, Size; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-09-01

    Atmospheric-pressure N2, He, air, and O2 microplasma arrays have been used to investigate the effects of plasma treatment on seed germination and seedling growth of mung bean in aqueous solution. Seed germination and growth of mung bean were found to strongly depend on the feed gases used to generate plasma and plasma treatment time. Compared to the treatment with atmospheric-pressure O2, N2 and He microplasma arrays, treatment with air microplasma arrays was shown to be more efficient in improving both the seed germination rate and seedling growth, the effect attributed to solution acidification and interactions with plasma-generated reactive oxygen and nitrogen species. Acidic environment caused by air discharge in water may promote leathering of seed chaps, thus enhancing the germination rate of mung bean, and stimulating the growth of hypocotyl and radicle. The interactions between plasma-generated reactive species, such as hydrogen peroxide (H2O2) and nitrogen compounds, and seeds led to a significant acceleration of seed germination and an increase in seedling length of mung bean. Electrolyte leakage rate of mung bean seeds soaked in solution activated using air microplasma was the lowest, while the catalase activity of thus-treated mung bean seeds was the highest compared to other types of microplasma.

  10. Effects of Atmospheric-Pressure N2, He, Air, and O2 Microplasmas on Mung Bean Seed Germination and Seedling Growth.

    PubMed

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Zhuang, Jinxing; Yang, Size; Bazaka, Kateryna; Ken Ostrikov, Kostya

    2016-09-01

    Atmospheric-pressure N2, He, air, and O2 microplasma arrays have been used to investigate the effects of plasma treatment on seed germination and seedling growth of mung bean in aqueous solution. Seed germination and growth of mung bean were found to strongly depend on the feed gases used to generate plasma and plasma treatment time. Compared to the treatment with atmospheric-pressure O2, N2 and He microplasma arrays, treatment with air microplasma arrays was shown to be more efficient in improving both the seed germination rate and seedling growth, the effect attributed to solution acidification and interactions with plasma-generated reactive oxygen and nitrogen species. Acidic environment caused by air discharge in water may promote leathering of seed chaps, thus enhancing the germination rate of mung bean, and stimulating the growth of hypocotyl and radicle. The interactions between plasma-generated reactive species, such as hydrogen peroxide (H2O2) and nitrogen compounds, and seeds led to a significant acceleration of seed germination and an increase in seedling length of mung bean. Electrolyte leakage rate of mung bean seeds soaked in solution activated using air microplasma was the lowest, while the catalase activity of thus-treated mung bean seeds was the highest compared to other types of microplasma.

  11. Analysis and utilization of plasma treated water for food and agricultural interest

    NASA Astrophysics Data System (ADS)

    Park, Sanghoo; Lim, Youbong; Park, Joo Young; Choe, Wonho; Kim, Hyun-Joo; Yong, Hae In; Jo, Cheorun; Jung, Samooel

    2015-09-01

    Attention on aqueous chemical species produced in plasma-treated solutions through plasma-liquid interactions has been increased because of its strong relation to bio/medical and food applications. The long-lived and reactive oxygen and nitrogen species such as hydrogen peroxide, ozone, superoxide anion, and oxyacids play a crucial role in those applications. The plasma treatment brings about absorption of these species into the target liquid and also induces changes in liquid characteristics and composition via photolysis by plasma UV emission and post-discharge reactions. In this presentation, we discuss the result of our investigation of the chemical properties related to the two main oxyacids, HNO2 and HNO3, in plasma treated water (PTW). Water was treated in close proximity by our SDBD system developed specifically to meet the application requirements. The chemical properties of the solution varied gradually over the treatment time and storage time. Here we report the result of our experiment, theoretical analysis, and their consistency. Furthermore, the dependence of the nitrite ion production yield on the dissipated power, treatment time, and dielectric material will be discussed. Based on the revealed fundamental characteristics, the utilization of PTW in the meat curing process as one of the nitrite sources will be briefly demonstrated. In terms of sausage quality, there were no noticeable effects of PTW on the total aerobic bacteria counts, color, and peroxide values of sausages compared with those using celery powder and sodium nitrite.

  12. Study of the structural and thermal properties of plasma treated jute fibre

    NASA Astrophysics Data System (ADS)

    Sinha, E.; Rout, S. K.; Barhai, P. K.

    2008-08-01

    Jute fibres ( Corchorus olitorius), were treated with argon cold plasma for 5, 10 and 15 min. Structural macromolecular parameters of untreated and plasma treated fibres were investigated using small angle X-ray scattering (SAXS), and the crystallinity parameters of the same fibres were determined by using X-ray diffraction (XRD). Differential scanning calorimetry (DSC) was used to study the thermal behavior of the untreated and treated fibres. Comparison and analysis of the results confirmed the changes in the macromolecular structure after plasma treatment. This is due to the swelling of cellulosic particles constituting the fibres, caused by the bombardment of high energetic ions onto the fibre surface. Differential scanning calorimetry data demonstrated the thermal instability of the fibre after cold plasma treatment, as the thermal degradation temperature of hemicelluloses and cellulose was found lowered than that of raw fibre after plasma treatment.

  13. Fabrication of TiO2-modified polytetrafluoroethylene ultrafiltration membranes via plasma-enhanced surface graft pretreatment

    NASA Astrophysics Data System (ADS)

    Qian, Yingjia; Chi, Lina; Zhou, Weili; Yu, Zhenjiang; Zhang, Zhongzhi; Zhang, Zhenjia; Jiang, Zheng

    2016-01-01

    Surface hydrophilic modification of polymer ultrafiltration membrane using metal oxide represents an effective yet highly challenging solution to improve water flux and antifouling performance. Via plasma-enhanced graft of poly acryl acid (PAA) prior to coating TiO2, we successfully fixed TiO2 functional thin layer on super hydrophobic polytetrafluoroethylene (PTFE) ultrafiltration (UF) membranes. The characterization results evidenced TiO2 attached on the PTFE-based UF membranes through the chelating bidentate coordination between surface-grafted carboxyl group and Ti4+. The TiO2 surface modification may greatly reduce the water contact angle from 115.8° of the PTFE membrane to 35.0° without degradation in 30-day continuous filtration operations. The novel TiO2/PAA/PTFE membranes also exhibited excellent antifouling and self-cleaning performance due to the intrinsic hydrophilicity and photocatalysis properties of TiO2, which was further confirmed by the photo-degradation of MB under Xe lamp irradiation.

  14. Low temperature RF plasma nitriding of self-organized TiO2 nanotubes for effective bandgap reduction

    NASA Astrophysics Data System (ADS)

    Bonelli, Thiago Scremin; Pereyra, Inés

    2018-06-01

    Titanium dioxide is a widely studied semiconductor material found in many nanostructured forms, presenting very interesting properties for several applications, particularly photocatalysis. TiO2 nanotubes have a high surface-to-volume ratio and functional electronic properties for light harvesting. Despite these manifold advantages, TiO2 photocatalytic activity is limited to UV radiation due to its large band gap. In this work, TiO2 nanotubes produced by electrochemical anodization were submitted to plasma nitriding processes in a PECVD reactor. The plasma parameters were evaluated to find the best conditions for gap reduction, in order to increase their photocatalytic activity. The pressure and RF power density were varied from 0.66 to 2.66 mbar and 0.22 to 3.51 W/cm2 respectively. The best gap reduction, to 2.80 eV, was achieved using a pressure of 1.33 mbar and 1.75 W/cm2 RF power at 320 °C, during a 2-h process. This leads to a 14% reduction in the band gap value and an increase of 25.3% in methylene blue reduction, doubling the range of solar photons absorption from 5 to 10% of the solar spectrum.

  15. Reliability of new poly (lactic-co-glycolic acid) membranes treated with oxygen plasma plus silicon dioxide layers for pre-prosthetic guided bone regeneration processes.

    PubMed

    Castillo-Dalí, G; Castillo-Oyagüe, R; Batista-Cruzado, A; López-Santos, C; Rodríguez-González-Elipe, A; Saffar, J-L; Lynch, C-D; Gutiérrez-Pérez, J-L; Torres-Lagares, D

    2017-03-01

    The use of cold plasmas may improve the surface roughness of poly(lactic-co-glycolic) acid (PLGA) membranes, which may stimulate the adhesion of osteogenic mediators and cells, thus accelerating the biodegradation of the barriers. Moreover, the incorporation of metallic-oxide particles to the surface of these membranes may enhance their osteoinductive capacity. Therefore, the aim of this paper was to evaluate the reliability of a new PLGA membrane after being treated with oxygen plasma (PO2) plus silicon dioxide (SiO2) layers for guided bone regeneration (GBR) processes. Circumferential bone defects (diameter: 11 mm; depth: 3 mm) were created on the top of eight experimentation rabbits' skulls and were randomly covered with: (1) PLGA membranes (control), or (2) PLGA/PO2/SiO2 barriers. The animals were euthanized two months afterwards. A micromorphologic study was then performed using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone, concentration of osteoclasts, and intensity of ostheosynthetic activity were assessed and compared with those of the original bone tissue. The Kruskal-Wallis test was applied for between-group com Asignificance level of a=0.05 was considered. The PLGA/PO2/SiO2 membranes achieved the significantly highest new bone formation, length of mineralised bone, concentration of osteoclasts, and ostheosynthetic activity. The percentage of regenerated bone supplied by the new membranes was similar to that of the original bone tissue. Unlike what happened in the control group, PLGA/PO2/SiO2 membranes predominantly showed bone layers in advanced stages of formation. The addition of SiO2 layers to PLGA membranes pre-treated with PO2 improves their bone-regeneration potential. Although further research is necessary to corroborate these conclusions in humans, this could be a promising strategy to rebuild the bone architecture prior to rehabilitate edentulous areas.

  16. Effects of •OH and •NO radicals in the aqueous phase on H2O2 and \\text{NO}_{2}^{-} generated in plasma-activated medium

    NASA Astrophysics Data System (ADS)

    Kurake, Naoyuki; Tanaka, Hiromasa; Ishikawa, Kenji; Takeda, Keigo; Hashizume, Hiroshi; Nakamura, Kae; Kajiyama, Hiroaki; Kondo, Takashi; Kikkawa, Fumitaka; Mizuno, Masaaki; Hori, Masaru

    2017-04-01

    A plasma-activated medium (PAM), which means a cell-culture medium irradiated with cold atmospheric plasmas or non-equilibrium atmospheric pressure plasma (NEAPP), has shown strong antitumor effects on various kinds of cells such as gastric cancer cells, human lung adenocarcinoma cells, human breast cancer cells and so on. In order to clarify the mechanism, it is extremely important to investigate the behaviors of stable and unstable reactive oxygen nitrogen species in culture medium irradiated by NEAPP. The roles of hydroxyl radicals (•OH) and nitric oxide (•NO) were studied to understand the dominant synthetic pathways of H2O2 and \\text{NO}2- in culture medium irradiated with NEAPP. In the PAM, •OH in the aqueous phase was generated predominantly by photo-dissociation. However, most of the H2O2 nor \\text{NO}2- generated in the PAM did not originate from aqueous •OH and •NO. Pathways for the generation of H2O2 and \\text{NO}2- are suggested based on the high concentrations of intermediates generated at the gas/aqueous-phase interface following NEAPP irradiation. On the basis of these results, the reaction model of chemical species in the culture medium is proposed.

  17. Differentially expressed genes in the silk gland of silkworm (Bombyx mori) treated with TiO2 NPs.

    PubMed

    Xue, Bin; Li, Fanchi; Hu, Jingsheng; Tian, Jianghai; Li, Jinxin; Cheng, Xiaoyu; Hu, Jiahuan; Li, Bing

    2017-05-05

    Silk gland is a silkworm organ where silk proteins are synthesized and secreted. Dietary supplement of TiO 2 nanoparticles (NPs) promotes silk protein synthesis in silkworms. In this study, digital gene expression (DGE) tag was used to analyze the gene expression profile of the posterior silk gland of silkworms that were fed with TiO 2 NPs. In total, 5,702,823 and 6,150,719 clean tags, 55,096 and 74,715 distinct tags were detected in TiO 2 NPs treated and control groups, respectively. Compared with the control, TiO 2 NPs treated silkworms showed 306 differentially expressed genes, including 137 upregulated genes and 169 downregulated genes. Of these differentially expressed genes, 106 genes were related to silk protein synthesis, among which 97 genes were upregulated and 9 genes were downregulated. Pathway mapping using the Kyoto Encyclopedia of Genes and Genomes (KEGG) showed that 20 pathways were significantly enriched in TiO 2 NPs treated silkworms, and the metabolic pathway-related genes were the most significantly enriched. The DGE results were verified by qRT-PCR analysis of eight differentially expressed genes. The DGE and qRT-PCR results were consistent for all three upregulated genes and three of the five downregulated genes, but the expression trends of the remaining two genes were different between qRT-PCR and DGE analysis. This study enhances our understanding of the mechanism of TiO 2 NPs promoted silk protein synthesis. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. Role of H2O2 in hypertension, renin-angiotensin system activation and renal medullary disfunction caused by angiotensin II

    PubMed Central

    Sousa, T; Oliveira, S; Afonso, J; Morato, M; Patinha, D; Fraga, S; Carvalho, F; Albino-Teixeira, A

    2012-01-01

    BACKGROUND AND PURPOSE Activation of the intrarenal renin-angiotensin system (RAS) and increased renal medullary hydrogen peroxide (H2O2) contribute to hypertension. We examined whether H2O2 mediated hypertension and intrarenal RAS activation induced by angiotensin II (Ang II). EXPERIMENTAL APPROACH Ang II (200 ng·kg−1·min−1) or saline were infused in Sprague Dawley rats from day 0 to day 14. Polyethylene glycol (PEG)-catalase (10 000 U·kg−1·day−1) was given to Ang II-treated rats, from day 7 to day 14. Systolic blood pressure was measured throughout the study. H2O2, angiotensin AT1 receptor and Nox4 expression and nuclear factor-κB (NF-κB) activation were evaluated in the kidney. Plasma and urinary H2O2 and angiotensinogen were also measured. KEY RESULTS Ang II increased H2O2, AT1 receptor and Nox4 expression and NF-κB activation in the renal medulla, but not in the cortex. Ang II raised plasma and urinary H2O2 levels, increased urinary angiotensinogen but reduced plasma angiotensinogen. PEG-catalase had a short-term antihypertensive effect and transiently suppressed urinary angiotensinogen. PEG-catalase decreased renal medullary expression of AT1 receptors and Nox4 in Ang II-infused rats. Renal medullary NF-κB activation was correlated with local H2O2 levels and urinary angiotensinogen excretion. Loss of antihypertensive efficacy was associated with an eightfold increase of plasma angiotensinogen. CONCLUSIONS AND IMPLICATIONS The renal medulla is a major target for Ang II-induced redox dysfunction. H2O2 appears to be the key mediator enhancing intrarenal RAS activation and decreasing systemic RAS activity. The specific control of renal medullary H2O2 levels may provide future grounds for the treatment of hypertension. PMID:22452317

  19. Effect of surface etching on the oxidation behavior of plasma chromizing-treated AISI440B stainless steel

    NASA Astrophysics Data System (ADS)

    Meng, T. X.; Guo, Q.; Xi, W.; Ding, W. Q.; Liu, X. Z.; Lin, N. M.; Yu, S. W.; Liu, X. P.

    2018-03-01

    Double glow plasma surface alloying was applied to prepare chromizing layer in the surface of AISI440B stainless steel. Prior to chromizing, the stainless steel was etched by microwave plasma chemical vapor deposition to change the surface morphology and composition, and then heated for chromizing at 950 °C for 3 h. The cyclical oxidation of steel after chromizing was carried out at 900 °C for 100 h. Scanning electron microscopy, glow discharge optical emission spectrometer and X-ray diffractometer were used to characterize microstructure, composition and phase structure of alloyed and oxidized samples. The results show that the surface was composed of the Cr-rich top layer and Cr23C6, Cr7C3 and {Cr,Fe}7C3 below layer after chromizing. The bonding between the chromizing layer and the substrate after etching treatment was obviously strengthened. AISI440B steel shows a poor oxidation resistance and the weight gain oxidized for 100 h was up to 31.1 mg/cm2. Weight gains for chromizing and etching + chromizing treated samples were 0.67 mg/cm2 and 8 mg/cm2, respectively. Both oxidized surfaces of chromizing and etching + chromizing were composed of Cr2O3, but the oxide scale of etching + chromizing treated samples was more compact than that of samples without etching.

  20. Reduced reactivity to air on HF-treated YBa2Cu3O(7-x)surfaces

    NASA Technical Reports Server (NTRS)

    Vasquez, R. P.; Hunt, B. D.; Foote, M. C.

    1989-01-01

    Treatment of YBa2Cu3O(7-x) films with a nonaqueous solution of HF in absolute ethanol results in the formation of an oxyfluoride with relative Y:Ba:Cu concentrations of 1:4:3 on the surface, as determined by X-ray photoelectron spectroscopy. The passivation properties of chemically treated films were tested by monitoring the growth of the high binding energy O 1s peak, associated with nonsuperconducting surface species, as a function of air exposure time, for both HF-treated and untreated films. The native oxyfluoride is shown to reduce the reactivity of the superconductor to air.

  1. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  2. Interface Properties of Atomic-Layer-Deposited Al2O3 Thin Films on Ultraviolet/Ozone-Treated Multilayer MoS2 Crystals.

    PubMed

    Park, Seonyoung; Kim, Seong Yeoul; Choi, Yura; Kim, Myungjun; Shin, Hyunjung; Kim, Jiyoung; Choi, Woong

    2016-05-11

    We report the interface properties of atomic-layer-deposited Al2O3 thin films on ultraviolet/ozone (UV/O3)-treated multilayer MoS2 crystals. The formation of S-O bonds on MoS2 after low-power UV/O3 treatment increased the surface energy, allowing the subsequent deposition of uniform Al2O3 thin films. The capacitance-voltage measurement of Au-Al2O3-MoS2 metal oxide semiconductor capacitors indicated n-type MoS2 with an electron density of ∼10(17) cm(-3) and a minimum interface trap density of ∼10(11) cm(-2) eV(-1). These results demonstrate the possibility of forming a high-quality Al2O3-MoS2 interface by proper UV/O3 treatment, providing important implications for their integration into field-effect transistors.

  3. Ellipsometric study of Al2O3/Ag/Si and SiO2/Ag/quartz ashed in an oxygen plasma. [protective coatings to prevent degradation of materials in low earth orbits

    NASA Technical Reports Server (NTRS)

    De, Bhola N.; Woollam, John A.

    1989-01-01

    The growth of silver oxide (proposed as a potentially useful protective coating for space environment) on a silver mirror coated with an Al2O3 or a SiO2 protective layer was investigated using the monolayer-sensitive variable angle of incidence spectroscopic ellipsometry technique. The samples were exposed to a pure oxygen plasma in a plasma asher, and the silver oxide growth was monitored as a function of the exposure time. It was found that atomic oxygen in the asher penetrated through the SiO2 or Al2O3 coatings to convert the silver underneath to silver oxide, and that the quantity of the silver oxide formed was proportional to the ashing time. The band gap of silver oxide was determined to be 1.3 eV. A schematic diagram of the variable angle of incidence spectroscopic ellipsometer is included.

  4. Mitochondrial and Chromosomal Damage Induced by Oxidative Stress in Zn2+ Ions, ZnO-Bulk and ZnO-NPs treated Allium cepa roots

    PubMed Central

    Ahmed, Bilal; Dwivedi, Sourabh; Abdin, Malik Zainul; Azam, Ameer; Al-Shaeri, Majed; Khan, Mohammad Saghir; Saquib, Quaiser; Al-Khedhairy, Abdulaziz A.; Musarrat, Javed

    2017-01-01

    Large-scale synthesis and release of nanomaterials in environment is a growing concern for human health and ecosystem. Therefore, we have investigated the cytotoxic and genotoxic potential of zinc oxide nanoparticles (ZnO-NPs), zinc oxide bulk (ZnO-Bulk), and zinc ions (Zn2+) in treated roots of Allium cepa, under hydroponic conditions. ZnO-NPs were characterized by UV-visible, XRD, FT-IR spectroscopy and TEM analyses. Bulbs of A. cepa exposed to ZnO-NPs (25.5 nm) for 12 h exhibited significant decrease (23 ± 8.7%) in % mitotic index and increase in chromosomal aberrations (18 ± 7.6%), in a dose-dependent manner. Transmission electron microcopy and FT-IR data suggested surface attachment, internalization and biomolecular intervention of ZnO-NPs in root cells, respectively. The levels of TBARS and antioxidant enzymes were found to be significantly greater in treated root cells vis-à-vis untreated control. Furthermore, dose-dependent increase in ROS production and alterations in ΔΨm were observed in treated roots. FT-IR analysis of root tissues demonstrated symmetric and asymmetric P=O stretching of >PO2− at 1240 cm−1 and stretching of C-O ribose at 1060 cm−1, suggestive of nuclear damage. Overall, the results elucidated A. cepa, as a good model for assessment of cytotoxicity and oxidative DNA damage with ZnO-NPs and Zn2+ in plants. PMID:28120857

  5. Characteristic effects onto C13H12N2O3 molecule dissolved in solvents of argon plasma at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2017-07-01

    We could easily argue that the decomposition of the chemical chain molecules is a compelling application when it comes to the atmospheric pressure plasma. In this paper, we have investigated the effect of the atmospheric pressure argon plasma on 4-((2-methoxyphenyl)diazenyl)benzene-1,3,-diol molecule (abbreviated as 4MBD) at room temperature. 4MBD molecule is one of the industrial dye molecules used widely. When considering the ecological life, this molecule is very harmful and dangerous. As such, we suggest a new decomposing method for such molecules. Atmospheric pressure plasma jet is principally treated for the breakdown of the molecule in question. Fourier transform infrared spectrometry and UV-Vis spectrophotometry tools are used to characterization of the molecules subsequent to the plasma applications to 4MBD molecule in ethanol and methanol solvents. The atmospheric-pressure plasma jet of argon (Ar) as non-equilibrium has been formed by ac-power generator with frequency—24 kHz and voltage—12 kV. Characterizations for solutions prepared with ethanol and methanol solvents of 4MBD molecule have been examined after applying ( duration 3 min) the atmospheric pressure plasma jet. The molecule is broken at 6C-7N-8N=9C stretching peak in consequence of the plasma treatment. The new plasma photo-products for ethanol and methanol solutions are produced as 6C-7N-8N=9C (strong, varying) and 12C=17O (strong, wide) stretching peaks. Also, the bathochromic drifts are discerned.

  6. TiO2 activation using acid-treated vermiculite as a support: Characteristics and photoreactivity

    NASA Astrophysics Data System (ADS)

    Jin, Ling; Dai, Bin

    2012-02-01

    Vermiculite was treated by sulfuric or nitric acid aqueous solutions with different concentration. These modified materials as the promising supports, were used to immobilize TiO2. TiO2 was prepared by the precursor, which was obtained by substituting partly isopropyl alcohol with Cl- in titanium chloride {[Ti(IV)(OR)nClm] (n = 2-3, m = 4 - n)}. The TiO2/vermiculite composites were characterized by X-ray diffraction, scanning electron microscopy, and the nitrogen absorption. Their photocatalytic activity was evaluated by removal of methylene blue (MB). The pure anatase type crystalline phase was well deposited on the supports. The concentrations of acid for treatment had a significant influence on pore sizes and surface area of vermiculite. The treatment process changed microstructure of vermiculite, modified its characteristics, and farther improved the catalytic activity and absorption capacity of TiO2/vermiculite composites. The treatment effect of nitric acid was superior to that of sulfuric acid.

  7. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    PubMed

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  8. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  9. NiTi shape memory alloys treated by plasma-polymerized tetrafluoroethylene. A physicochemical and electrochemical characterization.

    PubMed

    Yahia, L H; Lombardi, S; Piron, D; Klemberg-Sapieha, J E; Wertheimer, M R

    NiTi alloy specimens were plasma cleaned and then coated with a thin film of plasma-polymerized tetrafluoroethylene (TFE) in a Radio-Frequency reactor. The corrosion protection provided by these films was studied by potentiodynamic tests performed in Hank's physiological solution. Surface properties which determine biocompatibility were characterized by X-ray photoelectron spectroscopy (XPS). The results showed that the surface of untreated NiTi was mostly composed by oxygen, carbon, titanium oxide (TiO2) with traces of nickel oxides (NiO and Ni2O3) and metallic Ni. The passivity of untreated NiTi was found to be unstable in the simulated human body media. After plasma treatment, the NiTi surface contained only carbon and fluor. The plasma-polymerized thin film was found to stabilize the NiTi passivity and to increase its pitting potential. This treatment provides a good protection against dissolution of nickel from NiTi alloys.

  10. Disinfection and toxicological assessments of pulsed UV and pulsed-plasma gas-discharge treated-water containing the waterborne protozoan enteroparasite Cryptosporidium parvum.

    PubMed

    Hayes, Jennifer; Kirf, Dominik; Garvey, Mary; Rowan, Neil

    2013-09-01

    We report for the first time on the comparative use of pulsed-plasma gas-discharge (PPGD) and pulsed UV light (PUV) for the novel destruction of the waterborne enteroparasite Cryptosporidium parvum. It also describes the first cyto-, geno- and ecotoxicological assays undertaken to assess the safety of water decontaminated using PPGD and PUV. During PPGD treatments, the application of high voltage pulses (16 kV, 10 pps) to gas-injected water (N2 or O2, flow rate 2.5L/min) resulted in the formation of a plasma that generated free radicals, ultraviolet light, acoustic shock waves and electric fields that killed ca. 4 log C. parvum oocysts in 32 min exposure. Findings showed that PPGD-treated water produced significant cytotoxic properties (as determined by MTT and neutral red assays), genotoxic properties (as determined by comet and Ames assays), and ecotoxic properties (as determined by Microtox™, Thamnotox™ and Daphnotox™ assays) that are representative of different trophic levels in aquatic environment (p<0.05). Depending in part on the type of injected gas used, PPGD-treated water became either alkaline (pH ≤ 8.58, using O2) or acidic (pH ≥ 3.21, using N2) and contained varying levels of reactive free radicals such as ozone (0.8 mg/L) and/or dissociated nitric and nitrous acid that contributed to the observed disinfection and toxicity. Chemical analysis of PPGD-treated water revealed increasing levels of electrode metals that were present at ≤ 30 times the tolerated respective values for EU drinking water. PUV-treated water did not exhibit any toxicity and was shown to be far superior to that of PPGD for killing C. parvum oocysts taking only 90 s of pulsing [UV dose of 6.29 μJ/cm(2)] to produce a 4-log reduction compared to a similar reduction level achieved after 32min PPGD treatment as determined by combined in vitro CaCo-2 cell culture-qPCR. © 2013. Published by Elsevier B.V. All rights reserved.

  11. Reactive spark plasma synthesis of CaZrTi2O7 zirconolite ceramics for plutonium disposition

    NASA Astrophysics Data System (ADS)

    Sun, Shi-Kuan; Stennett, Martin C.; Corkhill, Claire L.; Hyatt, Neil C.

    2018-03-01

    Near single phase zirconolite ceramics, prototypically CaZrTi2O7, were fabricated by reactive spark plasma sintering (RSPS), from commercially available CaTiO3, ZrO2 and TiO2 reagents, after processing at 1200 °C for only 1 h. Ceramics were of theoretical density and formed with a controlled mean grain size of 1.9 ± 0.6 μm. The reducing conditions of RSPS afforded the presence of paramagnetic Ti3+, as demonstrated by EPR spectroscopy. Overall, this study demonstrates the potential for RSPS to be a disruptive technology for disposition of surplus separated plutonium stockpiles in ceramic wasteforms, given its inherent advantage of near net shape products and rapid throughput.

  12. Feature Profile Evolution of SiO2 Trenches In Fluorocarbon Plasmas

    NASA Technical Reports Server (NTRS)

    Hwang, Helen; Govindan, T. R.; Meyyappan, M.; Arunachalam, Valli; Rauf, Shahid; Coronell, Dan; Carroll, Carol W. (Technical Monitor)

    1999-01-01

    Etching of silicon microstructures for semiconductor manufacturing in chlorine plasmas has been well characterized. The etching proceeds in a two-part process, where the chlorine neutrals passivate the Si surface and then the ions etch away SiClx. However, etching in more complicated gas mixtures and materials, such as etching of SiO2 in Ar/C4F8, requires knowledge of the ion and neutral distribution functions as a function of angle and velocity, in addition to modeling the gas surface reactions. In order to address these needs, we have developed and integrated a suite of models to simulate the etching process from the plasma reactor level to the feature profile evolution level. This arrangement allows for a better understanding, control, and prediction of the influence of equipment level process parameters on feature profile evolution. We are currently using the HPEM (Hybrid Plasma Equipment Model) and PCMCM (Plasma Chemistry Monte Carlo Model) to generate plasma properties and ion and neutral distribution functions for argon/fluorocarbon discharges in a GEC Reference Cell. These quantities are then input to the feature scale model, Simulation of Profile Evolution by Level Sets (SPELS). A surface chemistry model is used to determine the interaction of the incoming species with the substrate material and simulate the evolution of the trench profile. The impact of change of gas pressure and inductive power on the relative flux of CFx and F to the wafer, the etch and polymerization rates, and feature profiles will be examined. Comparisons to experimental profiles will also be presented.

  13. Perovskite solar cells based on nanocolumnar plasma-deposited ZnO thin films.

    PubMed

    Ramos, F Javier; López-Santos, Maria C; Guillén, Elena; Nazeeruddin, Mohammad Khaja; Grätzel, Michael; Gonzalez-Elipe, Agustin R; Ahmad, Shahzada

    2014-04-14

    ZnO thin films having a nanocolumnar microstructure are grown by plasma-enhanced chemical vapor deposition at 423 K on pre-treated fluorine-doped tin oxide (FTO) substrates. The films consist of c-axis-oriented wurtzite ZnO nanocolumns with well-defined microstructure and crystallinity. By sensitizing CH3NH3PbI3 on these photoanodes a power conversion of 4.8% is obtained for solid-state solar cells. Poly(triarylamine) is found to be less effective when used as the hole-transport material, compared to 2,2',7,7'-tetrakis(N,N-di-p-methoxyphenylamine)-9,9'-spirobifluorene (spiro-OMeTAD), while the higher annealing temperature of the perovskite leads to a better infiltration in the nanocolumnar structure and an enhancement of the cell efficiency. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  15. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  16. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  17. Plasma Proteome Dynamics: Analysis of Lipoproteins and Acute Phase Response Proteins with 2H2O Metabolic Labeling*

    PubMed Central

    Li, Ling; Willard, Belinda; Rachdaoui, Nadia; Kirwan, John P.; Sadygov, Rovshan G.; Stanley, William C.; Previs, Stephen; McCullough, Arthur J.; Kasumov, Takhar

    2012-01-01

    Understanding the pathologies related to the regulation of protein metabolism requires methods for studying the kinetics of individual proteins. We developed a 2H2O metabolic labeling technique and software for protein kinetic studies in free living organisms. This approach for proteome dynamic studies requires the measurement of total body water enrichments by GC-MS, isotopic distribution of the tryptic peptide by LC-MS/MS, and estimation of the asymptotical number of deuterium incorporated into a peptide by software. We applied this technique to measure the synthesis rates of several plasma lipoproteins and acute phase response proteins in rats. Samples were collected at different time points, and proteins were separated by a gradient gel electrophoresis. 2H labeling of tryptic peptides was analyzed by ion trap tandem mass spectrometry (LTQ MS/MS) for measurement of the fractional synthesis rates of plasma proteins. The high sensitivity of LTQ MS in zoom scan mode in combination with 2H label amplification in proteolytic peptides allows detection of the changes in plasma protein synthesis related to animal nutritional status. Our results demonstrate that fasting has divergent effects on the rate of synthesis of plasma proteins, increasing synthesis of ApoB 100 but decreasing formation of albumin and fibrinogen. We conclude that this technique can effectively measure the synthesis of plasma proteins and can be used to study the regulation of protein homeostasis under physiological and pathological conditions. PMID:22393261

  18. Synthesis, structural, thermal and optical properties of TeO2-Bi2O3-GeO2-Li2O glasses

    NASA Astrophysics Data System (ADS)

    Dimowa, Louiza; Piroeva, Iskra; Atanasova-Vladimirova, S.; Petrova, Nadia; Ganev, Valentin; Titorenkova, Rositsa; Yankov, Georgi; Petrov, Todor; Shivachev, Boris L.

    2016-10-01

    In this study, synthesis and characterization of novel quaternary tellurite glass system TeO2-Bi2O3-GeO2-Li2O is presented. The compositions include TeO2 and GeO2 as glass formers while different proportion of Bi2O3 and Li2O act as network modifiers. Differential thermal analysis, X-ray diffraction, scanning electron microscopy energy dispersive X-ray spectroscopy, laser ablation inductively coupled plasma mass spectrometry, UV-Vis and Raman spectroscopy are applied to study the structural, thermal and optical properties of the studied glasses. Obtained glasses possess a relatively low glass transition temperature (around 300 °C) if compared to other tellurite glasses, show good thermal transparency in the visible and near infra-red (from 2.4 to 0.4 μm) and can double the frequency of laser light from its original wavelength of 1064 nm to its second-harmonic at 532 nm (i.e. second harmonic generation).

  19. Characterization of atmospheric pressure plasma treated wool/cashmere textiles: Treatment in nitrogen

    NASA Astrophysics Data System (ADS)

    Zanini, Stefano; Citterio, Attilio; Leonardi, Gabriella; Riccardi, Claudia

    2018-01-01

    We performed atmospheric pressure plasma treatments of wool/cashmere (15/85%) textiles with a dielectric barrier discharge (DBD) in nitrogen. The chemical properties of the plasma treated samples were investigated with attenuated total reflectance Fourier transform infrared (FTIR/ATR) spectroscopy, X-ray photoelectron microscopy (XPS), and fatty acid gas chromatographic analysis. Changes in mechanical properties and tactile performance of textiles after the plasma treatment were determined using the KES-F system. The analyses reveal significant surface modification of the treated fabrics, which enhances their surface wettability.

  20. Characterization of physical and biochemical changes in plasma treated spinach seed during germination

    NASA Astrophysics Data System (ADS)

    Hye Ji, Sang; Ki, Se Hoon; Kang, Min Ho; Choi, Jin Sung; Park, Yeunsoo; Oh, Jaesung; Kim, Seong Bong; Yoo, Suk Jae; Choi, Eun Ha; Park, Gyungsoon

    2018-04-01

    Despite the accumulating data on the effect of plasma on seed germination, mechanisms of plasma action need more extensive research. In a previous study, we observed that high voltage nanosecond pulsed plasma enhanced the germination of spinach seeds and subsequent seedling growth. As a follow-up study, we investigated the physico-chemical, biochemical, and molecular changes in seed after plasma treatment, focusing on the early germination stage, to elucidate mechanism(s) for the stimulating effects of plasma on seed germination. The primary radicle protruded from seeds exposed to high voltage nanosecond pulsed plasma (one shot) slightly faster than the control seeds. The hydrophilicity of the seed surface significantly increased after treatment with high voltage nanosecond pulsed plasma (one shot). However, a very subtle increase in water uptake by plasma treated seeds was observed. Raman and FTIR spectroscopy analyses on chloroform extract of seed coats demonstrated no significant chemical etching on the surface of plasma treated seeds. This may be related to no dramatic increase in water absorption by seeds. The level of GA hormone and starch hydrolysis inside the plasma treated seeds was significantly elevated within 24 h. Taken together, our results suggest that high voltage nanosecond pulsed plasma may not only enhance hydrophilicity of the seed surface but also stimulate biochemical and molecular processes inside seed, leading to enhanced embryonic development.

  1. Cold atmospheric-pressure air plasma treatment of C6 glioma cells: effects of reactive oxygen species in the medium produced by the plasma on cell death

    NASA Astrophysics Data System (ADS)

    Wang, Yuyang; Cheng, Cheng; Gao, Peng; Li, Shaopeng; Shen, Jie; Lan, Yan; Yu, Yongqiang; Chu, Paul K.

    2017-02-01

    An atmospheric-pressure air plasma is employed to treat C6 glioma cells in vitro. To elucidate on the mechanism causing cell death and role of reactive species (RS) in the medium produced by the plasma, the concentration of the long-lived RS such as hydrogen peroxide, nitrate, and ozone in the plasma-treated liquid (phosphate-buffered saline solution) is measured. When vitamin C is added to the medium as a ROS quencher, the viability of C6 glioma cells after the plasma treatment is different from that without vitamin C. The results demonstrate that reactive oxygen species (ROS) such as H2O2, and O3 constitute the main factors for inactivation of C6 glioma cells and the reactive nitrogen species (RNS) may only play an auxiliary role in cell death.

  2. In vitro antimicrobial effects and mechanism of atmospheric-pressure He/O2 plasma jet on Staphylococcus aureus biofilm

    NASA Astrophysics Data System (ADS)

    Xu, Zimu; Shen, Jie; Cheng, Cheng; Hu, Shuheng; Lan, Yan; Chu, Paul K.

    2017-03-01

    The antimicrobial effects and associated mechanism of inactivation of Staphylococcus aureus (S. aureus) NCTC-8325 biofilms induced by a He/O2 atmospheric-pressure plasma jet (APPJ) are investigated in vitro. According to CFU (colony forming units) counting and the resazurin-based assay, the 10 min He/O2 (0.5%) APPJ treatment produces the optimal inactivation efficacy (>5 log10 ml-1) against the S. aureus biofilm and 5% of the bacteria enter a viable but non-culturable (VBNC) state. Meanwhile, 94% of the bacteria suffer from membrane damage according to SYTO 9/PI counterstaining. Scanning electron microscopy (SEM) reveals that plasma exposure erodes the extracellular polymeric substances (EPS) and then the cellular structure. The H2DCFDA-stained biofilms show larger concentrations of intracellular reactive oxygen species (ROS) in membrane-intact bacteria with increasing plasma dose. The admixture of oxygen in the working gas highly contributes to the deactivation efficacy of the APPJ against S. aureus and the plasma-induced endogenous ROS may work together with the discharge-generated ROS to continuously damage the bacterial membrane structure leading to deactivation of the biofilm microbes.

  3. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  4. The Josephson plasma resonance in Bi2Sr2CaCu2O8 in a tilted field

    NASA Astrophysics Data System (ADS)

    Bayrakci, S.; Tsui, Ophelia K. C.; Ong, N. P.; Kishio, K.; Watauchi, S.

    1999-04-01

    The dependence of the Josephson plasma frequency ωp in Bi2Sr2CaCu2O8 on a tilted field H is reported. Measurements over a large range of B and tilt angle θ allow a detailed comparison with a recent calculation by Koshelev. With a slight modification of the model, close agreement is obtained. From the fits, we find values for the in-plane correlation length and the zero-field critical current density Jc0 (4600 A/cm2 at 30 K). An analogy to Bragg diffraction is described, as well as a picture for the fractional-exponent behavior of ωp vs. H

  5. Formation of ZrO{sub 2} in coating on Mg–3 wt.%Al–1 wt.%Zn alloy via plasma electrolytic oxidation: Phase and structure of zirconia

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kang Min; Kim, Yeon Sung; Yang, Hae Woong

    2015-01-15

    An investigation of the coating structure formed on Mg–3 wt.%Al–1 wt.%Zn alloy sample subjected to plasma electrolytic oxidation was examined by field-emission transmission electron microscopy. The plasma electrolytic oxidation process was conducted in a phosphoric acid electrolyte containing K{sub 2}ZrF{sub 6} for 600 s. Microstructural observations showed that the coating consisting of MgO, MgF{sub 2}, and ZrO{sub 2} phases was divided into three distinctive parts, the barrier, intermediate, and outer layers. Nanocrystalline MgO and MgF{sub 2} compounds were observed mainly in the barrier layer of ~ 1 μm thick near to the substrate. From the intermediate to outer layers, variousmore » ZrO{sub 2} polymorphs appeared due to the effects of the plasma arcing temperature on the phase transition of ZrO{sub 2} compounds during the plasma electrolytic oxidation process. In the outer layer, MgO compound grew in the form of a dendrite-like structure surrounded by cubic ZrO{sub 2}. - Highlights: • The barrier layer containing MgO and MgF{sub 2} was observed near to the Mg substrate. • In the intermediate layer, m-, t-, and o-ZrO{sub 2} compounds were additionally detected. • The outer layer contained MgO with the dendrite-like structure surrounded by c-ZrO{sub 2}. • The grain sizes of compounds in oxide layer increased from barrier to outer layer.« less

  6. Formation of Fine B2/ β + O Structure and Enhancement of Hardness in the Aged Ti2AlNb-Based Alloys Prepared by Spark Plasma Sintering

    NASA Astrophysics Data System (ADS)

    Li, Mengchen; Cai, Qi; Liu, Yongchang; Ma, Zongqing; Wang, Zumin; Huang, Yuan; Li, Huijun

    2017-09-01

    Ti2AlNb-based alloys synthesized at 1223 K (950 °C) by spark plasma sintering were aged at 973 K, 1023 K, 1073 K, and 1123 K (700 °C, 750 °C, 800 °C, and 850 °C), respectively. Phase composition, microstructure, and microhardness of the aged alloys were investigated in this study. Equiaxed O grains and Widmanstätten B2/ β + O laths were formed in the aged alloys, and the microhardness was improved in contrast with the spark plasma-sintered alloy without aging. The microhardness relies largely on the O-phase content, as well as the length and width of the O laths. In particular, complete Widmanstätten B2/ β + O laths, with locally finely dispersed β precipitates, were obtained in the alloy aged at 1073 K (800 °C), and the alloy exhibited the best microhardness performance. Such fine structure is due to the temperature-dependent transformations Oequiaxed→Oprimary + B2/ β primary, Oprimary→Osecondary + B2/ β secondary, and B2/ β primary→O.

  7. Oxidation of S(IV) in Seawater by Pulsed High Voltage Discharge Plasma with TiO2/Ti Electrode as Catalyst

    NASA Astrophysics Data System (ADS)

    Gong, Jianying; Zhang, Xingwang; Wang, Xiaoping; Lei, Lecheng

    2013-12-01

    Oxidation of S(IV) to S(VI) in the effluent of a flue gas desulfurization(FGD) system is very critical for industrial applications of seawater FGD. This paper reports a pulsed corona discharge oxidation process combined with a TiO2 photocatalyst to convert S(IV) to S(VI) in artificial seawater. Experimental results show that the oxidation of S(IV) in artificial seawater is enhanced in the pulsed discharge plasma process through the application of TiO2 coating electrodes. The oxidation rate of S(IV) using Ti metal as a ground electrode is about 2.0×10-4 mol · L-1 · min-1, the oxidation rate using TiO2/Ti electrode prepared by annealing at 500°C in air is 4.5×10-4 mol · L-1 · min-1, an increase with a factor 2.25. The annealing temperature for preparing TiO2/Ti electrode has a strong effect on the oxidation of S(IV) in artificial seawater. The results of in-situ emission spectroscopic analysis show that chemically active species (i.e. hydroxyl radicals and oxygen radicals) are produced in the pulsed discharge plasma process. Compared with the traditional air oxidation process and the sole plasma-induced oxidation process, the combined application of TiO2 photocatalysts and a pulsed high-voltage electrical discharge process is useful in enhancing the energy and conversion efficiency of S(IV) for the seawater FGD system.

  8. Plasma methylphenidate concentrations in youths treated with high-dose osmotic release oral system formulation.

    PubMed

    Stevens, Jonathan R; George, Robert A; Fusillo, Steven; Stern, Theodore A; Wilens, Timothy E

    2010-02-01

    Children and adolescents are being treated increasingly for attention-deficit/hyperactivity disorder (ADHD) with a variety of stimulants in higher than Food and Drug Administration (FDA)-approved doses and in combination with other medications. We sought to determine methylphenidate (MPH) concentrations in children and adolescents treated with high-dose, extended-release osmotic release oral system (OROS) MPH plus concomitant medications, and to examine MPH concentrations with respect to the safety and tolerability of treatment. Plasma MPH concentrations were measured by liquid chromatography-mass spectrometry 4-5 hours after administration of medication in a sample of youths diagnosed with ADHD. These youths were treated naturalistically with higher than FDA-approved doses of OROS MPH in addition to their concomitant medications. Markers of safety and tolerability (e.g., measures of blood pressure and heart rate) were also examined. Among the 17 patients (with a mean age of 16.2 +/- 2 years and a mean number of concurrent medications of 2.23 +/- 0.94), the mean plasma MPH concentration was 28 +/- 9.1 ng/mL, despite a mean daily dose of OROS MPH of 169 +/- 5 mg (3.0 +/- 0.8 mg/kg per day). No patient had a plasma MPH level >or=50 ng/mL or clinical signs of stimulant toxicity. No correlation was found between plasma MPH concentrations and OROS MPH dose or changes in vital signs. High-dose OROS MPH, used in combination with other medications, was not associated with either unusually elevated plasma MPH concentrations or with clinically meaningful changes in vital signs. Study limitations include a single time-point sampling of MPH concentrations, a small sample size, and a lack of outcome measures to address treatment effectiveness.

  9. Highly effective fungal inactivation in He+O2 atmospheric-pressure nonequilibrium plasmas

    NASA Astrophysics Data System (ADS)

    Xiong, Z.; Lu, X. P.; Feng, A.; Pan, Y.; Ostrikov, K.

    2010-12-01

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O2 gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and after the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.

  10. ECR Plasma Sterilisation, Argon and Nitrogen Treated Plasma

    NASA Astrophysics Data System (ADS)

    Helhel, Selcuk; Oksuz, Lutfi; Cerezci, Osman; Rad, Abbas Y.

    2004-09-01

    ECR type plasma system was built to produce plasma in axial direction. Plasma was initiated in a specially designed Nickel - Chrome cylindrical vacuum tube which is being driven through dielectric window by 2.45GHz commercial magnetron source. Tube is also surrounded by a coil driving 150ADC to generate approximately 875Gauss magnetic field at the center. Langmuir probe and ICCD for optical spectrometry were used to characterize internal parameters like electron density, electron temperature and different characteristics of the plasma. Bacillus Subtilis var nigar, bacillus Stearothermophilus, bacillus pumilus E601, Escherichia coli and staphylococcus aureus type bacteria were selected as a reference. Each is resistant for different actions while the Bacilus cereus is the most resistant bacteria for microwave interaction. This study presents the effect of system on used bacteria. Those are gram positive and gram negative bacteria that refers to structure of cell wall. The sterilization efficacy of Argon type ECR plasma was found to be over 99, 5% in Staphylococcus aureus, Staphylococcus epidermidis, Bacillus subtilis (vegetative cell), Bacillus cereus (vegetative cell), Bacillus pumilus and Escherichia coli. System response type is less than 2 minutes.

  11. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  12. Y2O3-MgO Nano-Composite Synthesized by Plasma Spraying and Thermal Decomposition of Solution Precursors

    NASA Astrophysics Data System (ADS)

    Muoto, Chigozie Kenechukwu

    This research aims to identify the key feedstock characteristics and processing conditions to produce Y2O3-MgO composite coatings with high density and hardness using solution precursor plasma spray (SPPS) and suspension plasma spray (SPS) processes, and also, to explore the phenomena involved in the production of homogenized nano-composite powders of this material system by thermal decomposition of solution precursor mixtures. The material system would find potential application in the fabrication of components for optical applications such as transparent windows. It was shown that a lack of major endothermic events during precursor decomposition and the resultant formation of highly dense particles upon pyrolysis are critical precursor characteristics for the deposition of dense and hard Y2O3-MgO coatings by SPPS. Using these principles, a new Y2O3-MgO precursor solution was developed, which yielded a coating with Vickers hardness of 560 Hv. This was a considerable improvement over the hardness of the coatings obtained using conventional solution precursors, which was as low as 110 Hv. In the thermal decomposition synthesis process, binary solution precursor mixtures of: yttrium nitrate (Y[n]) or yttrium acetate (Y[a]), with magnesium nitrate (Mg[n]) or magnesium acetate (Mg[a]) were used in order to study the effects of precursor chemistry on the structural characteristics of the resultant Y2O3-MgO powders. The phase domains were coarse and distributed rather inhomogeneously in the materials obtained from the Y[n]Mg[n] and Y[a]Mg[a] mixtures; finer and more homogeneously-distributed phase domains were obtained for ceramics produced from the Y[a]Mg[n] and Y[n]Mg[a] mixtures. It was established that these phenomena were related to the thermal characteristics for the decomposition of the precursors and their effect on phase separation during oxide crystallization. Addition of ammonium acetate to the Y[n[Mg[n] mixture changed the endothermic process to exothermic

  13. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  14. Sorption of diclofenac and naproxen onto MWCNT in model wastewater treated by H2O2 and/or UV.

    PubMed

    Czech, Bożena; Oleszczuk, Patryk

    2016-04-01

    The application of oxidation processes such as UV and/or H2O2 will change the physicochemical properties of carbon nanotubes (CNT). It may affect the sorption affinity of CNT to different contaminants and then affect their fate in the environment. In the present study the adsorption of two very common used pharmaceuticals (diclofenac and naproxen) onto CNT treated by UV, H2O2 or UV/H2O2 was investigated. Four different adsorption models (Freundlich, Langmuir, Temkin, Dubinin-Radushkevich) were tested. The best fitting of experimental data was observed for Freundlich or Langmuir model. The significant relationships between Q calculated from Langmuir model with O% and dispersity were observed. Kinetics of diclofenac and naproxen followed mainly pseudo-second order indicating for chemisorption limiting step of adsorption. The data showed that the mechanism of sorption was physical or chemical depending on the type of CNT modification. Copyright © 2015 Elsevier Ltd. All rights reserved.

  15. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  16. Superiority of solar Fenton oxidation over TiO2 photocatalysis for the degradation of trimethoprim in secondary treated effluents.

    PubMed

    Michael, I; Hapeshi, E; Michael, C; Fatta-Kassinos, D

    2013-01-01

    The overall aim of this work was to examine the degradation of trimethoprim (TMP), which is an antibacterial agent, during the application of two advanced oxidation process (AOP) systems in secondary treated domestic effluents. The homogeneous solar Fenton process (hv/Fe(2+)/H2O2) and heterogeneous photocatalysis with titanium dioxide (TiO2) suspensions were tested. It was found that the degradation of TMP depends on several parameters such as the amount of iron salt and H2O2, concentration of TiO2, pH of solution, solar irradiation, temperature and initial substrate concentration. The optimum dosages of Fe(2+) and H2O2 for homogeneous ([Fe(2+)] = 5 mg L(-1), [H2O2] = 3.062 mmol L(-1)) and TiO2 ([TiO2] = 3 g L(-1)) for heterogeneous photocatalysis were established. The study indicated that the degradation of TMP during the solar Fenton process is described by a pseudo-first-order reaction and the substrate degradation during the heterogeneous photocatalysis by the Langmuir-Hinshelwood kinetics. The toxicity of the treated samples was evaluated using a Daphnia magna bioassay and was finally decreased by both processes. The results indicated that solar Fenton is more effective than the solar TiO2 process, yielding complete degradation of the examined substrate within 30 min of illumination and dissolved organic carbon (DOC) reduction of about 44% whereas the respective values for the TiO2 process were ∼70% degradation of TMP within 120 min of treatment and 13% DOC removal.

  17. Numerical and experimental study on the dynamics of a μs helium plasma gun with various amounts of O2 admixture

    NASA Astrophysics Data System (ADS)

    Viegas, Pedro; Damany, Xavier; Iseni, Sylvain; Pouvesle, Jean-Michel; Robert, Eric; Bourdon, Anne

    2016-09-01

    The use of admixtures (mostly O2 and N2) to a helium buffer has been studied recently to tailor the generation of reactive species in plasma jets for biomedical applications. So far, most experiments have been dedicated to the study of the plasma plume. For endoscopic treatments, it is also important to better understand and optimize the propagation of discharges in long dielectric tubes as catheters. In this work, we present an experimental and numerical study on the dynamics of a μs helium plasma discharge with O2 admixture in a long dielectric tube. In simulations, a 2D fluid model is used. For comparison purposes, the geometries of the set-ups used for simulations and experiments are as close as possible. We compare experiments and simulations for different amounts of O2 admixture added to the buffer gas and present results on the velocity of the discharge front for the various amounts of O2 and different applied voltages. In order to study the influence of different amounts of O2 admixture on the helium discharge dynamics, detailed kinetic schemes have been used. The influence of Penning and charge exchange reactions on the discharge structure and dynamics are studied, as well as the role of negative ions. P.V. is supported by an EDOM fellowship, and X.D. by an INEL/Region Centre-Val de Loire fellowship.

  18. Vacuum plasma coatings for turbine blades

    NASA Technical Reports Server (NTRS)

    Holmes, R. R.

    1985-01-01

    Turbine blades, vacuum plasma spray coated with NiCrAlY, CoCrAlY or NiCrAlY/Cr2O3, were evaluated and rated superior to standard space shuttle main engine (SSME) coated blades. Ratings were based primarily on 25 thermal cycles in the MSFC Burner Rig Tester, cycling between 1700 F (gaseous H2) and -423 F (liquid H2). These tests showed no spalling on blades with improved vacuum plasma coatings, while standard blades spalled. Thermal barrier coatings of ZrO2, while superior to standard coatings, lacked the overall performance desired. Fatigue and tensile specimens, machined from MAR-M-246(Hf) test bars identical to the blades were vacuum plasma spray coated, diffusion bond treated, and tested to qualify the vacuum plasma spray process for flight hardware testing and application. While NiCrAlY/Cr2O3 offers significant improvement over standard coatings in durability and thermal protection, studies continue with an objective to develop coatings offering even greater improvements.

  19. Effect of solvent/detergent-treated pooled plasma on fibrinolysis in reconstituted whole blood.

    PubMed

    Saadah, Nicholas H; van der Meer, Pieter F; Brinkman, Herm Jan M; de Korte, Dirk; Bontekoe, Ido J; Korsten, Herbert H; Middelburg, Rutger A; van der Bom, Johanna G; Schipperus, Martin R

    2017-10-01

    Hyperfibrinolysis has been observed in patients heavily transfused with solvent/detergent-treated pooled plasma (S/D plasma). We compared coagulation and fibrinolytic variables in blood containing S/D plasma with blood containing fresh-frozen plasma (FFP), with and without α2-antiplasmin or tranexamic acid (TXA) supplementation. Whole blood samples were reconstituted from red blood cells, platelet (PLT) concentrates, and varying mixtures of FFP and S/D plasma. Hematocrit and PLT count of reconstituted whole blood samples were varied. For a subset of runs, α2-antiplasmin or TXA was added to S/D plasma whole blood samples. Thromboelastography (TEG) analysis was performed to assess 50% clot lysis time (CLT 50% ), maximum amplitude (MA), and initial clotting time (R-time). The change in CLT 50% of whole blood as the plasma compartment transitions from FFP to S/D plasma was -52% (95% confidence interval [CI], -60% to -45%; p < 0.001). PLT count strengthened the effect, leading to an additional change in CLT 50% of -8% (95% CI, -14% to -2%; p = 0.012) as PLT count increased from 10 × 10 9 to 150 × 10 9 /L. MA and R-time were not associated with fraction of S/D plasma in whole blood. α2-Antiplasmin and TXA restored clot lysis time in S/D plasma whole blood. Whole blood with S/D plasma has shorter clot lysis times in vitro compared to whole blood with FFP. α2-Antiplasmin and TXA restore clot lysis time of S/D plasma whole blood to that of FFP whole blood. Clinicians should be aware of the decreased clot lysis time associated with S/D plasma transfusion. © 2017 AABB.

  20. Impression of plasma voltage on growth of α-V{sub 2}O{sub 5} nanostructured thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Rabindar Kumar, E-mail: rkrksharma6@gmail.com; Kumar, Prabhat; Reddy, G. B.

    2015-06-24

    In this communication, we synthesized vanadium pentoxide (α-V{sub 2}O{sub 5}) nanostructured thin films (NST{sub s}) accompanied with nanoflakes/ nanoplates on the Ni-coated glass substrates employing plasma assisted sublimation process (PASP) as a function of plasma voltage (V{sub p}). The effect of plasma voltage on structural, morphological, compositional, and vibrational properties have been studied systematically. The structural analysis divulged that all films deposited at different V{sub p} have pure orthorhombic phase, no impurity phase is detected under resolution limit of XRD and XPS. The morphological studies of samples is carried out by SEM, revealed that features as well as alignment ofmore » V{sub 2}O{sub 5} NST{sub s} is greatly monitored by V{sub p} and the film possessing the best features is obtained at 2500volt. In addition, XPS results reveal that V{sup 5+} oxidation state is the most prominent state in sample V{sub 2}, which represents better stoichiometric nature of film. The vibrational study of all samples is performed by FTIR and strongly support the XRD observations. All the results are in consonance with each other.« less

  1. Efficient Yttrium(III) Chloride-Treated TiO2 Electron Transfer Layers for Performance-Improved and Hysteresis-Less Perovskite Solar Cells.

    PubMed

    Li, Minghua; Huan, Yahuan; Yan, Xiaoqin; Kang, Zhuo; Guo, Yan; Li, Yong; Liao, Xinqin; Zhang, Ruxiao; Zhang, Yue

    2018-01-10

    Hybrid organic-inorganic metal halide perovskite solar cells have attracted widespread attention, owing to their high performance, and have undergone rapid development. In perovskite solar cells, the charge transfer layer plays an important role for separating and transferring photogenerated carriers. In this work, an efficient YCl 3 -treated TiO 2 electron transfer layer (ETL) is used to fabricate perovskite solar cells with enhanced photovoltaic performance and less hysteresis. The YCl 3 -treated TiO 2 layers bring about an upward shift of the conduction band minimum (E CBM ), which results in a better energy level alignment for photogenerated electron transfer and extraction from the perovskite into the TiO 2 layer. After optimization, perovskite solar cells based on the YCl 3 -treated TiO 2 layers achieve a maximum power conversion efficiency of about 19.99 % (19.29 % at forward scan) and a steady-state power output of about 19.6 %. Steady-state and time-resolved photoluminescence measurements and impedance spectroscopy are carried out to investigate the charge transfer and recombination dynamics between the perovskite and the TiO 2 electron transfer layer interface. The improved perovskite/TiO 2 ETL interface with YCl 3 treatment is found to separate and extract photogenerated charge rapidly and suppress recombination effectively, which leads to the improved performance. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. 'Pre-prosthetic use of poly(lactic-co-glycolic acid) membranes treated with oxygen plasma and TiO2 nanocomposite particles for guided bone regeneration processes'.

    PubMed

    Castillo-Dalí, Gabriel; Castillo-Oyagüe, Raquel; Terriza, Antonia; Saffar, Jean-Louis; Batista-Cruzado, Antonio; Lynch, Christopher D; Sloan, Alastair J; Gutiérrez-Pérez, José-Luis; Torres-Lagares, Daniel

    2016-04-01

    Guided bone regeneration (GBR) processes are frequently necessary to achieve appropriate substrates before the restoration of edentulous areas. This study aimed to evaluate the bone regeneration reliability of a new poly-lactic-co-glycolic acid (PLGA) membrane after treatment with oxygen plasma (PO2) and titanium dioxide (TiO2) composite nanoparticles. Circumferential bone defects (diameter: 10mm; depth: 3mm) were created on the parietal bones of eight experimentation rabbits and were randomly covered with control membranes (Group 1: PLGA) or experimental membranes (Group 2: PLGA/PO2/TiO2). The animals were euthanized two months afterwards, and a morphologic study was then performed under microscope using ROI (region of interest) colour analysis. Percentage of new bone formation, length of mineralised bone formed in the grown defects, concentration of osteoclasts, and intensity of osteosynthetic activity were assessed. Comparisons among the groups and with the original bone tissue were made using the Kruskal-Wallis test. The level of significance was set in advance at a=0.05. The experimental group recorded higher values for new bone formation, mineralised bone length, and osteoclast concentration; this group also registered the highest osteosynthetic activity. Bone layers in advanced formation stages and low proportions of immature tissue were observed in the study group. The functionalised membranes showed the best efficacy for bone regeneration. The addition of TiO2 nanoparticles onto PLGA/PO2 membranes for GBR processes may be a promising technique to restore bone dimensions and anatomic contours as a prerequisite to well-supported and natural-appearing prosthetic rehabilitations. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  4. Determination of Montelukast in Plasma Using β - Cyclodextrins Coated on CoFe2O4 Magnetic Nanoparticles in Luminol-H2O2 Chemiluminescence System Optimized by Doehlert Design.

    PubMed

    Samadi-Maybodi, Abdolraouf; Bakhtiar, Alireza; Fatemi, Mohammad Hossein

    2016-05-01

    A novel chemiluminescence method using β - cyclodextrins coated on CoFe2O4 magnetic nanoparticles is proposed for the chemiluminometric determination of montelukast in plasma. The effect of coated β - cyclodexterinon CoFe2O4 magnetic nanoparticles in the chemiluminescence of luminol-H2O2 system was investigated. It was found that β - cyclodexterin coated on CoFe2O4 magnetic nanoparticles could greatly enhance the chemiluminescence of the luminol-H2O2 system. Doehlert design was applied in order to optimize the number of experiments to be carried out to ascertain the possible interactions between the parameters and their effects on the chemiluminescence emission intensity. This design was selected because the levels of each variable may vary in a very efficient way with few experiments. Doehlert design and response surface methodology have been employed for optimization pH and concentrations of the components. Results showed under the optimized experimental conditions, the relative CL intensity (ΔI) is increased linearly in the concentration range of 0.003-0.586 μgml(-1) of montelukast with limit of detection (LOD) 1.09 × 10(-4) μgml(-1) at S/N ratio of 3, limit of quantitative (LOQ) 3.59 × 10(-4) μgml(-1) and the relative standard deviation 2.63 %. The method has been successfully applied to the determination of montelukast in plasma of human body. Results specified that relative chemiluminescence intensity (ΔI) has good proportional with the montelukast concentration with R(2) = 0.99979. The test of the recovery efficiency for known amounts of montelukast was also performed, the recoveries range obtained from 98.2 to 103.3 %, with RSDs of <4 % indicated that the proposed method was reliable.

  5. Deposition of nanocomposite Cu-TiO2 using heterogeneous colliding plasmas

    NASA Astrophysics Data System (ADS)

    Pandey, Pramod K.; Thareja, Raj K.; Singh, Ravi Pratap; Costello, John T.

    2018-03-01

    The formation of CuTiO2 nanocomposites has been observed in an experiment in which laser plasma plumes of Cu and Ti collide and stagnate in an oxygen atmosphere. The inherent advantage of this technique lies in its simplicity and flexibility where laser, target composition and geometry along with ambient atmosphere are all controllable parameters through which the stoichiometry of the deposited nanocomposites may be selected. The experiment has been performed at three oxygen ambient pressures 10-4, 10-2, 100 mbar and we observe its effect on stoichiometry, and morphology of the deposited nanocomposites. Here, we show how the stoichiometry of deposited nanocomposites can be readily controlled by changing just one parameter, namely the ambient oxygen pressure. The different peaks of photoluminescence spectra λ =390{ nm}( {E=3.18{ eV}} ) corresponding to the anatase phase of TiO2, along with the peaks at λ = 483 nm ( E = 2.56 eV) and 582 nm ( E = 2.13 eV) of deposited nanocomposites, shows the doping/blending effect on the band gaps which may potentially be of value in solar cell technology. The technique can, in principle, be extended to include nanocomposites of other materials making it potentially more widely applicable.

  6. A study on the antimicrobial efficacy of RF oxygen plasma and neem extract treated cotton fabrics

    NASA Astrophysics Data System (ADS)

    Vaideki, K.; Jayakumar, S.; Thilagavathi, G.; Rajendran, R.

    2007-06-01

    The paper deals with a thorough investigation on the antimicrobial activity of RF oxygen plasma and Azadirachtin (neem extract) treated cotton fabric. The hydrophilicity of cotton fabric was found to improve when treated with RF oxygen plasma. The process parameters such as electrode gap, time of exposure and oxygen pressure have been varied to study their effect on improving the hydrophilicity of the cotton fabric. The static immersion test has been carried out to assess the hydrophilicity of the oxygen plasma treated samples and the process parameters were optimized based on these test results. The formation of carbonyl group during surface modification in the plasma treated sample was analysed using FTIR studies. The surface morphology has been studied using SEM micrographs. The antimicrobial activity was imparted to the RF oxygen plasma treated samples using methanolic extract of neem leaves containing Azadirachtin. The antimicrobial activity of these samples has been analysed and compared with the activity of the cotton fabric treated with neem extract alone. The investigation reveals that the surface modification due to RF oxygen plasma was found to increase the hydrophilicity and hence the antimicrobial activity of the cotton fabric when treated with Azadirachtin.

  7. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  8. Neutral-neutral and neutral-ion collision integrals for Y2O3-Ar plasma system

    NASA Astrophysics Data System (ADS)

    Dhamale, Gayatri D.; Nath, Swastik; Mathe, Vikas L.; Ghorui, Srikumar

    2017-06-01

    A detailed investigation on the neutral-neutral and neutral-ion collision integrals is reported for Y2O3-Ar plasma, an important system of functional material with unique properties having a wide range of processing applications. The calculated integrals are indispensible pre-requisite for the estimation of transport properties needed in CFD modelling of associated plasma processes. Polarizability plays an important role in determining the integral values. Ambiguity in selecting appropriate polarizability data available in the literature and calculating effective number of electrons in the ionized species contributing to the polarizability are addressed. The integrals are evaluated using Lennard-Jones like phenomenological potential up to (l,s) = (4,4). Used interaction potential is suitable for both neutral-neutral and neutral-ion interactions. For atom-parent ion interactions, contribution coming from the inelastic resonant charge transfer process has been accounted properly together with that coming from the elastic counterpart. A total of 14 interacting species and 60 different interactions are considered. Key contributing factors like basic electronic properties of the interacting species and associated polarizability values are accounted carefully. Adopted methodology is first benchmarked against data reported in the literature and then applied to the Y2O3-Ar plasma system for estimating the collision integrals. Results are presented in the temperature range of 100 K-100 000 K.

  9. Ultrafast carrier dynamics and optical pumping of lasing from Ar-plasma treated ZnO nanoribbons

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarkar, Ketaki; Mukherjee, Souvik; Wiederrecht, Gary

    We report that it is a well-known fact that ZnO has been one of the most studied wide bandgap II-VI materials by the scientific community specifically due to its potential for being used as exciton-related optical devices. Hence, realizing ways to increase the efficiency of these devices is important. We discuss a plasma treatment technique to enhance the near-band-edge (NBE) excitonic emission from ZnO based nanoribbons. We observed an enhancement of the NBE peak and simultaneous quenching of the visible emission peak resulting from the removal of surface traps on these ZnO nanoribbons. More importantly, we report here the associatedmore » ultrafast carrier dynamics resulting from this surface treatment. Femtosecond transient absorption spectroscopy was performed using pump-probe differential transmission measurements shedding new light on these improved dynamics with faster relaxation times. The knowledge obtained is important for improving the application of ZnO based optoelectronic devices. Finally, we also observed how these improved carrier dynamics have a direct effect on the threshold and efficiency of random lasing from the material.« less

  10. Ultrafast carrier dynamics and optical pumping of lasing from Ar-plasma treated ZnO nanoribbons

    DOE PAGES

    Sarkar, Ketaki; Mukherjee, Souvik; Wiederrecht, Gary; ...

    2018-01-04

    We report that it is a well-known fact that ZnO has been one of the most studied wide bandgap II-VI materials by the scientific community specifically due to its potential for being used as exciton-related optical devices. Hence, realizing ways to increase the efficiency of these devices is important. We discuss a plasma treatment technique to enhance the near-band-edge (NBE) excitonic emission from ZnO based nanoribbons. We observed an enhancement of the NBE peak and simultaneous quenching of the visible emission peak resulting from the removal of surface traps on these ZnO nanoribbons. More importantly, we report here the associatedmore » ultrafast carrier dynamics resulting from this surface treatment. Femtosecond transient absorption spectroscopy was performed using pump-probe differential transmission measurements shedding new light on these improved dynamics with faster relaxation times. The knowledge obtained is important for improving the application of ZnO based optoelectronic devices. Finally, we also observed how these improved carrier dynamics have a direct effect on the threshold and efficiency of random lasing from the material.« less

  11. Plasma cell cheilitis, successfully treated with topical 0.03% tacrolimus ointment.

    PubMed

    Jin, Seon Pil; Cho, Kwang Hyun; Huh, Chang Hun

    2010-05-01

    Plasma cell cheilitis is a rare, idiopathic mucosal condition. The treatment of plasma cell cheilitis is often disappointing. It is often resistant to various topical treatments. We present a 65-year-old woman who had a painful, eroded area on her lower lip, which responded poorly to various topical treatments. A biopsy revealed a band-like infiltration composed mainly of plasma cells in the dermis. She was diagnosed as having plasma cell cheilitis, and was successfully treated with 0.03% topical tacrolimus ointment.

  12. Core-shell iron oxide-layered double hydroxide: High electrochemical sensing performance of H2O2 biomarker in live cancer cells with plasma therapeutics.

    PubMed

    Asif, Muhammad; Liu, Hongwei; Aziz, Ayesha; Wang, Haitao; Wang, Zhengyun; Ajmal, Muhammad; Xiao, Fei; Liu, Hongfang

    2017-11-15

    In this work, we develop a new type of multifunctional core-shell nanomaterial by controllable integration of CuAl layered double hydroxides (LDHs) over the surface of iron oxides (Fe 3 O 4 ) nanospheres (NSs) to fabricate (Fe 3 O 4 @CuAl NSs) hybrid material with interior tunability of LDH phase and explore its practical application in ultrasensitive detection of emerging biomarker, i.e., H 2 O 2 as cancer diagnostic probe. In addition, atmospheric pressure plasmas (APPs) have also been used as potential therapeutic approach for cancer treatment. Due to the synergistic combination of p-type semiconductive channels of LDHs with multi-functional properties, unique morphology and abundant surface active sites, the Fe 3 O 4 @CuAl NSs modified electrode exhibited attractive electrocatalytic activity towards H 2 O 2 reduction. Under the optimized conditions, the proposed biosensor demonstrated striking electrochemical sensing performances to H 2 O 2 including linear range as broad as 8 orders of magnitude, low real detection limit of 1nM (S/N = 3), high sensitivity, good reproducibility and long-term stability. Arising from the superb efficiency, the electrochemical biosensor has been used for in vitro determination of H 2 O 2 concentrations in human urine and serum samples prior to and following the intake of coffee, and real-time monitoring of H 2 O 2 efflux from different cancer cell lines in normal state and after plasma treatment. We believe that this novel nano-platform of structurally integrated core-shell nanohybrid materials combined with APPs will enhance diagnostic as well as therapeutic window for cancer diseases. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. A novel approach to the pacemaker infection with non-thermal atmospheric pressure plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Yuchen; Li, Yu; Li, Yinglong; Yu, Shuang; Li, Haiyan; Zhang, Jue

    2017-08-01

    Although the pacemaker (PM) is a key cardiac implantable electrical device for life-threatening arrhythmias treatment, the related infection is a challenge. Thus, the aim of this study is to validate cold plasma as a potential technology for the disinfection of infected pacemakers. Fifty donated PMs were cleaned and sterilized before use and then infected with Staphylococcus aureus ( S. aureus). Then, each experimental group was treated with cold plasma treatment for 1 min, 3 min, 5 min and 7 min, while the control group was immersed with sterilized water. Effectiveness of disinfection was evaluated by using CFU counting method and confocal laser scanning microscopy (CLSM). The physicochemical properties of water treated with cold plasma at different time were evaluated, including water temperature change and oxidation reduction potential (ORP). The major reactive species generated by the cold plasma equipment during cold plasma were analyzed with optical emission spectroscopy (OES). No live bacteria were detected with CFU counting method after 7 min of cold plasma treatment, which matches with the CLSM results. The ORP value of water and H2O2 concentration changed significantly after treating with cold plasma. Furthermore, reactive oxygen species (ROS) and reactive nitrogen species (RNS), especially NO, O (777 nm) and O (844 nm) were probably key inactivation agents in cold plasma treatment. These results indicate that cold plasma could be an effective technology for the disinfection of implantable devices.

  14. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikia, Partha, E-mail: partha.008@gmail.com; Institute of Physics, Pontificia Universidad Católica de Chile, Av. Vicuña Mackenna 4860, Santiago; Saikia, Bipul Kumar

    2016-04-15

    We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO{sub 2} films. The parameters of the hydrogen-added Ar/O{sub 2} plasma influence the properties and the structural phases of the deposited TiO{sub 2} film. Therefore, the variation of plasma parameters such as electron temperature (T{sub e}), electron density (n{sub e}), ion density (n{sub i}), degree of ionization of Ar and degree of dissociation of H{sub 2} as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma.more » On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO{sub 2} film.« less

  15. Influence of ZrO2, SiO2, Al2O3 and TiO2 nanoparticles on maize seed germination under different growth conditions.

    PubMed

    Karunakaran, Gopalu; Suriyaprabha, Rangaraj; Rajendran, Venkatachalam; Kannan, Narayanasamy

    2016-08-01

    The focus of this investigation is to evaluate the phytotoxicity of selected metal oxide nanoparticles and microparticles as a function of maize seed germination and root elongation under different growth conditions (Petri plate, cotton and soil). The results of seed germination and root elongation experiments reveal that all the growth conditions show almost similar results. Alumina (Al2O3) and titania (TiO2) nanoparticles significantly reduce the germination percentage, whereas silica (SiO2) nanoparticles and microparticles enhance the same. The results of nanoparticles and microparticles of zirconia (ZrO2) are found to be same as those of controls. Root elongation is enhanced by SiO2 nanoparticles and microparticles treatment, whereas inhibition is observed with Al2O3 and TiO2 nanoparticles and microparticles. The X-ray fluorescence spectrometry data of the treated and control seed samples show that seeds uptake SiO2 particles to a greater extent followed by TiO2, Al2O3 and ZrO2. In addition, the uptake of nanoparticles is found to be greater than that of microparticles. Thus, the tested metal oxides penetrated seeds at the nanoscale as compared with the microscale. This study clarifies phytotoxicity of nanoparticles treated in different growth substrates and highlights the impact of nanoparticles on environment and agricultural systems.

  16. Surface modification of electrospun PVA/chitosan nanofibers by dielectric barrier discharge plasma at atmospheric pressure and studies of their mechanical properties and biocompatibility.

    PubMed

    Das, Punamshree; Ojah, Namita; Kandimalla, Raghuram; Mohan, Kiranjyoti; Gogoi, Dolly; Dolui, Swapan Kumar; Choudhury, Arup Jyoti

    2018-03-22

    In this paper, surface of electrospun PVA/Cs nanofibers is modified using dielectric barrier discharge (DBD) plasma and the relationship between the observed mechanical properties and biocompatibility of the nanofibers and plasma-induced surface properties is discussed. Plasma treatment of electrospun PVA/Cs nanofibers is carried out with both inert (argon, Ar) and reactive (oxygen, O 2 ) gases at atmospheric pressure. Incorporation of oxygen-containing polar functional groups on the surface of Ar-plasma treated (PVA/Cs/Ar) and O 2 -plasma treated (PVA/Cs/O 2 ) nanofibers and increase in surface roughness contribute to the improvement of surface wettability and the decrease of contact angle with water of the nanofibers. Both PVA/Cs/Ar and PVA/Cs/O 2 nanofibers show high tensile strength (11.6-15.6%) and Young's modulus (33.8-37.3%) as compared to the untreated one. Experimental results show that in terms of haemolytic activity the PVA/Cs/Ar and PVA/Cs/O 2 nanofibers do not cause structural changes of blood cells and meet the biocompatibility requirements for blood-contacting polymeric materials. MTT cell viability results further reveals improvement in biocompatibility of PVA/Cs nanofibers after Ar and O 2 plasma treatment. The results suggest that DBD plasma treated electrospun PVA/Cs nanofibers have the potential to be used as wound dressing and scaffolds for tissue engineering. Copyright © 2018 Elsevier B.V. All rights reserved.

  17. Sterilization of Turmeric by Atmospheric Pressure Dielectric Barrier Discharge Plasma

    NASA Astrophysics Data System (ADS)

    Setareh, Salarieh; Davoud, Dorranian

    2013-11-01

    In this study atmospheric pressure dielectric barrier discharge (DBD) plasma has been employed for sterilizing dry turmeric powders. A 6 kV, 6 kHz frequency generator was used to generate plasma with Ar, Ar/O2, He, and He/O2 gases between the 5 mm gap of two quartz covered electrodes. The complete sterilization time of samples due to plasma treatment was measured. The most important contaminant of turmeric is bacillus subtilis. The results show that the shortest sterilization time of 15 min is achieved by exposing the samples to Ar/O2 plasma. Survival curves of samples are exponential functions of time and the addition of oxygen to plasma leads to a significant increase of the absolute value of time constant of the curves. Magnitudes of protein and DNA in treated samples were increased to a similar value for all samples. Taste, color, and solubility of samples were not changed after the plasma treatment.

  18. Influence of ZrO2 addition on the microstructure and discharge properties of Mg-Zr-O protective layers in alternating current plasma display panels

    NASA Astrophysics Data System (ADS)

    Guo, Bingang; Liu, Chunliang; Song, Zhongxiao; Liu, Liu; Fan, Yufeng; Xia, Xing; Fan, Duowang

    2005-08-01

    Mg-Zr-O protective layers for alternating current plasma display panels were deposited by e-beam evaporation. The effect of the ZrO2 addition on both the discharge properties [firing voltage Vf, minimum sustaining voltage Vs, and memory coefficient (MC)] and the microstructure of deposited Mg-Zr-O films were investigated. The results show that the film microstructure changes and the electron emission enhancement due to the ZrO2 addition are the main reasons for the improvements of the discharge properties of Mg-Zr-O films. A small amount of Zr solution in MgO under its solid solubility can effectively increase the outer-shell valence electron emission yield so as to decrease Vf and Vs compared with using a pure MgO protective layer. The ZrO2/(MgO +ZrO2) ratio has a great effect on the film surface conditions. Proper surface morphologies make a good contribution to obtain large MC in accordance with lower firing voltage.

  19. Plasma apolipoprotein O level increased in the patients with acute coronary syndrome

    PubMed Central

    Yu, Bi-lian; Wu, Chen-lu; Zhao, Shui-ping

    2012-01-01

    Apolipoprotein (apo) O is a novel apolipoprotein that is present predominantly in high density lipoprotein (HDL). However, overexpression of apoO does not impact on plasma HDL levels or functionality in human apoA-I transgenic mice. Thus, the physiological function of apoO is not yet known. In the present study, we investigated relationships between plasma apoO levels and high-sensitive C-reactive protein (hs-CRP) levels, as well as other lipid parameters in healthy subjects (n = 111) and patients with established acute coronary syndrome (ACS) (n = 50). ApoO was measured by the sandwich dot-blot technique with recombinant apoO as a protein standard. Mean apoO level in healthy subjects was 2.21 ± 0.83 µg/ml whereas it was 4.94 ± 1.59 µg/ml in ACS patients. There were significant differences in plasma level of apoO between two groups (P < 0.001). In univariate analysis, apoO correlated significantly with lg(hsCRP) (r = 0.48, P < 0.001) in ACS patients. Notably, no significant correlation between apoO and other lipid parameters was observed. Logistic regression analysis showed that plasma apoO level was an independent predictor of ACS (OR = 5.61, 95% CI 2.16–14.60, P < 0.001). In conclusion, apoO increased in ACS patients, and may be regarded as an independent inflammatory predictor of ACS patients. PMID:22693255

  20. Plasma-induced synthesis of Pt nanoparticles supported on TiO2 nanotubes for enhanced methanol electro-oxidation

    NASA Astrophysics Data System (ADS)

    Su, Nan; Hu, Xiulan; Zhang, Jianbo; Huang, Huihong; Cheng, Jiexu; Yu, Jinchen; Ge, Chao

    2017-03-01

    A Pt/C/TiO2 nanotube composite catalyst was successfully prepared for enhanced methanol electro-oxidation. Pt nanoparticles with a particle size of 2 nm were synthesized by plasma sputtering in water, and anatase TiO2 nanotubes with an inner diameter of approximately 100 nm were prepared by a simple two-step anodization method and annealing process. Field-emission scanning electron microscopy images indicated that the different morphologies of TiO2 synthesized on the surface of Ti foils were dependent on the different anodization parameters. The electrochemical performance of Pt/C/TiO2 catalysts for methanol oxidation showed that TiO2 nanotubes were more suitable for use as Pt nanoparticle support materials than irregular TiO2 short nanorods due to their tubular morphology and better electronic conductivity. X-ray photoelectron spectroscopy characterization showed that the binding energies of the Pt 4f of the Pt/C/TiO2 nanotubes exhibited a slightly positive shift caused by the relatively strong interaction between Pt and the TiO2 nanotubes, which could mitigate the poisoning of the Pt catalyst by COads, and further enhance the electrocatalytic performance. Thus, the as-obtained Pt/C/TiO2 nanotubes composites may become a promising catalyst for methanol electro-oxidation.

  1. Bacteria Adherence Properties of Nitrogen-Doped TiO2 Coatings by Plasma Surface Alloying Technique

    NASA Astrophysics Data System (ADS)

    Wang, Hefeng; Tang, Bin; Li, Xiuyan; Fan, Ailan

    Titanium nitride coatings on 316L stainless steel (S. S) were obtained by plasma surface alloying technique. Nitrogen-doped titanium dioxide (TiO2-xNx) was synthesized by oxidative annealing the resulted TiNx coatings in air. The reference TiO2 samples were also prepared by oxidation of sputtered Ti coatings. The as-prepared coatings were characterized by X-ray diffraction, glow discharge optical emission spectrometer (GDOES), scanning electron microscopy, X-ray hotoelectron spectroscopy and UV-Vis spectrophotometry, respectively. The bacteria adherence property of the TiO2-xNx coatings on stainless steel on the oral bacteria Streptococcus Mutans was investigated and compared with that of stainless steel by fluorescence microscopy. The mechanism of the bacteria adherence was discussed. The results show that the TiO2-xNx coatings are composed of anatase crystalline structure. SEM measurement indicates a rough surface morphology with three-dimensional homogenous protuberances after annealing treatment. Optical properties reveal an extended tailing of the absorption edge toward the visible region due to nitrogen presence. The band gap of the N-doped sample is reduced from 2.29 eV to 1.90 eV compared with the pure TiO2 one. Because of the different roughness and microstructure, the TiO2-xNx coatings inhibit the bacteria adherence.

  2. Experimental studies of radiation resistance of boron nitride, C2C ceramics Al2O3 and carbon-fiber composites using a PF-1000 plasma-focus device

    NASA Astrophysics Data System (ADS)

    Gribkov, V. A.; Tuniz, C.; Demina, E. V.; Dubrovsky, A. V.; Pimenov, V. N.; Maslyaev, S. V.; Gaffka, R.; Gryaznevich, M.; Skladnik-Sadowska, E.; Sadowski, M. J.; Miklaszewski, R.; Paduch, M.; Scholz, M.

    2011-04-01

    This paper reports on experiments undertaken to compare the radiation resistance of two types of ceramics, boron nitride (BN) and pure alumina (Al2O3), which are used in a TAEA antenna coil installed in the MAST spherical tokamak. Samples of the investigated materials (bulk BN and a 20 μm film of Al2O3 on Al substrate) were exposed on the axis of the plasma-focus PF-1000 device, which can emit intense streams of hot plasma (v≈107 cm s-1 and Npl≈1018 cm-3) and fast deuteron beams (Ei≈100 keV). The most powerful plasma-ion pulse lasted 0.2-1.0 μs and its intensity decayed in about 100 μs. The irradiation process was diagnosed using fast optical cameras, laser interferometry and optical spectrometry. Experiments were performed at power flux densities equal to 109-1010 W cm-2 or 108-109 W cm-2 during the most powerful stage of the interaction process. The irradiated specimens were investigated by means of optical microscopy and x-ray structure analysis (XRSA). It was shown that at 1010 W cm-2 pulses the Al2O3 coating was completely evaporated, whereas a surface of the BN sample became smoother than in the virgin one. A direct comparison of both samples after the action of 108 W cm-2 pulses demonstrated a wave-like structure (more distinct on Al2O3). Weighing of these samples showed, however, that the evaporation of BN was about two times stronger than that of Al2O3 in spite of the lower irradiation flux; the XRSA showed no evidence of cracking of Al2O3 after these pulses. The insulation properties of Al2O3 did not decline, and the Al2O3 coating may be potentially more beneficial, provided that it is kept below its melting point. Characteristic features of damages of a material based on the carbon-fiber composite with additions of silicium carbide (SiC; 8-40% volumetric) were also investigated. It was found that at q=109 W cm-2, the surface erosion is associated with sputtering and evaporation. The degree of this erosion depends on the fibers' orientation in

  3. Plasma assisted synthesis of vanadium pentoxide nanoplates

    NASA Astrophysics Data System (ADS)

    Singh, Megha; Sharma, Rabindar Kumar; Kumar, Prabhat; Reddy, G. B.

    2015-08-01

    In this work, we report the growth of α-V2O5 (orthorhombic) nanoplates on glass substrate using plasma assisted sublimation process (PASP) and Nickel as catalyst. 100 nm thick film of Ni is deposited over glass substrate by thermal evaporation process. Vanadium oxide nanoplates have been deposited treating vanadium metal foil under high vacuum conditions with oxygen plasma. Vanadium foil is kept at fixed temperature growth of nanoplates of V2O5 to take place. Samples grown have been studied using XPS, XRD and HRTEM to confirm the growth of α-phase of V2O5, which revealed pure single crystal of α- V2O5 in orthorhombic crystallographic plane. Surface morphological studies using SEM and TEM show nanostructured thin film in form of plates. Uniform, vertically aligned randomly oriented nanoplates of V2O5 have been deposited.

  4. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    NASA Astrophysics Data System (ADS)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  5. Plasma-enhanced pulsed-laser deposition of single-crystalline M o2C ultrathin superconducting films

    NASA Astrophysics Data System (ADS)

    Zhang, Fan; Zhang, Zhi; Wang, Huichao; Chan, Cheuk Ho; Chan, Ngai Yui; Chen, Xin Xin; Dai, Ji-Yan

    2017-08-01

    Transition-metal carbides (TMCs) possess many intriguing properties and inspiring application potentials, and recently the study of a two-dimensional form of TMCs has attracted great attention. Herein, we report successful fabrication of continuous M o2C ultrathin single-crystalline films at 700 ∘C with an approach of plasma-enhanced pulsed-laser deposition. By sophisticated structural analyses, the M o2C films are characterized as single crystal with a rarely reported face-centered cubic structure. In further electrical transport measurements, superconductivity observed in the M o2C films demonstrates a typical two-dimensional feature, which is consistent with Berezinskii-Kosterlitz-Thouless transitions. Besides, large upper critical magnetic fields are discovered in this system. Our work offers an approach to grow large-area and high-quality TMCs at relatively low temperatures. This study may stimulate more related investigations on the synthesis, characterizations, and applications of two-dimensional TMCs.

  6. Personalized plasma-based medicine to treat age-related diseases.

    PubMed

    Anitua, Eduardo; Troya, María; Zalduendo, Mar; Orive, Gorka

    2017-05-01

    As social and health needs are changing, new challenges to develop innovative alternatives arise to address unmet medical needs. Personalized medicine is emerging as a promising and appealing therapeutic option. The use of patient's own plasma and platelets as therapeutics is providing new avenues in the treatment of acute and chronic tissue injuries by promoting tissue repair and regeneration. Plasma and platelet-based therapies mimic the physiological repair process by releasing autologous growth factors and creating a natural, biodegradable and transient scaffold that acts as transient matrix. This review summarizes the recent advances and challenges in the field of personalized plasma-based medicine and its potential to treat age-related diseases. Copyright © 2016. Published by Elsevier B.V.

  7. Temperature-Dependent Electrical Properties and Carrier Transport Mechanisms of TMAH-Treated Ni/Au/Al2O3/GaN MIS Diode

    NASA Astrophysics Data System (ADS)

    Reddy, M. Siva Pratap; Puneetha, Peddathimula; Reddy, V. Rajagopal; Lee, Jung-Hee; Jeong, Seong-Hoon; Park, Chinho

    2016-11-01

    The temperature-dependent electrical properties and carrier transport mechanisms of tetramethylammonium hydroxide (TMAH)-treated Ni/Au/Al2O3/GaN metal-insulator-semiconductor (MIS) diodes have been investigated by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The experimental results reveal that the barrier height ( I- V) increases whereas the ideality factor decreases with increasing temperature. The TMAH-treated Ni/Au/Al2O3/GaN MIS diode showed nonideal behaviors which indicate the presence of a nonuniform distribution of interface states ( N SS) and effect of series resistance ( R S). The obtained R S and N SS were found to decrease with increasing temperature. Furthermore, it was found that different transport mechanisms dominated in the TMAH-treated Ni/Au/Al2O3/GaN MIS diode. At 150 K to 250 K, Poole-Frenkel emission (PFE) was found to be responsible for the reverse leakage, while Schottky emission (SE) was the dominant mechanism at high electric fields in the temperature range from 300 K to 400 K. Feasible energy band diagrams and possible carrier transport mechanisms for the TMAH-treated Ni/Au/Al2O3/GaN MIS diode are discussed based on PFE and SE.

  8. DLTS Analysis and Interface Engineering of Solution Route Fabricated Zirconia Based MIS Devices Using Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kumar, Arvind; Mondal, Sandip; Koteswara Rao, K. S. R.

    2018-02-01

    In this work, we have fabricated low-temperature sol-gel spin-coated and oxygen (O2) plasma treated ZrO2 thin film-based metal-insulator-semiconductor devices. To understand the impact of plasma treatment on the Si/ZrO2 interface, deep level transient spectroscopy measurements were performed. It is reported that the interface state density ( D it) comes down to 7.1 × 1010 eV-1 cm-2 from 4 × 1011 eV-1 cm-2, after plasma treatment. The reduction in D it is around five times and can be attributed to the passivation of oxygen vacancies near the Si/ZrO2 interface, as they try to relocate near the interface. The energy level position ( E T) of interfacial traps is estimated to be 0.36 eV below the conduction band edge. The untreated ZrO2 film displayed poor leakage behavior due to the presence of several traps within the film and at the interface; O2 plasma treated films show improved leakage current density as they have been reduced from 5.4 × 10-8 A/cm2 to 1.98 × 10-9 A/cm2 for gate injection mode and 6.4 × 10-8 A/cm2 to 6.3 × 10-10 A/cm2 for substrate injection mode at 1 V. Hence, we suggest that plasma treatment might be useful in future device fabrication technology.

  9. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  10. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  11. Site-specific O-Glycosylation Analysis of Human Blood Plasma Proteins*

    PubMed Central

    Hoffmann, Marcus; Marx, Kristina; Reichl, Udo; Wuhrer, Manfred; Rapp, Erdmann

    2016-01-01

    Site-specific glycosylation analysis is key to investigate structure-function relationships of glycoproteins, e.g. in the context of antigenicity and disease progression. The analysis, though, is quite challenging and time consuming, in particular for O-glycosylated proteins. In consequence, despite their clinical and biopharmaceutical importance, many human blood plasma glycoproteins have not been characterized comprehensively with respect to their O-glycosylation. Here, we report on the site-specific O-glycosylation analysis of human blood plasma glycoproteins. To this end pooled human blood plasma of healthy donors was proteolytically digested using a broad-specific enzyme (Proteinase K), followed by a precipitation step, as well as a glycopeptide enrichment and fractionation step via hydrophilic interaction liquid chromatography, the latter being optimized for intact O-glycopeptides carrying short mucin-type core-1 and -2 O-glycans, which represent the vast majority of O-glycans on human blood plasma proteins. Enriched O-glycopeptide fractions were subjected to mass spectrometric analysis using reversed-phase liquid chromatography coupled online to an ion trap mass spectrometer operated in positive-ion mode. Peptide identity and glycan composition were derived from low-energy collision-induced dissociation fragment spectra acquired in multistage mode. To pinpoint the O-glycosylation sites glycopeptides were fragmented using electron transfer dissociation. Spectra were annotated by database searches as well as manually. Overall, 31 O-glycosylation sites and regions belonging to 22 proteins were identified, the majority being acute-phase proteins. Strikingly, also 11 novel O-glycosylation sites and regions were identified. In total 23 O-glycosylation sites could be pinpointed. Interestingly, the use of Proteinase K proved to be particularly beneficial in this context. The identified O-glycan compositions most probably correspond to mono- and disialylated core-1

  12. Comparison between the water activation effects by pulsed and sinusoidal helium plasma jets

    NASA Astrophysics Data System (ADS)

    Xu, Han; Liu, Dingxin; Xia, Wenjie; Chen, Chen; Wang, Weitao; Liu, Zhijie; Wang, Xiaohua; Kong, Michael G.

    2018-01-01

    Comparisons between pulsed and sinusoidal plasma jets have been extensively reported for the discharge characteristics and gaseous reactive species, but rarely for the aqueous reactive species in water solutions treated by the two types of plasma jets. This motivates us to compare the concentrations of aqueous reactive species induced by a pulsed and a sinusoidal plasma jet, since it is widely reported that these aqueous reactive species play a crucial role in various plasma biomedical applications. Experimental results show that the aqueous H2O2, OH/O2-, and O2-/ONOO- induced by the pulsed plasma jet have higher concentrations, and the proportional difference increases with the discharge power. However, the emission intensities of OH(A) and O(3p5P) are higher for the sinusoidal plasma jet, which may be attributed to its higher gas temperature since more water vapor could participate in the plasma. In addition, the efficiency of bacterial inactivation induced by the pulsed plasma jet is higher than that for the sinusoidal plasma jet, in accordance with the concentration relation of aqueous reactive species for the two types of plasma jets.

  13. The formation of tungsten doped Al2O3/ZnO coatings on aluminum by plasma electrolytic oxidation and their application in photocatalysis

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Vasilić, Rastko; Radić, Nenad; Tadić, Nenad; Stefanov, Plamen; Grbić, Boško

    2016-07-01

    Tungsten doped Al2O3/ZnO coatings are formed by plasma electrolytic oxidation of aluminum substrate in supporting electrolyte (0.1 M boric acid + 0.05 M borax + 2 g/L ZnO) with addition of different concentrations of Na2WO4·2H2O. The morphology, crystal structure, chemical composition, and light absorption characteristics of formed surface coatings are investigated. The X-ray diffraction and X-ray photoelectron spectroscopy results indicate that formed surface coatings consist of alpha and gamma phase of Al2O3, ZnO, metallic tungsten and WO3. Obtained results showed that incorporated tungsten does not have any influence on the absorption spectra of Al2O3/ZnO coatings, which showed invariable band edge at about 385 nm. The photocatalytic activity of undoped and tungsten doped Al2O3/ZnO coatings is estimated by the photodegradation of methyl orange. The photocatalytic activity of tungsten doped Al2O3/ZnO coatings is higher thanof undoped Al2O3/ZnO coatings; the best photocatalytic activity is ascribed to coatings formed in supporting electrolyte with addition of 0.3 g/L Na2WO4·2H2O. Tungsten in Al2O3/ZnO coatings acts as a charge trap, thus reducing the recombination rate of photogenerated electron-hole pairs. The results of PL measurements are in agreement with photocatalytic activity. Declining PL intensity corresponds to increasing photocatalytic activity of the coatings, indicating slower recombination of electron-hole pairs.

  14. Effect of CO2 concentration on strength development and carbonation of a MgO-based binder for treating fine sediment.

    PubMed

    Hwang, Kyung-Yup; Kim, Jin Young; Phan, Hoang Quang Huy; Ahn, Jun-Young; Kim, Tae Yoo; Hwang, Inseong

    2018-05-28

    We previously described a MgO-based binder for treating fine sediment and simultaneously store CO 2 . Here, we describe a study of the physical/mechanical characteristics and carbonation reactions of the MgO-based binder used to solidify/stabilize fine sediment in atmospheres containing different CO 2 concentrations. Carbonation of the sediment treated with the MgO-based binder at the atmospheric CO 2 concentration markedly improved the compressive strength of the product. The compressive strength was 4.78 MPa after 365 days of curing, 1.3 times higher than the compressive strength of sediment treated with portland cement. This improvement was caused by the formation of carbonation products, such as hydromagnesite, nesquehonite, and lansfordite, and the constant high pH (~ 12) of the specimen, which favored the growth of hydration products such as calcium silicate hydrates and portlandite. Very low compressive strengths were found when 50 and 100% CO 2 atmospheres were used because of excessive formation of carbonation products, which occupied 78% of the specimen depth. Abundant carbonation products increased the specimen volume and decreased the pH to 10.2, slowing the growth of hydration products. The absence of brucite in specimens produced in a 100% CO 2 atmosphere indicated that MgO carbonation is favored over hydration at high CO 2 concentrations.

  15. Multibit data storage states formed in plasma-treated MoS₂ transistors.

    PubMed

    Chen, Mikai; Nam, Hongsuk; Wi, Sungjin; Priessnitz, Greg; Gunawan, Ivan Manuel; Liang, Xiaogan

    2014-04-22

    New multibit memory devices are desirable for improving data storage density and computing speed. Here, we report that multilayer MoS2 transistors, when treated with plasmas, can dramatically serve as low-cost, nonvolatile, highly durable memories with binary and multibit data storage capability. We have demonstrated binary and 2-bit/transistor (or 4-level) data states suitable for year-scale data storage applications as well as 3-bit/transistor (or 8-level) data states for day-scale data storage. This multibit memory capability is hypothesized to be attributed to plasma-induced doping and ripple of the top MoS2 layers in a transistor, which could form an ambipolar charge-trapping layer interfacing the underlying MoS2 channel. This structure could enable the nonvolatile retention of charged carriers as well as the reversible modulation of polarity and amount of the trapped charge, ultimately resulting in multilevel data states in memory transistors. Our Kelvin force microscopy results strongly support this hypothesis. In addition, our research suggests that the programming speed of such memories can be improved by using nanoscale-area plasma treatment. We anticipate that this work would provide important scientific insights for leveraging the unique structural property of atomically layered two-dimensional materials in nanoelectronic applications.

  16. Production of Sn/SnO2/MWCNT composites by plasma oxidation after thermal evaporation from pure Sn targets onto buckypapers.

    PubMed

    Alaf, M; Gultekin, D; Akbulut, H

    2012-12-01

    In this study, tin/tinoxide/multi oxide/multi walled carbon nano tube (Sn/SnO2/MWCNT) composites were produced by thermal evaporation and then subsequent plasma oxidation. Buckypapers having controlled porosity were prepared by vacuum filtration from functionalized MWCNTs. Pure metallic tin was thermally evaporated on the buckypapers in argon atmosphere with different thicknesses. It was determined that the evaporated pure tin nano crystals were mechanically penetrated into pores of buckypaper to form a nanocomposite. The tin/MWCNT composites were subjected to plasma oxidation process at oxygen/argon gas mixture. Three different plasma oxidation times (30, 45 and 60 minutes) were used to investigate oxidation and physical and microstructural properties. The effect of coating thickness and oxidation time was investigated to understand the effect of process parameters on the Sn and SnO2 phases after plasma oxidation. Quantitative phase analysis was performed in order to determine the relative phase amounts. The structural properties were studied by field-emission gun scanning electron microscopy (FEG-SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD).

  17. Measurement of tritium with plastic scintillator surface improvement with plasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshihara, Y.; Furuta, E.; Ohyama, R.I.

    2015-03-15

    Tritium is usually measured by using a liquid scintillation counter. However, liquid scintillator used for measurement will become radioactive waste fluid. To solve this issue, we have developed a method of measuring tritium samples with plasma-treated plastic scintillator (PS)sheets (Plasma method). The radioactive sample is held between 2 PS sheets and the whole is enclosed in a a low-potassium glass vial. With the Plasma method of 2-min plasma treatment, we have obtained measurement efficiency of 48 ± 2 % for 2 min measurement of tritium except for tritiated water. The plasma treatment makes the PS surface rough and hydrophilic whichmore » contributes to improve the contact between tritium and PS. On the other hand, it needed almost 6 hours to obtain constant measurement efficiency. The reason was that the dry-up handling in the vial needed longer time to vaporize H{sub 2}O molecules than in the air. We tried putting silica gel beads into vials to remove H{sub 2}O molecules from PS sheet surface quickly. The silica gel beads worked well and we got constant measurement efficiency within 1-3 hours. Also, we tried using other kinds of PS treated with plasma to obtain higher measurement efficiencies of tritium samples.« less

  18. Removal of NO in NO/N2, NO/N2/O2, NO/CH4/N2, and NO/CH4/O2/N2 systems by flowing microwave discharges.

    PubMed

    Hueso, José L; Gonzalez-Elipe, Agustín R; Cotrino, José; Caballero, Alfonso

    2007-02-15

    In this paper, continuing previous work, we report on experiments carried out to investigate the removal of NO from simulated flue gas in nonthermal plasmas. The plasma-induced decomposition of small concentrations of NO in N2 used as the carrier gas and O2 and CH4 as minority components has been studied in a surface wave discharge induced with a surfatron launcher. The reaction products and efficiency have been monitored by mass spectrometry as a function of the composition of the mixture. NO is effectively decomposed into N2 and O2 even in the presence of O2, provided always that enough CH4 is also present in the mixture. Other majority products of the plasma reactions under these conditions are NH3, CO, and H2. In the absence of O2, decomposition of NO also occurs, although in that case HCN accompanies the other reaction products as a majority component. The plasma for the different reaction mixtures has been characterized by optical emission spectroscopy. Intermediate excited species of NO*, C*, CN*, NH*, and CH* have been monitored depending on the gas mixture. The type of species detected and their evolution with the gas composition are in agreement with the reaction products detected in each case. The observations by mass spectrometry and optical emission spectroscopy are in agreement with the kinetic reaction models available in literature for simple plasma reactions in simple reaction mixtures.

  19. Plant Aquaporin AtPIP1;4 Links Apoplastic H2O2 Induction to Disease Immunity Pathways.

    PubMed

    Tian, Shan; Wang, Xiaobing; Li, Ping; Wang, Hao; Ji, Hongtao; Xie, Junyi; Qiu, Qinglei; Shen, Dan; Dong, Hansong

    2016-07-01

    Hydrogen peroxide (H2O2) is a stable component of reactive oxygen species, and its production in plants represents the successful recognition of pathogen infection and pathogen-associated molecular patterns (PAMPs). This production of H2O2 is typically apoplastic but is subsequently associated with intracellular immunity pathways that regulate disease resistance, such as systemic acquired resistance and PAMP-triggered immunity. Here, we elucidate that an Arabidopsis (Arabidopsis thaliana) aquaporin (i.e. the plasma membrane intrinsic protein AtPIP1;4) acts to close the cytological distance between H2O2 production and functional performance. Expression of the AtPIP1;4 gene in plant leaves is inducible by a bacterial pathogen, and the expression accompanies H2O2 accumulation in the cytoplasm. Under de novo expression conditions, AtPIP1;4 is able to mediate the translocation of externally applied H2O2 into the cytoplasm of yeast (Saccharomyces cerevisiae) cells. In plant cells treated with H2O2, AtPIP1;4 functions as an effective facilitator of H2O2 transport across plasma membranes and mediates the translocation of externally applied H2O2 from the apoplast to the cytoplasm. The H2O2-transport role of AtPIP1;4 is essentially required for the cytoplasmic import of apoplastic H2O2 induced by the bacterial pathogen and two typical PAMPs in the absence of induced production of intracellular H2O2 As a consequence, cytoplasmic H2O2 quantities increase substantially while systemic acquired resistance and PAMP-triggered immunity are activated to repress the bacterial pathogenicity. By contrast, loss-of-function mutation at the AtPIP1;4 gene locus not only nullifies the cytoplasmic import of pathogen- and PAMP-induced apoplastic H2O2 but also cancels the subsequent immune responses, suggesting a pivotal role of AtPIP1;4 in apocytoplastic signal transduction in immunity pathways. © 2016 American Society of Plant Biologists. All Rights Reserved.

  20. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  1. In situ glow discharge plasma electrolytic synthesis of reduced TiO2 for enhanced visible light photocatalysis

    NASA Astrophysics Data System (ADS)

    Feng, Guang; Wu, Botao; Qayyum Khan, Abdul; Zeng, Heping

    2018-05-01

    Reduced titanium dioxide (TiO2‑x) due to its extraordinary visible light absorption has been widely investigated in photodegradation and water splitting nowadays. However, conventional routes to synthesize reduced TiO2 usually demand multiple preparation steps, harsh controlled conditions or expensive facilities. Here we developed a single-step in situ approach to prepare the gray TiO2‑x nanoparticles (sub-10 nm) effectively by the glow discharge plasma electrolysis (GDPE) under atmospheric pressure. The co-existence of self-doped oxygen vacancies and Ti3+ in the generated TiO2‑x nanoparticles is demonstrated by electron paramagnetic resonance (EPR). The tunable ratio of bulk/surface defect can be realized by controlling the glow discharge power directly. It should be noticed that Ti3+ in the synthesized TiO2‑x are quite stable in ambient air. The UV–vis spectra of gray TiO2‑x show an enhanced visible light absorption, which leads to high visible-light photocatalytic activity. Moreover, the as-prepared TiO2‑x after 6 months storage still shows excellent stability during photocatalytic reactions. Owing to its simplicity and effectivity, this preparation method with GDPE should provide a large-scale production for TiO2‑x with high photoactivity.

  2. Plasma dynamic synthesis and obtaining ultrafine powders of iron oxides with high content of ε-Fe2O3

    NASA Astrophysics Data System (ADS)

    Sivkov, Alexander; Naiden, Evgenii; Ivashutenko, Alexander; Shanenkov, Ivan

    2016-05-01

    The ultrafine iron oxide powders were successfully synthesized using the plasma dynamic synthesis method, based on the use of a coaxial magnetoplasma accelerator with the iron electrode system. The synthesis was implemented in the high-speed iron-containing plasma jet, flowing into the space of the sealed chamber, filled with the gaseous mixture of oxygen and argon at different ratios. The XRD investigations showed that the synthesized products were heterophase and consisted of three main phases such as magnetite Fe3O4, hematite α-Fe2O3 and ε-Fe2O3. The SEM data confirmed the presence of three particle types: the hollow spheroids with sizes about hundreds of micrometers (magnetite), the particles with sizes up to 100 μm from the porous material of sintered submicron particles (hematite), and nanoscale particles (ε-phase). We found that at the higher oxygen concentration the content of ε-Fe2O3 is increased up to 50% at the same time with decreasing the Fe3O4 phase. The magnetic properties of the products are mainly determined by magnetite characteristics and are significantly reduced with decreasing its content in the powder. In order to investigate the synthesized ε-Fe2O3 on the ability to absorb the electromagnetic radiation in the millimeter wavelength range, we separated the product with the higher ε-phase concentration. The fraction mainly, consisting of ε-Fe2O3, showed the occurrence of the natural resonance at frequencies of 8.3 GHz and 130 GHz.

  3. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  4. A mechanistic study to increase understanding of titanium dioxide nanoparticles-increased plasma glucose in mice.

    PubMed

    Hu, Hailong; Li, Li; Guo, Qian; Jin, Sanli; Zhou, Ying; Oh, Yuri; Feng, Yujie; Wu, Qiong; Gu, Ning

    2016-09-01

    Titanium dioxide nanoparticle (TiO2 NP) is an authorized food additive. Previous studies determined oral administration of TiO2 NPs increases plasma glucose in mice via inducing insulin resistance. An increase in reactive oxygen species (ROS) has been considered the possible mechanism of increasing plasma glucose. However, persistently high plasma glucose is also a mechanism of increasing ROS. This study aims to explore whether TiO2 NPs increase plasma glucose via ROS. We found after oral administration of TiO2 NPs, an increase in ROS preceded an increase in plasma glucose. Subsequently, mice were treated with two antioxidants (resveratrol and vitamin E) at the same time as oral administration of TiO2 NPs. Results showed resveratrol and vitamin E reduced TiO2 NPs-increased ROS. An increase in plasma glucose was also inhibited. Further research showed resveratrol and vitamin E inhibited the secretion of TNF-α and IL-6, and the phosphorylation of JNK and p38 MAPK, resulting in improved insulin resistance. These results suggest TiO2 NPs increased ROS levels, and then ROS activated inflammatory cytokines and phosphokinases, and thus induced insulin resistance, resulting in an increase in plasma glucose. Resveratrol and vitamin E can reduce TiO2 NPs-increased ROS and thereby inhibit an increase in plasma glucose in mice. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. Highly effective fungal inactivation in He+O{sub 2} atmospheric-pressure nonequilibrium plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiong, Z.; Lu, X. P.; Pan, Y.

    2010-12-15

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O{sub 2} gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and aftermore » the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.« less

  6. Selective Iron(III) ion uptake using CuO-TiO2 nanostructure by inductively coupled plasma-optical emission spectrometry

    PubMed Central

    2012-01-01

    Background CuO-TiO2 nanosheets (NSs), a kind of nanomaterials is one of the most attracting class of transition doped semiconductor materials due to its interesting and important optical, electrical, and structural properties and has many technical applications, such as in metal ions detection, photocatalysis, Chemi-sensors, bio-sensors, solar cells and so on. In this paper the synthesis of CuO-TiO2 nanosheets by the wet-chemically technique is reported. Methods CuO-TiO2 NSs were prepared by a wet-chemical process using reducing agents in alkaline medium and characterized by UV/vis., FT-IR spectroscopy, X-ray photoelectron spectroscopy (XPS), powder X-ray diffraction (XRD), and field-emission scanning electron microscopy (FE-SEM) etc. Results The structural and optical evaluation of synthesized NSs were measured by XRD pattern, Fourier transform infrared (FT-IR) and UV–vis spectroscopy, respectively which confirmed that the obtained NSs are well-crystalline CuO-TiO2 and possessing good optical properties. The morphological analysis of CuO-TiO2 NSs was executed by FE-SEM, which confirmed that the doped products were sheet-shaped and growth in large quantity. Here, the analytical efficiency of the NSs was applied for a selective adsorption of iron(III) ion prior to detection by inductively coupled plasma-optical emission spectrometry (ICP-OES). The selectivity of NSs towards various metal ions, including Au(III), Cd(II), Co(II), Cr(III), Fe(III), Pd(II), and Zn(II) was analyzed. Conclusions Based on the selectivity study, it was confirmed that the selectivity of doped NSs phase was the most towards Fe(III) ion. The static adsorption capacity for Fe(III) was calculated to be 110.06 mgg−1. Results from adsorption isotherm also verified that the adsorption process was mainly monolayer-adsorption onto a surface containing a finite number of CuO-TiO2 NSs adsorption sites. PMID:23244218

  7. Surface composition XPS analysis of a plasma treated polystyrene: Evolution over long storage periods.

    PubMed

    Ba, Ousmane M; Marmey, Pascal; Anselme, Karine; Duncan, Anthony C; Ponche, Arnaud

    2016-09-01

    A polystyrene surface (PS) was initially treated by cold nitrogen and oxygen plasma in order to incorporate in particular amine and hydroxyl functions, respectively. The evolution of the chemical nature of the surface was further monitored over a long time period (580 days) by chemical assay, XPS and contact angle measurements. Surface density quantification of primary amine groups was performed using three chemical amine assays: 4-nitrobenzaldehyde (4-NBZ), Sulfo succinimidyl 6-[3'(2 pyridyldithio)-pionamido] hexanoate (Sulfo-LC-SPDP) and iminothiolane (ITL). The results showed amine densities were in the range of 2 per square nanometer (comparable to the results described in the literature) after 5min of nitrogen plasma treatment. Over the time period investigated, chemical assays, XPS and contact angles suggest a drastic significant evolution of the chemical nature of the surface within the first two weeks. Beyond that time period and up to almost two years, nitrogen plasma modified substrates exhibits a slow and continuous oxidation whereas oxygen plasma modifed polystyrene surface is chemically stable after two weeks of storage. The latter appeared to "ease of" showing relatively mild changes within the one year period. Our results suggest that it may be preferable to wait for a chemical "stabilization" period of two weeks before subsequent covalent immobilization of proteins onto the surface. The originality of this work resides in the study of the plasma treated surface chemistry evolution over long periods of storage time (580 days) considerably exceeding those described in the literature. Copyright © 2016 Elsevier B.V. All rights reserved.

  8. Comparison of the growth kinetics of In{sub 2}O{sub 3} and Ga{sub 2}O{sub 3} and their suboxide desorption during plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vogt, Patrick, E-mail: vogt@pdi-berlin.de; Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de

    2016-08-08

    We present a comprehensive study of the In{sub 2}O{sub 3} growth kinetics during plasma-assisted molecular beam epitaxy and compare it to that of the related oxide Ga{sub 2}O{sub 3} [P. Vogt and O. Bierwagen, Appl. Phys. Lett. 108, 072101 (2016)]. The growth rate and desorbing fluxes were measured during growth in-situ by a laser reflectometry set-up and line-of-sight quadrupole mass spectrometer, respectively. We extracted the In incorporation as a function of the provided In flux, different growth temperatures T{sub G}, and In-to-O flux ratios r. The data are discussed in terms of the competing formation of In{sub 2}O{sub 3} andmore » desorption of the suboxide In{sub 2}O and O. The same three growth regimes as in the case of Ga{sub 2}O{sub 3} can be distinguished: (i) In-transport limited, O-rich (ii) In{sub 2}O-desorption limited, O-rich, and (iii) O-transport limited, In-rich. In regime (iii), In droplets are formed on the growth surface at low T{sub G}. The growth kinetics follows qualitatively that of Ga{sub 2}O{sub 3} in agreement with their common oxide and suboxide stoichiometry. The quantitative differences are mainly rationalized by the difference in In{sub 2}O and Ga{sub 2}O desorption rates and vapor pressures. For the In{sub 2}O, Ga{sub 2}O, and O desorption, we extracted the activation energies and frequency factors by means of Arrhenius-plots.« less

  9. Assessment of N2O emission from a photobioreactor treating ammonia-rich swine wastewater digestate.

    PubMed

    Mezzari, Melissa P; da Silva, Márcio L B; Nicoloso, Rodrigo S; Ibelli, Adriana M G; Bortoli, Marcelo; Viancelli, Aline; Soares, Hugo M

    2013-12-01

    This study investigated the interactions between naturally occurring bacteria and the microalgae Chlorella vulgaris within a lab scale photobioreactor treating ammonia-rich swine wastewater digestate effluent. Nitrification and denitrification were assessed by targeting ammonia monoxygenases (amoA), nitrate (narG), nitrite (nirS), nitric oxide (norB) and nitrous oxide (nosZ) reductases genes. Oxygen produced from microalgae photosynthesis stimulated nitrification. Under limiting carbon availability (i.e., <1.44 for mg TOC/mg NO2-N and 1.72 for mg TOC/mg NO3-N), incomplete denitrification led to accumulation of NO2 and NO3. Significant N2O emission (up to 118 μg N2O-N) was linked to NO2 metabolism in Chlorella. The addition of acetate as external carbon source recovered heterotrophic denitrification activity suppressing N2O emission. Effluent methane concentrations trapped within photobioreactor was removed concomitantly with ammonia. Overall, closed photobioreactors can be built to effectively remove nitrogen and mitigate simultaneously greenhouse gases emissions that would occur otherwise in open microalgae-based wastewater treatment systems. Copyright © 2013 Elsevier Ltd. All rights reserved.

  10. Air Purification Pavement Surface Coating by Atmospheric Pressure Cold Plasma

    NASA Astrophysics Data System (ADS)

    Westergreen, Joe; Pedrow, Patrick; Shen, Shihui; Jobson, Bertram

    2011-10-01

    This study develops an atmospheric pressure cold plasma (APCP) reactor to produce activated radicals from precursor molecules, and to immobilize nano titanium dioxide (TiO2) powder to substrate pavement materials. TiO2 has photocatalytic properties and under UV light can be used to oxidize and remove volatile organic compounds (VOCs) and nitrogen oxides (NOx) from the atmosphere. Although TiO2 treated paving materials have great potential to improve air quality, current techniques to adhere TiO2 to substrate materials are either not durable or reduce direct contact of TiO2 with UV light, reducing the photocatalytic effect. To solve this technical difficulty, this study introduces APCP techniques to transportation engineering to coat TiO2 to pavement. Preliminary results are promising and show that TiO2 can be incorporated successfully into an APCP environment and can be immobilized at the surface of the asphalt substrate. The TiO2 coated material with APCP shows the ability to reduce nitrogen oxides when exposed to UV light in an environmental chamber. The plasma reactor utilizes high voltage streamers as the plasma source.

  11. Elevated Temperature Solid Particle Erosion Performance of Plasma-Sprayed Co-based Composite Coatings with Additions of Al2O3 and CeO2

    NASA Astrophysics Data System (ADS)

    Nithin, H. S.; Desai, Vijay; Ramesh, M. R.

    2017-11-01

    In this paper, investigation into solid particle erosion behavior of atmospheric plasma-sprayed composite coating of CoCrAlY reinforced with Al2O3 and CeO2 oxides on Superni 76 at elevated temperature of 600 °C is presented. Alumina particles are used as erodent at two impact angles of 30° and 90°. The microstructure, porosity, hardness, toughness and adhesion properties of the as-sprayed coatings are studied. The effects of temperature and phase transformation in the coatings during erosion process are analyzed using XRD and EDS techniques. Optical profilometer is used for accurate elucidation of erosion volume loss. CoCrAlY/CeO2 coating showed better erosion resistance with a volume loss of about 50% of what was observed in case of CoCrAlY/Al2O3/YSZ coating. Lower erosion loss is observed at 90° as compared to 30° impact angle. The erosion mechanism evaluated using SEM micrograph revealed that the coatings experienced ductile fracture exhibiting severe deformation with unusual oxide cracks. Reinforced metal oxides provide shielding effect for erodent impact, enabling better erosion resistance. The oxidation of the coating due to high-temperature exposure reforms erosion process into oxidation-modified erosion process.

  12. Effect of Seed Treatment by Cold Plasma on the Resistance of Tomato to Ralstonia solanacearum (Bacterial Wilt)

    PubMed Central

    Jiang, Jiafeng; Lu, Yufang; Li, Jiangang; Li, Ling; He, Xin; Shao, Hanliang; Dong, Yuanhua

    2014-01-01

    This study investigated the effect of cold plasma seed treatment on tomato bacterial wilt, caused by Ralstonia solanacearum (R. solanacearum), and the regulation of resistance mechanisms. The effect of cold plasma of 80W on seed germination, plant growth, nutrient uptake, disease severity, hydrogen peroxide (H2O2) concentration and activities of peroxidase (POD; EC 1.11.1.7), polyphenol oxidase (PPO; EC 1.10.3.2) and phenylalanine ammonia lyase (PAL; EC 4.3.1.5) were examined in tomato plants. Plasma treatment increased tomato resistance to R. solanacearum with an efficacy of 25.0%. Plasma treatment significantly increased both germination and plant growth in comparison with the control treatment, and plasma-treated plants absorbed more calcium and boron than the controls. In addition, H2O2 levels in treated plants rose faster and reached a higher peak, at 2.579 µM gFW−1, 140% greater than that of the control. Activities of POD (421.3 U gFW−1), PPO (508.8 U gFW−1) and PAL (707.3 U gFW−1) were also greater in the treated plants than in the controls (103.0 U gFW−1, 166.0 U gFW−1 and 309.4 U gFW−1, respectively). These results suggest that plasma treatment affects the regulation of plant growth, H2O2 concentration, and POD, PPO and PAL activity in tomato, resulting in an improved resistance to R. solanacearum. Consequently, cold plasma seed treatment has the potential to control tomato bacterial wilt caused by R. solanacearum. PMID:24840508

  13. Extremely dense microstructure and enhanced ionic conductivity in hot-isostatic pressing treated cubic garnet-type solid electrolyte of Ga2O3-doped Li7La3Zr2O12

    NASA Astrophysics Data System (ADS)

    Qin, Shiying; Zhu, Xiaohong; Jiang, Yue; Ling, Ming’En; Hu, Zhiwei; Zhu, Jiliang

    A large number of pores and a low relative density that are frequently observed in solid electrolytes reduce severely their ionic conductivity and thus limit their applicability. Here, we report on the use of hot isostatic pressing (HIP) for ameliorating the garnet-type lithium-ion conducting solid electrolyte of Ga2O3-doped Li7La3Zr2O12 (Ga-LLZO) with nominal composition of Li6.55Ga0.15La3Zr2O12. The Ga-LLZO pellets were conventionally sintered at 1075∘C for 12h, and then were followed by HIP treatment at 120MPa and 1160∘C under an Ar atmosphere. It is found that the HIP-treated Ga-LLZO shows an extremely dense microstructure and a significantly enhanced ionic conductivity. Coherent with the increase in relative density from 90.5% (untreated) to 97.5% (HIP-treated), the ionic conductivity of the HIP-treated Ga-LLZO reaches as high as 1.13×10‑3S/cm at room temperature (25∘C), being two times higher than that of 4.58×10‑4S/cm for the untreated one.

  14. Study of low resistivity and high work function ITO films prepared by oxygen flow rates and N2O plasma treatment for amorphous/crystalline silicon heterojunction solar cells.

    PubMed

    Hussain, Shahzada Qamar; Oh, Woong-Kyo; Kim, Sunbo; Ahn, Shihyun; Le, Anh Huy Tuan; Park, Hyeongsik; Lee, Youngseok; Dao, Vinh Ai; Velumani, S; Yi, Junsin

    2014-12-01

    Pulsed DC magnetron sputtered indium tin oxide (ITO) films deposited on glass substrates with lowest resistivity of 2.62 x 10(-4) Ω x cm and high transmittance of about 89% in the visible wavelength region. We report the enhancement of ITO work function (Φ(ITO)) by the variation of oxygen (O2) flow rate and N2O surface plasma treatment. The Φ(ITO) increased from 4.43 to 4.56 eV with the increase in O2 flow rate from 0 to 4 sccm while surface treatment of N2O plasma further enhanced the ITO work function to 4.65 eV. The crystallinity of the ITO films improved with increasing O2 flow rate, as revealed by XRD analysis. The ITO work function was increased by the interfacial dipole resulting from the surface rich in O- ions and by the dipole moment formed at the ITO surface during N2O plasma treatment. The ITO films with high work functions can be used to modify the front barrier height in heterojunction with intrinsic thin layer (HIT) solar cells.

  15. Calculation of Phase Equilibria in the Y2O3-Yb2O3-ZrO2 System

    NASA Technical Reports Server (NTRS)

    Jacobson, Nathan S.; Liu, Zi-Kui; Kaufman, Larry; Zhang, Fan

    2001-01-01

    Rare earth oxide stabilized zirconias find a wide range of applications. An understanding of phase equilibria is essential to all applications. In this study, the available phase boundary data and thermodynamic data is collected and assessed. Calphad-type databases are developed to completely describe the Y2O3-ZrO2, Yb2O3-ZrO2, and Y2O3-Yb2O3 systems. The oxide units are treated as components and regular and subregular solution models are used. The resultant calculated phase diagrams show good agreement with the experimental data. Then the binaries are combined to form the database for the Y2O3-Yb2O3-ZrO2 psuedo-ternary.

  16. Entomological evaluation of PermaNet 2.0® and K-O Tab 1-2-3® treated nets in comparison to nets conventionally treated with deltamethrin, after repeated washing.

    PubMed

    Kayedi, Mohammad Hassan; Khamisabadi, Kiumars; Dehghani, Nader; Haghdoost, Ali Akbar

    2015-06-01

    The residual insecticidal power of two types of ITNs (PermaNet 2.0® (PN2) and K-O Tab 1-2-3® (KO 123)), compared to K-O Tab® (KO) treated nets, was assessed. The nets were tested unwashed, and after being washed, by hand 5, 15 and 21 times, respectively. After each wash, the nets were dried vertically on a line, in the shade. Two types of bioassays (mean median knock down times (MMKDT) and mortality 24 hours after a 3-minute exposure (%mortality)) were used, along with reared female Anopheles stephensi. The number of washes had a great impact on MMKDT and %mortality of all types of nets. This impact was greater for conventionally treated nets, indicating that PN2 and KO 123 nets are significantly more wash resistant than KO nets after 21 washes. There was no significant difference between PN2 and KO 123 with respect to %mortality 24 hours after a 3-minute exposure at 0, 15 and 21 washes. Similarly, the same results were obtained for MMKDT, and the differences between PN2 and KO 123 were not statistically significant. This study demonstrates that the efficacy of KO 123 nets is as beneficial as the efficacy of PN2 nets up to 21 washes.

  17. Comparative study of Nd:YAG laser-induced breakdown spectroscopy and transversely excited atmospheric CO2 laser-induced gas plasma spectroscopy on chromated copper arsenate preservative-treated wood.

    PubMed

    Khumaeni, Ali; Lie, Zener Sukra; Niki, Hideaki; Lee, Yong Inn; Kurihara, Kazuyoshi; Wakasugi, Motoomi; Takahashi, Touru; Kagawa, Kiichiro

    2012-03-01

    Taking advantage of the specific characteristics of a transversely excited atmospheric (TEA) CO(2) laser, a sophisticated technique for the analysis of chromated copper arsenate (CCA) in wood samples has been developed. In this study, a CCA-treated wood sample with a dimension of 20 mm × 20 mm and a thickness of 2 mm was attached in contact to a nickel plate (20 mm × 20 mm × 0.15 mm), which functions as a subtarget. When the TEA CO(2) laser was successively irradiated onto the wood surface, a hole with a diameter of approximately 2.5 mm was produced inside the sample and the laser beam was directly impinged onto the metal subtarget. Strong and stable gas plasma with a very large diameter of approximately 10 mm was induced once the laser beam had directly struck the metal subtarget. This gas plasma then interacted with the fine particles of the sample inside the hole and finally the particles were effectively dissociated and excited in the gas plasma region. By using this technique, high precision and sensitive analysis of CCA-treated wood sample was realized. A linear calibration curve of Cr was successfully made using the CCA-treated wood sample. The detection limits of Cr, Cu, and As were estimated to be approximately 1, 2, and 15 mg/kg, respectively. In the case of standard LIBS using the Nd:YAG laser, the analytical intensities fluctuate and the detection limit was much lower at approximately one-tenth that of TEA CO(2) laser. © 2012 Optical Society of America

  18. Single photon emission from plasma treated 2D hexagonal boron nitride.

    PubMed

    Xu, Zai-Quan; Elbadawi, Christopher; Tran, Toan Trong; Kianinia, Mehran; Li, Xiuling; Liu, Daobin; Hoffman, Timothy B; Nguyen, Minh; Kim, Sejeong; Edgar, James H; Wu, Xiaojun; Song, Li; Ali, Sajid; Ford, Mike; Toth, Milos; Aharonovich, Igor

    2018-05-03

    Artificial atomic systems in solids are becoming increasingly important building blocks in quantum information processing and scalable quantum nanophotonic networks. Amongst numerous candidates, 2D hexagonal boron nitride has recently emerged as a promising platform hosting single photon emitters. Here, we report a number of robust plasma and thermal annealing methods for fabrication of emitters in tape-exfoliated hexagonal boron nitride (hBN) crystals. A two-step process comprising Ar plasma etching and subsequent annealing in Ar is highly robust, and yields an eight-fold increase in the concentration of emitters in hBN. The initial plasma-etching step generates emitters that suffer from blinking and bleaching, whereas the two-step process yields emitters that are photostable at room temperature with emission wavelengths greater than ∼700 nm. Density functional theory modeling suggests that the emitters might be associated with defect complexes that contain oxygen. This is further confirmed by generating the emitters via annealing hBN in air. Our findings advance the present understanding of the structure of quantum emitters in hBN and enhance the nanofabrication toolkit needed to realize integrated quantum nanophotonic circuits.

  19. Highly sensitive biofunctionalized mesoporous electrospun TiO(2) nanofiber based interface for biosensing.

    PubMed

    Mondal, Kunal; Ali, Md Azahar; Agrawal, Ved V; Malhotra, Bansi D; Sharma, Ashutosh

    2014-02-26

    The surface modified and aligned mesoporous anatase titania nanofiber mats (TiO2-NF) have been fabricated by electrospinning for esterified cholesterol detection by electrochemical technique. The electrospinning and porosity of mesoporous TiO2-NF were controlled by use of polyvinylpyrrolidone (PVP) as a sacrificial carrier polymer in the titanium isopropoxide precursor. The mesoporous TiO2-NF of diameters ranging from 30 to 60 nm were obtained by calcination at 470 °C and partially aligned on a rotating drum collector. The functional groups such as -COOH, -CHO etc. were introduced on TiO2-NF surface via oxygen plasma treatment making the surface hydrophilic. Cholesterol esterase (ChEt) and cholesterol oxidase (ChOx) were covalently immobilized on the plasma treated surface of NF (cTiO2-NF) via N-ethyl-N0-(3-dimethylaminopropyl carbodiimide) and N-hydroxysuccinimide (EDC-NHS) chemistry. The high mesoporosity (∼61%) of the fibrous film allowed enhanced loading of the enzyme molecules in the TiO2-NF mat. The ChEt-ChOx/cTiO2-NF-based bioelectrode was used to detect esterified cholesterol using electrochemical technique. The high aspect ratio, surface area of aligned TiO2-NF showed excellent voltammetric and catalytic response resulting in improved detection limit (0.49 mM). The results of response studies of this biosensor show excellent sensitivity (181.6 μA/mg dL(-1)/cm(2)) and rapid detection (20 s). This proposed strategy of biomolecule detection is thus a promising platform for the development of miniaturized device for biosensing applications.

  20. One-reactor plasma assisted fabrication of ZnO@TiO 2 multishell nanotubes: assessing the impact of a full coverage on the photovoltaic performance.

    PubMed

    Filippin, Alejandro Nicolas; Macias-Montero, Manuel; Saghi, Zineb; Idígoras, Jesús; Burdet, Pierre; Sanchez-Valencia, Juan R; Barranco, Angel; Migdley, Paul A; Anta, Juan A; Borras, Ana

    2017-08-29

    This paper addresses the fabrication of vertically aligned ZnO@TiO 2 multishell nanotubes by a combined full vacuum-plasma approach at mild temperatures. The growth is carried out within the premises of a one-reactor approach, i.e. minimizing the number of vacuum chambers and sample transferences. In this way, the interface between ZnO and TiO 2 is fully preserved from humidity thus increasing ZnO durability and stability. These nanostructures are studied by scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM) and energy dispersive X-ray spectroscopy in STEM (EDX-STEM). High density one-dimensional arrays of these nanotubes formed on FTO substrates are applied as photoanode in a dye-sensitized solar cell (DSC). The evolution of the dye adsorption capacity and solar cells parameters are explored as a function of the crystallinity and thickness of the TiO 2 shell. The results show the critical effect of a full coverage by TiO 2 of ZnO core to explain the mixed results found in the literature.

  1. In-situ etch rate study of Hf{sub x}La{sub y}O{sub z} in Cl{sub 2}/BCl{sub 3} plasmas using the quartz crystal microbalance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marchack, Nathan; Kim, Taeseung; Chang, Jane P., E-mail: jpchang@seas.ucla.edu

    2015-05-15

    The etch rate of Hf{sub x}La{sub y}O{sub z} films in Cl{sub 2}/BCl{sub 3} plasmas was measured in-situ in an inductively coupled plasma reactor using a quartz crystal microbalance and corroborated by cross-sectional SEM measurements. The etch rate depended on the ion energy as well as the plasma chemistry. In contrast to other Hf-based ternary oxides, the etch rate of Hf{sub x}La{sub y}O{sub z} films was higher in Cl{sub 2} than in BCl{sub 3}. In the etching of Hf{sub 0.25}La{sub 0.12}O{sub 0.63}, Hf appeared to be preferentially removed in Cl{sub 2} plasmas, per surface compositional analysis by x-ray photoelectron spectroscopy andmore » the detection of HfCl{sub 3} generation in mass spectroscopy. These findings were consistent with the higher etch rate of Hf{sub 0.25}La{sub 0.12}O{sub 0.63} than that of La{sub 2}O{sub 3}.« less

  2. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  3. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  4. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes

    PubMed Central

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-01

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10−5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime. PMID:28059160

  5. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes.

    PubMed

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-06

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO 2 , NO, H 2 O, as well as the related fragments during the O 2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO 2 during the complex surface chemical reaction of the ligand and O 2 plasma were monitored using the QCM. The remote PEALD ZrO 2 /zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10 -5  g/m 2 /day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.

  6. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-01

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10-5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.

  7. Stability of Atmospheric-Pressure Plasma Induced Changes on Polycarbonate Surfaces

    NASA Technical Reports Server (NTRS)

    Sharma, Rajesh; Holcomb, Edward; Trigwell, Steve

    2006-01-01

    Polycarbonate films are subjected to plasma treatment in a number of applications such as improving adhesion between polycarbonate and silicon alloy in protective and optical coatings. The changes in surface chemistry due to plasma treatment have tendency to revert back. Thus stability of the plasma induced changes on polymer surfaces over desired time period is very important. The objective of this study was to examine the effect of ageing on atmospheric pressure helium-plasma treated polycarbonate (PC) sample as a function of treatment time. The ageing effects were studied over a period of 10 days. The samples were plasma treated for 0.5, 2, 5 and 10 minutes. Contact angle measurements were made to study surface energy changes. Modification of surface chemical structure was examined using, X-ray Photoelectron Spectroscopy (XPS). Contact angle measurements on untreated and plasma treated surfaces were made immediately, 24, 48, 72 and 96 hrs after treatment. Contact angle decreased from 93 deg for untreated sample to 30 deg for sample plasma treated for 10 minutes. After 10 days the contact angles for the 10 minute plasma treated sample increased to 67 deg, but it never reverted back to that of untreated surface. Similarly the O/C ratio increased from 0.136 for untreated sample to 0.321 for 10 minute plasma treated sample indication increase in surface energy.

  8. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  9. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.

  10. Friction and Wear Behavior of Plasma-Sprayed Al2O3-13 wt.%TiO2 Coatings Under the Lubrication of Liquid Paraffin

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaoqin; An, Yulong; Hou, Guoliang; Zhou, Huidi; Chen, Jianmin

    2014-04-01

    Two types of ceramic composite coatings (denoted as N-AT13 coating and M-AT13 coating) were fabricated on 1Cr18Ni9Ti stainless steel substrate from ultra-fine and coarse Al2O3-13%TiO2 feedstocks by air plasma spraying. The friction and wear behavior of as-prepared coatings sliding against Al2O3 and stainless steel balls under the lubrication of liquid paraffin was evaluated with an SRV friction and wear tester (Optimol, Germany). The fractured and worn surfaces of the coatings were observed using a scanning electron microscope and a field-emission scanning electron microscope; and the wear mechanisms of the coatings were discussed based on scanning electron microscopic analysis and energy dispersive spectrometric analysis. Results show that N-AT13 coating possesses a unique microstructure and strong inter-splat bonding, thereby showing increased microhardness and bonding strength as well as much better friction-reduction and wear resistance than M-AT13 coating. Moreover, there exist differences in the wear mechanisms of N-AT13 and M-AT13 coatings which slide against ceramic and stainless steel balls under the lubrication of liquid paraffin. Namely, with the increase of normal load, the burnishing of N-AT13 coating coupled with Al2O3 ball is gradually transformed to grain-abrasion and deformation, while M-AT13 coating is dominated by grain-pullout and brittle fracture in the whole range of tested normal load.

  11. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  12. Plasma treatment of polymer dielectric films to improve capacitive energy storage

    NASA Technical Reports Server (NTRS)

    Yializis, A.; Binder, M.; Mammone, R. J.

    1994-01-01

    Demand for compact instrumentation, portable field equipment, and new electromagnetic weapons is creating a need for new dielectric materials with higher energy storage capabilities. Recognizing the need for higher energy storage capacitors, the Army Research Lab at Fort Monmouth, NJ, initiated a program a year ago to investigate potential methods for increasing the dielectric strength of polyvinylidene difluoride (PVDF) film, which is the highest energy density material commercially available today. Treatment of small area PVDF films in a CF4/O2 plasma showed that the dielectric strength of PVDF films can be increased by as much as 20 percent when treated in a 96 percent CF4/4 percent O2 plasma. This 44 percent increase in energy storage of a PVDF capacitor is significant considering that the treatment can be implemented in a conventional metallizing chamber, with minimum capital investment. The data shows that improved breakdown strength may be unique to PVDF film and the particular CF4/O2 gas mixture, because PVDF film treated with 100 percent CF4, 100 percent O2, Ar gas plasma, and electron irradiation shows no improvement in breakdown strength. Other data presented includes dissipation factor, dielectric constant, and surface tension measurements.

  13. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  14. HPLC Plasma Assay of a Novel Anti-MRSA Compound, Kaempferol-3-O-Alpha-L-(2",3"-di-p-coumaroyl)rhamnoside, from Sycamore Leaves.

    PubMed

    Zhang, Yiguan; Valeriote, Frederick; Swartz, Kenneth; Chen, Ben; Hamann, Mark T; Rodenburg, Douglas L; McChesney, James D; Shaw, Jiajiu

    2015-08-01

    Methicillin-resistant Staphylococcus aureus (MRSA) is a serious pathogen that is resistant to current antibiotic therapy. Thus, there is an urgent need for novel antimicrobial agents that can effectively combat these new strains of drug-resistant "superbugs". Recently, fractionation of an extract from Platanus occidentalis (American sycamore) leaves produced an active kaempferol molecule, 3-O-alpha-L-(2",3"-di-p-coumaroyl)rhamnoside (KCR), in four isomeric forms; all four isomers exhibit potent anti-MRSA activity. In order to further the preclinical development of KCR as a new antibiotic class, we developed and validated a simple analytical method for assaying KCR plasma concentration. Because KCR will be developed as a new drug, although comprising four stereoisomers, the analytical method was devised to assay the total amount of all four isomers. In the present work, both a plasma processing procedure and an HPLC method have been developed and validated. Mouse plasma containing KCR was first treated with ethanol and then centrifuged. The supernatant was dried, suspended in ethanol, centrifuged, and the supernatant was injected into an HPLC system comprising a Waters C18, a mobile phase composing methanol, acetonitrile, and trifluoroacetic acid and monitored at 313 nm. The method was validated by parameters including a good linear correlation, a limit of quantification of 0.27 microg/mL, and high accuracy. In summary, this method allows a rapid analysis of KCR in the plasma samples for pharmacokinetics studies.

  15. HPLC Plasma Assay of a Novel Anti-MRSA Compound, Kaempferol-3-O-Alpha-L-(2",3"-di-p-coumaroyl)rhamnoside, from Sycamore Leaves

    PubMed Central

    Zhang, Yiguan; Valeriote, Frederick; Swartz, Kenneth; Chen, Ben; Hamann, Mark T.; Rodenburg, Douglas L.; McChesney, James D.

    2016-01-01

    Methicillin-resistant Staphylococcus aureus (MRSA) is a serious pathogen that is resistant to current antibiotic therapy. Thus, there is an urgent need for novel antimicrobial agents that can effectively combat these new strains of drug-resistant “superbugs”. Recently, fractionation of an extract from Platanus occidentalis (American sycamore) leaves produced an active kaempferol molecule, 3-O-alpha-L-(2",3"-di-p-coumaroyl)rhamnoside (KCR), in four isomeric forms; all four isomers exhibit potent anti-MRSA activity. In order to further the preclinical development of KCR as a new antibiotic class, we developed and validated a simple analytical method for assaying KCR plasma concentration. Because KCR will be developed as a new drug, although comprising four stereoisomers, the analytical method was devised to assay the total amount of all four isomers. In the present work, both a plasma processing procedure and an HPLC method have been developed and validated. Mouse plasma containing KCR was first treated with ethanol and then centrifuged. The supernatant was dried, suspended in ethanol, centrifuged, and the supernatant was injected into an HPLC system comprising a Waters C18, a mobile phase composing methanol, acetonitrile, and trifluoroacetic acid and monitored at 313 nm. The method was validated by parameters including a good linear correlation, a limit of quantification of 0.27 µg/mL, and high accuracy. In summary, this method allows a rapid analysis of KCR in the plasma samples for pharmacokinetics studies. PMID:26434123

  16. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that <100> oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  17. Plant Aquaporin AtPIP1;4 Links Apoplastic H2O2 Induction to Disease Immunity Pathways1[OPEN

    PubMed Central

    Tian, Shan; Wang, Xiaobing; Li, Ping; Wang, Hao; Ji, Hongtao; Xie, Junyi; Qiu, Qinglei

    2016-01-01

    Hydrogen peroxide (H2O2) is a stable component of reactive oxygen species, and its production in plants represents the successful recognition of pathogen infection and pathogen-associated molecular patterns (PAMPs). This production of H2O2 is typically apoplastic but is subsequently associated with intracellular immunity pathways that regulate disease resistance, such as systemic acquired resistance and PAMP-triggered immunity. Here, we elucidate that an Arabidopsis (Arabidopsis thaliana) aquaporin (i.e. the plasma membrane intrinsic protein AtPIP1;4) acts to close the cytological distance between H2O2 production and functional performance. Expression of the AtPIP1;4 gene in plant leaves is inducible by a bacterial pathogen, and the expression accompanies H2O2 accumulation in the cytoplasm. Under de novo expression conditions, AtPIP1;4 is able to mediate the translocation of externally applied H2O2 into the cytoplasm of yeast (Saccharomyces cerevisiae) cells. In plant cells treated with H2O2, AtPIP1;4 functions as an effective facilitator of H2O2 transport across plasma membranes and mediates the translocation of externally applied H2O2 from the apoplast to the cytoplasm. The H2O2-transport role of AtPIP1;4 is essentially required for the cytoplasmic import of apoplastic H2O2 induced by the bacterial pathogen and two typical PAMPs in the absence of induced production of intracellular H2O2. As a consequence, cytoplasmic H2O2 quantities increase substantially while systemic acquired resistance and PAMP-triggered immunity are activated to repress the bacterial pathogenicity. By contrast, loss-of-function mutation at the AtPIP1;4 gene locus not only nullifies the cytoplasmic import of pathogen- and PAMP-induced apoplastic H2O2 but also cancels the subsequent immune responses, suggesting a pivotal role of AtPIP1;4 in apocytoplastic signal transduction in immunity pathways. PMID:26945050

  18. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  19. Aqueous reactive species induced by a PCB surface micro-discharge air plasma device: a quantitative study

    NASA Astrophysics Data System (ADS)

    Chen, Chen; Li, Fanying; Chen, Hai-Lan; Kong, Michael G.

    2017-11-01

    This paper presents a quantitative investigation on aqueous reactive species induced by air plasma generated from a printed circuit board surface micro-discharge (SMD) device. Under the conditions amenable for proliferation of mammalian cells, concentrations of ten types of reactive oxygen and nitrogen species (RONS) in phosphate buffering solution (PBS) are measured by chemical fluorescent assays and electron spin resonance spectroscopy (ESR). Results show that concentrations of several detected RNS (NO2- , NO3- , peroxynitrites, and NO2\\centerdot ) are higher than those of ROS (H2O2, O2\\centerdot - , and 1O2) in the air plasma treated solution. Concentrations of NO3- can reach 150 times of H2O2 with 60 s plasma treatment. For short-lived species, the air plasma generates more copious peroxynitrite than other RONS including NO2\\centerdot , O2\\centerdot - , 1O2, and N{{O}\\centerdot } in PBS. In addition, the existence of reaction between H2O2 and NO2- /HNO2 to produce peroxynitrite is verified by the chemical scavenger experiments. The reaction relations between detected RONS are also discussed.

  20. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  1. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  2. Atmospheric non-thermal argon-oxygen plasma for sunflower seedling growth improvement

    NASA Astrophysics Data System (ADS)

    Matra, Khanit

    2018-01-01

    Seedling growth enhancement of sunflower seeds by DC atmospheric non-thermal Ar-O2 plasma has been proposed. The plasma reactor was simply designed by the composition of multi-pin electrodes bonded on a solderable printed circuit board (PCB) anode. A stable plasma was exhibited in the non-periodical self-pulsing discharge mode during the seed treatment. The experimental results showed that non-thermal plasma treatment had a significant positive effect on the sunflower seeds. Ar-O2 mixed gas ratio, treatment time and power source voltage are the important parameters affecting growth stimulation of sunflower sprouts. In this research, the sunflower seeds treated with 3:3 liters per minute (LPM) of Ar-O2 plasma at a source voltage of 8 kV for 1 min showed the best results in stimulating the seedling growth. The results in this case showed that the dry weight and average shoot length of the sunflower sprouts were 1.79 and 2.69 times higher and heavier than those of the untreated seeds, respectively.

  3. Spatial structure of radio frequency ring-shaped magnetized discharge sputtering plasma using two facing ZnO/Al2O3 cylindrical targets for Al-doped ZnO thin film preparation

    NASA Astrophysics Data System (ADS)

    Sumiyama, Takashi; Fukumoto, Takaya; Ohtsu, Yasunori; Tabaru, Tatsuo

    2017-05-01

    Spatial structure of high-density radio frequency ring-shaped magnetized discharge plasma sputtering with two facing ZnO/Al2O3 cylindrical targets mounted in ring-shaped hollow cathode has been measured and Al-doped ZnO (AZO) thin film is deposited without substrate heating. The plasma density has a peak at ring-shaped hollow trench near the cathode. The radial profile becomes uniform with increasing the distance from the target cathode. A low ion current flowing to the substrate of 0.19 mA/cm2 is attained. Large area AZO films with a resistivity of 4.1 - 6.7×10-4 Ω cm can be prepared at a substrate room temperature. The transmittance is 84.5 % in a visible region. The surface roughnesses of AZO films are 0.86, 0.68, 0.64, 1.7 nm at radial positions of r = 0, 15, 30, 40 mm, respectively, while diffraction peak of AZO films is 34.26°. The grains exhibit a preferential orientation along (002) axis.

  4. A cost- and time-saving strategy of spraying TiO2 self-cleaning coatings in tubular substrates by air cold plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Lujie; Yu, Shuang; Wang, Kaile; Zhang, Jue; Fang, Jing

    2017-11-01

    In this study, using an atmospheric pressure air plasma jet generated by a dielectric barrier structure with hollow electrodes (HEDBS), we developed an ultrafast process for spraying TiO2 self-cleaning films inside tubular substrates. Importantly, SEM images showed that the TiO2 particles were dispersed evenly in the tubular substrates. Furthermore, Raman and XRD pattern indicated the anatase structure of the HEDBS-spayed TiO2 coating after heating at 270 °C. Further results of the self cleaning test suggested that the proposed cost- and time-saving HEDBS approach with air working gas could provide a feasible way for synthesizing thin TiO2 nanofilms.

  5. Surface analysis of 316 stainless steel treated with cold atmospheric plasma

    NASA Astrophysics Data System (ADS)

    Williams, David F.; Kellar, Ewen J. C.; Jesson, David A.; Watts, John F.

    2017-05-01

    The surface of 316 stainless steel has been modified using cold atmospheric plasma (CAP) to increase the surface free energy (by cleaning the and chemically activating the surface)IN preparation for subsequent processes such as painting, coating or adhesive bonding. The analyses carried out, on CAP treated 316 stainless steel surfaces, includes X-ray photoelectron spectroscopy (XPS), imaging XPS (iXPS), and surface free energy (SFE) analysis using contact angle measurements. The CAP treatment is shown to increase the SFE of as-received 316 stainless steel from ∼39 mJ m-1 to >72 mJ m-1 after a short exposure to the plasma torch. This was found to correlate to a reduction in adventitious carbon, as determined by XPS analysis of the surface. The reduction from ∼90 at% to ∼30% and ∼39 at%, after being plasma treated for 5 min and 15 s respectively, shows that the process is relatively quick at changing the surface. It is suggested that the mechanism that causes the increase in surface free energy is chain scission of the hydrocarbon contamination triggered by free electrons in the plasma plume followed by chemical functionalisation of the metal oxide surface and some of the remaining carbon contamination layer.

  6. Langmuir Probe Measurements of Inductively Coupled Plasma in CF4/AR/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i)), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad lip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  7. Langmuir Probe Measurements of Inductively Coupled Plasmas in CF4/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF (radio frequency) power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad dip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  8. Surface cleaning for enhanced adhesion to packaging surfaces: Effect of oxygen and ammonia plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gaddam, Sneha; Dong, Bin; Driver, Marcus

    2015-03-15

    The effects of direct plasma chemistries on carbon removal from silicon nitride (SiN{sub x}) and oxynitride (SiO{sub x}N{sub y}) surfaces have been studied by in-situ x-ray photoelectron spectroscopy (XPS) and ex-situ contact angle measurements. The data indicate that O{sub 2} and NH{sub 3} capacitively coupled plasmas are effective at removing adventitious carbon from silicon nitride (SiN{sub x}) and Si oxynitride (SiO{sub x}N{sub y}) surfaces. O{sub 2} plasma treatment results in the formation of a silica overlayer. In contrast, the exposure to NH{sub 3} plasma results in negligible additional oxidation of the SiN{sub x} or SiO{sub x}N{sub y} surface. Ex-situ contactmore » angle measurements show that SiN{sub x} and SiO{sub x}N{sub y} surfaces exposed to oxygen plasma are initially more hydrophilic than surfaces exposed to NH{sub 3} plasma, indicating that the O{sub 2} plasma-induced SiO{sub 2} overlayer is highly reactive toward ambient. At longer ambient exposures (≳10 h), however, surfaces treated by either O{sub 2} or NH{sub 3} plasma exhibit similar steady state contact angles, correlated with rapid uptake of adventitious carbon, as determined by XPS. Surface passivation by exposure to molecular hydrogen prior to ambient exposure significantly retards the increase in contact angle upon exposure to ambient. The results suggest a practical route to enhancing the time available for effective bonding to surfaces in microelectronics packaging applications.« less

  9. Effect of growth parameters on crystallinity and properties of ZnO films grown by plasma assisted MOCVD

    NASA Astrophysics Data System (ADS)

    Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.

    2007-07-01

    Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.

  10. Effect of hydrogen peroxide pretreatment on ZnO-based metal–semiconductor–metal ultraviolet photodetectors deposited using plasma-enhanced atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Yu-Chang; Lee, Hsin-Ying, E-mail: hylee@ee.ncku.edu.tw; Lee, Tsung-Hsin

    2016-01-15

    In this study, zinc oxide (ZnO) films were deposited on sapphire substrates using a plasma-enhanced atomic layer deposition system. Prior to deposition, the substrates were treated with hydrogen peroxide (H{sub 2}O{sub 2}) in order to increase nucleation on the initial sapphire surface and, thus, enhance the quality of deposited ZnO films. Furthermore, x-ray diffraction spectroscopy measurements indicated that the crystallinity of ZnO films was considerably enhanced by H{sub 2}O{sub 2} pretreatment, with the strongest (002) diffraction peak occurring for the film pretreated with H{sub 2}O{sub 2} for 60 min. X-ray photoelectron spectroscopy also was used, and the results indicated that amore » high number of Zn–O bonds was generated in ZnO films pretreated appropriately with H{sub 2}O{sub 2}. The ZnO film deposited on a sapphire substrate with H{sub 2}O{sub 2} pretreatment for 60 min was applied to metal–semiconductor–metal ultraviolet photodetectors (MSM-UPDs) as an active layer. The fabricated ZnO MSM-UPDs showed improvements in dark current and ultraviolet–visible rejection ratios (0.27 μA and 1.06 × 10{sup 3}, respectively) compared to traditional devices.« less

  11. Ionization instability induced striations in low frequency and pulsed He/H2O atmospheric pressure plasmas

    NASA Astrophysics Data System (ADS)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J.

    2018-01-01

    In previous work [Kawamura et al., Plasma Sources Sci. Technol. 25, 054009 (2016)] and [Kawamura et al., J. Phys. D: Appl. Phys. 50, 145204 (2017)], 1D kinetic particle-in-cell (PIC) simulations of narrow gap (1 to 4 mm), high frequency (27 MHz) or dc-driven, He/2%H2O atmospheric pressure plasmas (APPs) showed an ionization instability resulting in standing striations (spatial oscillations) in the bulk plasma. We developed a steady-state striation theory which showed that the striations are due to non-local electron kinetics. In both the high frequency and dc-driven cases, the equilibrium electron density n0 in the plasma bulk was stationary. In this work, we first conduct 1D PIC simulations of a 1 mm gap He/2%H2O APP, driven by a sinusoidal current at a low frequency of f = 50 kHz such that ω = 2πf is well below the ionization frequency νiz. In this case, n0 varies with time, and we observe a time-varying instability which quasistatically depends on n0(t). At each phase of the rf cycle, the discharge resembles a dc discharge at the same n0. At higher frequencies (200 kHz-1 MHz), ω approaches νiz, and quasistatic equilibrium at each phase breaks down. The discharge is also driven with a 200 kHz, 50% duty cycle square wave pulse with a short rise and fall time of 0.1 μs in an attempt to directly measure the striation growth rate s during the on-cycle before it saturated. However, the spike in current during the rise time leads to a spike in electron temperature Te and hence νiz and s at the beginning of the rise which saturated during the beginning of the on-cycle. To predict the instability growth rate and saturation during and after the current spike, we extend our striation theory to include time-varying n0, Te, νiz, as well as terms for the nonlinear saturation and noise floor of the striation amplitude. The time-varying global model predictions are compared to the PIC simulations, showing reasonable agreement.

  12. Phase imaging microscopy for the diagnostics of plasma-cell interaction

    NASA Astrophysics Data System (ADS)

    Ohene, Yolanda; Marinov, Ilya; de Laulanié, Lucie; Dupuy, Corinne; Wattelier, Benoit; Starikovskaia, Svetlana

    2015-06-01

    Phase images of biological specimens were obtained by the method of Quadriwave Lateral Shearing Interferometry (QWLSI). The QWLSI technique produces, at high resolution, phase images of the cells having been exposed to a plasma treatment and enables the quantitative analysis of the changes in the surface area of the cells over time. Morphological changes in the HTori normal thyroid cells were demonstrated using this method. There was a comparison of the cell behaviour between control cells, cells treated by plasma of a nanosecond dielectric barrier discharge, including cells pre-treated by catalase, and cells treated with an equivalent amount of H2O2. The major changes in the cell membrane morphology were observed at only 5 min after the plasma treatment. The primary role of reactive oxygen species (ROS) in this degradation is suggested. Deformation and condensation of the cell nucleus were observed 2-3 h after the treatment and are supposedly related to apoptosis induction. The coupling of the phase QWLSI with immunofluorescence imaging would give a deeper insight into the mechanisms of plasma induced cell death.

  13. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  14. Long and short term effects of plasma treatment on meristematic plant cells

    NASA Astrophysics Data System (ADS)

    Puač, N.; Živković, S.; Selaković, N.; Milutinović, M.; Boljević, J.; Malović, G.; Petrović, Z. Lj.

    2014-05-01

    In this paper, we will present results of plasma treatments of meristematic cells of Daucus carota. Plasma needle was used as an atmospheric pressure/gas composition source of non-equilibrium plasma in all treatments. Activity of antioxidant enzymes superoxide dismutase and catalase was measured immediately after plasma treatment and after two weeks following the treatment. Superoxide dismutase activity was increased in samples immediately after the plasma treatment. On the other hand, catalase activity was much higher in treated samples when measured two weeks after plasma treatment. These results show that there is a direct proof of the triggering of signal transduction in the cells by two reactive oxygen species H2O2 and O2-, causing enzyme activity and short and long term effects even during the growth of calli, where the information is passed to newborn cells over the period of two weeks.

  15. Color Developing Capacity of Plasma-treated Water as a Source of Nitrite for Meat Curing.

    PubMed

    Jung, Samooel; Kim, Hyun Joo; Park, Sanghoo; Yong, Hae In; Choe, Jun Ho; Jeon, Hee-Joon; Choe, Wonho; Jo, Cheorun

    2015-01-01

    The interaction of plasma with liquid generates nitrogen species including nitrite (NO(-) 2). Therefore, the color developing capacity of plasma-treated water (PTW) as a nitrite source for meat curing was investigated in this study. PTW, which is generated by surface dielectric barrier discharge in air, and the increase of plasma treatment time resulted in increase of nitrite concentration in PTW. The PTW used in this study contains 46 ppm nitrite after plasma treatment for 30 min. To evaluate the effect of PTW on the cured meat color, meat batters were prepared under three different conditions (control, non-cured meat batter; PTW, meat batter cured with PTW; Sodium nitrite, meat batter cured with sodium nitrite). The meat batters were vacuum-packaged and cooked in a water-bath at 80℃ for 30 min. The typical color of cured meat developed in cooked meat batter treated with sodium nitrite or PTW. The lightness (L*) and yellowness (b*) values were similar in all conditions, whereas, the redness (a*) values of cooked meat batter with PTW and sodium nitrite (p<0.05) were significantly higher than the control. These data indicate that PTW can be used as a nitrite source in the curing process of meat without addition of other nitrite sources.

  16. Color Developing Capacity of Plasma-treated Water as a Source of Nitrite for Meat Curing

    PubMed Central

    Jung, Samooel; Kim, Hyun Joo; Park, Sanghoo; Choe, Jun Ho; Jeon, Hee-Joon; Choe, Wonho

    2015-01-01

    The interaction of plasma with liquid generates nitrogen species including nitrite (NO−2). Therefore, the color developing capacity of plasma-treated water (PTW) as a nitrite source for meat curing was investigated in this study. PTW, which is generated by surface dielectric barrier discharge in air, and the increase of plasma treatment time resulted in increase of nitrite concentration in PTW. The PTW used in this study contains 46 ppm nitrite after plasma treatment for 30 min. To evaluate the effect of PTW on the cured meat color, meat batters were prepared under three different conditions (control, non-cured meat batter; PTW, meat batter cured with PTW; Sodium nitrite, meat batter cured with sodium nitrite). The meat batters were vacuum-packaged and cooked in a water-bath at 80℃ for 30 min. The typical color of cured meat developed in cooked meat batter treated with sodium nitrite or PTW. The lightness (L*) and yellowness (b*) values were similar in all conditions, whereas, the redness (a*) values of cooked meat batter with PTW and sodium nitrite (p<0.05) were significantly higher than the control. These data indicate that PTW can be used as a nitrite source in the curing process of meat without addition of other nitrite sources. PMID:26761900

  17. Highly vibrationally excited O2 molecules in low-pressure inductively-coupled plasmas detected by high sensitivity ultra-broad-band optical absorption spectroscopy

    NASA Astrophysics Data System (ADS)

    Foucher, Mickaël; Marinov, Daniil; Carbone, Emile; Chabert, Pascal; Booth, Jean-Paul

    2015-08-01

    Inductively-coupled plasmas in pure O2 (at pressures of 5-80 mTorr and radiofrequency power up to 500 W) were studied by optical absorption spectroscopy over the spectral range 200-450 nm, showing the presence of highly vibrationally excited O2 molecules (up to vʺ = 18) by Schumann-Runge band absorption. Analysis of the relative band intensities indicates a vibrational temperature up to 10,000 K, but these hot molecules only represent a fraction of the total O2 density. By analysing the (11-0) band at higher spectral resolution the O2 rotational temperature was also determined, and was found to increase with both pressure and power, reaching 900 K at 80 mTorr 500 W. These measurements were achieved using a new high-sensitivity ultra-broad-band absorption spectroscopy setup, based on a laser-plasma light source, achromatic optics and an aberration-corrected spectrograph. This setup allows the measurement of weak broadband absorbances due to a baseline variability lower than 2   ×   10-5 across a spectral range of 250 nm.

  18. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  19. Creation of hydrophilic nitric oxide releasing polymers via plasma surface modification.

    PubMed

    Pegalajar-Jurado, A; Joslin, J M; Hawker, M J; Reynolds, M M; Fisher, E R

    2014-08-13

    Herein, we describe the surface modification of an S-nitrosated polymer derivative via H2O plasma treatment, resulting in polymer coatings that maintained their nitric oxide (NO) releasing capabilities, but exhibited dramatic changes in surface wettability. The poly(lactic-co-glycolic acid)-based hydrophobic polymer was nitrosated to achieve a material capable of releasing the therapeutic agent NO. The NO-loaded films were subjected to low-temperature H2O plasma treatments, where the treatment power (20-50 W) and time (1-5 min) were varied. The plasma treated polymer films were superhydrophilic (water droplet spread completely in <100 ms), yet retained 90% of their initial S-nitrosothiol content. Under thermal conditions, NO release profiles were identical to controls. Under buffer soak conditions, the NO release profile was slightly lowered for the plasma-treated materials; however, they still result in physiologically relevant NO fluxes. XPS, SEM-EDS, and ATR-IR characterization suggests the plasma treatment resulted in polymer rearrangement and implantation of hydroxyl and carbonyl functional groups. Plasma treated samples maintained both hydrophilic surface properties and NO release profiles after storage at -18 °C for at least 10 days, demonstrating the surface modification and NO release capabilities are stable over time. The ability to tune polymer surface properties while maintaining bulk properties and NO release properties, and the stability of those properties under refrigerated conditions, represents a unique approach toward creating enhanced therapeutic biopolymers.

  20. Optical properties of nanocrystalline potassium lithium niobate in the glass system (100-x) TeO2-x(1.5K2O-Li2O-2.5Nb2O5).

    PubMed

    Ahamad, M Niyaz; Varma, K B R

    2009-08-01

    Optically clear glasses of various compositions in the system (100-x) TeO2-x(1.5K2O-Li2O-2.5Nb2O5) (2 < or = x < or = 12, in molar ratio) were prepared by the melt-quenching technique. The glassy nature of the as-quenched samples was established via differential scanning calorimetry (DSC). The amorphous and the crystalline nature of the as-quenched and heat-treated samples were confirmed by the X-ray powder diffraction and transmission electron microscopic (TEM) studies. Transparent glasses comprising potassium lithium niobate (K3Li2Nb5O15) microcrystallites on the surface and nanocrystallites within the glass were obtained by controlled heat-treatment of the as-quenched glasses just above the glass transition temperature (T(g)). The optical transmission spectra of these glasses and glass-crystal composites of various compositions were recorded in the 200-2500 nm wavelength range. Various optical parameters such as optical band gap, Urbach energy, refractive index were determined. Second order optical non-linearity was established in the heat-treated samples by employing the Maker-Fringe method.

  1. Effect of sodium selenite on chosen anti- and pro-oxidative parameters in rats treated with lithium: A pilot study.

    PubMed

    Musik, Irena; Kocot, Joanna; Kiełczykowska, Małgorzata

    2015-06-01

    Selenium is an essential element of antioxidant properties. Lithium is widely used in medicine but its administration can cause numerous side effects including oxidative stress. The present study aimed at evaluating if sodium selenite could influence chosen anti- and pro-oxidant parameters in rats treated with lithium. The experiment was performed on four groups of Wistar rats: I (control) - treated with saline; II (Li) - treated with lithium (2.7 mgLi/kg b.w. as Li2CO3), III (Se) - treated with selenium (0.5 mgSe/kg b.w. as Na2SeO3), IV (Li+Se) - treated with Li2CO3 and Na2SeO3 together at the same doses as in group II and III, respectively. All treatments were performed by stomach tube for three weeks in form of water solutions. The following anti- and pro-oxidant parameters: total antioxidant status (TAS) value, catalase (CAT) activity, concentrations of ascorbic acid (AA) and malonyldialdehyde (MDA) in plasma as well as whole blood superoxide dismutase (SOD) and glutathione peroxidase (GPx) activities were measured. Selenium given alone markedly enhanced whole blood GPx and diminished plasma CAT vs. Lithium significantly decreased plasma CAT and slightly increased AA vs. Selenium co-administration restored these parameters to the values observed in control animals. Furthermore, selenium co-administration significantly increased GPx in Li-treated rats. All other parameters (TAS, SOD and MDA) were not affected by lithium and/or selenium. Further research seems to be warranted to decide if application of selenium as an adjuvant in lithium therapy is worth considering. Copyright © 2014 Institute of Pharmacology, Polish Academy of Sciences. Published by Elsevier Urban & Partner Sp. z o.o. All rights reserved.

  2. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  3. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation.

    PubMed

    Ercan, Utku K; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D; Joshi, Suresh G

    2016-02-02

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation.

  4. New ZrO2/Al2O3 Nanocomposite Fabricated from Hybrid Nanoparticles Prepared by CO2 Laser Co-Vaporization

    PubMed Central

    Bartolomé, José F.; Smirnov, Anton; Kurland, Heinz-Dieter; Grabow, Janet; Müller, Frank A.

    2016-01-01

    Alumina toughened zirconia (ATZ) and zirconia toughened alumina (ZTA) are currently the materials of choice to meet the need for tough, strong, and bioinert ceramics for medical devices. However, the mechanical properties of ZrO2/Al2O3 dispersion ceramics could be considerably increased by reducing the corresponding grain sizes and by improving the homogeneity of the phase dispersion. Here, we prepare nanoparticles with an intraparticular phase distribution of Zr(1−x)AlxO(2−x/2) and (γ-, δ-)Al2O3 by the simultaneous gas phase condensation of laser co-vaporized zirconia and alumina raw powders. During subsequent spark plasma sintering the zirconia defect structures and transition alumina phases transform to a homogeneously distributed dispersion of tetragonal ZrO2 (52.4 vol%) and α-Al2O3 (47.6 vol%). Ceramics sintered by spark plasma sintering are completely dense with average grain sizes in the range around 250 nm. Outstanding mechanical properties (flexural strength σf = 1500 MPa, fracture toughness KIc = 6.8 MPa m1/2) together with a high resistance against low temperature degradation make these materials promising candidates for next generation bioceramics in total hip replacements and for dental implants. PMID:26846310

  5. New ZrO2/Al2O3 Nanocomposite Fabricated from Hybrid Nanoparticles Prepared by CO2 Laser Co-Vaporization

    NASA Astrophysics Data System (ADS)

    Bartolomé, José F.; Smirnov, Anton; Kurland, Heinz-Dieter; Grabow, Janet; Müller, Frank A.

    2016-02-01

    Alumina toughened zirconia (ATZ) and zirconia toughened alumina (ZTA) are currently the materials of choice to meet the need for tough, strong, and bioinert ceramics for medical devices. However, the mechanical properties of ZrO2/Al2O3 dispersion ceramics could be considerably increased by reducing the corresponding grain sizes and by improving the homogeneity of the phase dispersion. Here, we prepare nanoparticles with an intraparticular phase distribution of Zr(1-x)AlxO(2-x/2) and (γ-, δ-)Al2O3 by the simultaneous gas phase condensation of laser co-vaporized zirconia and alumina raw powders. During subsequent spark plasma sintering the zirconia defect structures and transition alumina phases transform to a homogeneously distributed dispersion of tetragonal ZrO2 (52.4 vol%) and α-Al2O3 (47.6 vol%). Ceramics sintered by spark plasma sintering are completely dense with average grain sizes in the range around 250 nm. Outstanding mechanical properties (flexural strength σf = 1500 MPa, fracture toughness KIc = 6.8 MPa m1/2) together with a high resistance against low temperature degradation make these materials promising candidates for next generation bioceramics in total hip replacements and for dental implants.

  6. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  7. Photocatalytic characteristic and photodegradation kinetics of toluene using N-doped TiO2 modified by radio frequency plasma.

    PubMed

    Shie, Je-Lueng; Lee, Chiu-Hsuan; Chiou, Chyow-San; Chen, Yi-Hung; Chang, Ching-Yuan

    2014-01-01

    This study investigates the feasibility of applications of the plasma surface modification of photocatalysts and the removal of toluene from indoor environments. N-doped TiO2 is prepared by precipitation methods and calcined using a muffle furnace (MF) and modified by radio frequency plasma (RF) at different temperatures with light sources from a visible light lamp (VLL), a white light-emitting diode (WLED) and an ultraviolet light-emitting diode (UVLED). The operation parameters and influential factors are addressed and prepared for characteristic analysis and photo-decomposition examination. Furthermore, related kinetic models are established and used to simulate the experimental data. The characteristic analysis results show that the RF plasma-calcination method enhanced the Brunauer Emmett Teller surface area of the modified photocatalysts effectively. For the elemental analysis, the mass percentages of N for the RF-modified photocatalyst are larger than those of MF by six times. The aerodynamic diameters of the RF-modifiedphotocatalyst are all smaller than those of MF. Photocatalytic decompositions of toluene are elucidated according to the Langmuir-Hinshelwood model. Decomposition efficiencies (eta) of toluene for RF-calcined methods are all higher than those of commercial TiO2 (P25). Reaction kinetics ofphoto-decomposition reactions using RF-calcined methods with WLED are proposed. A comparison of the simulation results with experimental data is also made and indicates good agreement. All the results provide useful information and design specifications. Thus, this study shows the feasibility and potential use of plasma modification via LED in photocatalysis.

  8. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    PubMed

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  9. Oxygen plasma treatment of HKUST-1 for porosity retention upon exposure to moisture.

    PubMed

    Bae, Jaeyeon; Jung, Jin-Woo; Park, Hyo Yul; Cho, Chang-Hee; Park, Jinhee

    2017-11-07

    Despite their remarkable properties, metal-organic frameworks (MOFs) present vulnerable structures that are sensitive to moisture; therefore, their application to real field situations is challenging. Herein, an O 2 plasma technique was introduced as a new method for the activation and protection of porosity in HKUST-1. In an unprecedented manner, O 2 plasma-treated HKUST-1 retains its porosity after a long exposure to moisture as compared to pristine HKUST-1. Porosity retention was examined by N 2 adsorption/desorption measurements of non-activated HKUST-1 after exposure to moisture.

  10. Radicals mediated magnetism in Ar plasma treated high-density polyethylene

    NASA Astrophysics Data System (ADS)

    Orendáč, M.; Čižmár, E.; Kažiková, V.; Orendáčová, A.; Řezníčková, A.; Kolská, Z.; Švorčík, V.

    2018-05-01

    Electron-spin resonance of high-density polyethylene treated by Ar plasma at 300 K was performed in X-band at temperatures from 2.1 K to 290 K. The observed spectra suggest presence of allyl radicals, whereas the central peak may be attributed to polyenyl radicals or dangled bonds. Pronounced narrowing of the resonance line observed above glassy temperature of polyethylene may be ascribed to thermally activated motional effect with the activation energy Ea /kB = 160 K. The absence of strong exchange interactions is suggested by negligible exchange narrowing found at 2.1 K. The suggestion is supported by the analysis of the temperature dependence of the intensity at low temperatures, which is explicable assuming the coexistence of non-interacting radicals and S = 1/2 dimers with a distribution of antiferromagnetic couplings varying from 2 K to nominally 25 K.

  11. Influence of O2 or H2O in a plasma jet and its environment on plasma electrical and biochemical performances

    NASA Astrophysics Data System (ADS)

    Adhikari, Ek R.; Samara, Vladimir; Ptasinska, Sylwia

    2018-05-01

    Because environmental conditions, such as room temperature and humidity, fluctuate arbitrarily, effects of atmospheric pressure plasma jets (APPJs) used in medical applications operating at various places and time might vary. Therefore, understanding the possible effects of air components in and outside APPJs is essential for clinical use, which requires reproducibility of plasma performance. These air components can influence the formation of reactive species in the APPJ, and the type and amount of these species formed depend on the feed gas inside the APPJ and the plasma jet environment. In this study, we monitored changes in plasma current and power, as well as in the level of DNA damage attributable to plasma irradiation, by adjusting the fraction of oxygen and water vapor in the plasma jet environment and feed gas. Here, DNA was used as a molecular probe to identify chemical changes that occurred in the plasma jet under these various environmental conditions. The damaged and undamaged fractions of DNA were quantified using agarose gel electrophoresis. We obtained an optimal amount of oxygen or water vapor in the plasma jet environment, as well as in the feed gas, which increased the level of DNA damage significantly. This increase can be attributed primarily to the formation of reactive species caused by water and oxygen decomposition in the APPJ detected with mass spectrometry. Moreover, we observed that the plasma power remained the same or decreased when gas was added to the jet environment or the feed gas, respectively, but in both cases, DNA damage increased. This indicates the superiority of plasma chemistry over the electrical power applied for APPJ ignition of the plasma sources used in medical applications.

  12. Potential Alternatives for Advanced Energy Material Processing in High Performance Li-ion Batteries (LIBs) via Atmospheric Pressure Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Duh, Jenq-Gong; Chuang, Shang-I.; Lan, Chun-Kai; Yang, Hao; Chen, Hsien-Wei

    2015-09-01

    A new processing technique by atmospheric pressure plasma (APP) jet treatment of LIBs was introduced. Ar/N2 plasma enhanced the high-rate anode performance of Li4Ti5O12. Oxygen vacancies were discovered and nitrogen doping were achieved by the surface reaction between pristine Li4Ti5O12 and plasma reactive species (N* and N2+). Electrochemical impedance spectra confirm that plasma modification increases Li ions diffusivity and reduces internal charge-transfer resistance, leading to a superior capacity (132 mAh/g) and excellent stability with negligible capacity decay over 100 cycles under 10C rate. Besides 2D material surface treatment, a specially designed APP generator that are feasible to modify 3D TiO2 powders is proposed. The rate capacity of 20 min plasma treated TiO2 exhibited 20% increment. Plasma diagnosis revealed that excited Ar and N2 was contributed to TiO2 surface reduction as companied by formation of oxygen vacancy. A higher amount of oxygen vacancy increased the chance for excited nitrogen doped onto surface of TiO2 particle. These findings promote the understanding of APP on processing anode materials in high performance LIBs.

  13. Hydrophilic property of 316L stainless steel after treatment by atmospheric pressure corona streamer plasma using surface-sensitive analyses

    NASA Astrophysics Data System (ADS)

    Al-Hamarneh, Ibrahim; Pedrow, Patrick; Eskhan, Asma; Abu-Lail, Nehal

    2012-10-01

    Surgical-grade 316L stainless steel (SS 316L) had its surface hydrophilic property enhanced by processing in a corona streamer plasma reactor using O2 gas mixed with Ar at atmospheric pressure. Reactor excitation was 60 Hz ac high-voltage (0-10 kVRMS) applied to a multi-needle-to-grounded screen electrode configuration. The treated surface was characterized with a contact angle tester. Surface free energy (SFE) for the treated stainless steel increased measurably compared to the untreated surface. The Ar-O2 plasma was more effective in enhancing the SFE than Ar-only plasma. Optimum conditions for the plasma treatment system used in this study were obtained. X-ray photoelectron spectroscopy (XPS) characterization of the chemical composition of the treated surfaces confirms the existence of new oxygen-containing functional groups contributing to the change in the hydrophilic nature of the surface. These new functional groups were generated by surface reactions caused by reactive oxidation of substrate species. Atomic force microscopy (AFM) images were generated to investigate morphological and roughness changes on the plasma treated surfaces. The aging effect in air after treatment was also studied.

  14. Oxide-mediated recovery of field-effect mobility in plasma-treated MoS2

    PubMed Central

    Jadwiszczak, Jakub; O’Callaghan, Colin; Zhou, Yangbo; Fox, Daniel S.; Weitz, Eamonn; Keane, Darragh; Cullen, Conor P.; O’Reilly, Ian; Downing, Clive; Shmeliov, Aleksey; Maguire, Pierce; Gough, John J.; McGuinness, Cormac; Ferreira, Mauro S.; Bradley, A. Louise; Boland, John J.; Duesberg, Georg S.; Nicolosi, Valeria; Zhang, Hongzhou

    2018-01-01

    Precise tunability of electronic properties of two-dimensional (2D) nanomaterials is a key goal of current research in this field of materials science. Chemical modification of layered transition metal dichalcogenides leads to the creation of heterostructures of low-dimensional variants of these materials. In particular, the effect of oxygen-containing plasma treatment on molybdenum disulfide (MoS2) has long been thought to be detrimental to the electrical performance of the material. We show that the mobility and conductivity of MoS2 can be precisely controlled and improved by systematic exposure to oxygen/argon plasma and characterize the material using advanced spectroscopy and microscopy. Through complementary theoretical modeling, which confirms conductivity enhancement, we infer the role of a transient 2D substoichiometric phase of molybdenum trioxide (2D-MoOx) in modulating the electronic behavior of the material. Deduction of the beneficial role of MoOx will serve to open the field to new approaches with regard to the tunability of 2D semiconductors by their low-dimensional oxides in nano-modified heterostructures. PMID:29511736

  15. Chlorobenzene degeradation by non-thermal plasma combined with EG-TiO2/ZnO as a photocatalyst: Effect of photocatalyst on CO2 selectivity and byproducts reduction.

    PubMed

    Ghorbani Shahna, Farshid; Bahrami, Abdulrahman; Alimohammadi, Iraj; Yarahmadi, Rassuol; Jaleh, Babak; Gandomi, Mastaneh; Ebrahimi, Hossein; Ad-Din Abedi, Kamal

    2017-02-15

    The non-thermal plasma (NTP) technique, which suffers from low selectivity in complete oxidation of volatile organic compounds to CO 2 and H 2 O, creates unwanted and harmful byproducts. NTP in concert with photocatalyst can resolve this limitation due to additional oxidation. TiO 2 and ZnO nanoparticles were coated on the surface of the expanded graphite and placed downstream of the NTP reactor under UV light. In this study, to compare the performance of NTP and the combined system, chlorobenzene removal, selectivity of CO 2 and byproducts formation were investigated. The results showed that the combined system enhanced both the removal efficiency and CO 2 selectivity. The output gas of the NTP reactor contained chlorobenzene, phosgene, O 3 , NO, NO 2 , CO, CO 2 , HCL and CL. The bulk of these byproducts was oxidized on the surface of the nanocomposite; as a result, the content of the byproducts in the output gas of the combined system decreased dramatically. The removal efficiency and CO 2 selectivity increased by rising the applied voltage and residence time because the collision between active species and pollutant molecules increases. Based on these results, the combined system is preferred due to a higher performance and lower formation of harmful byproducts. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Biofouling development on plasma treated samples versus layers coated samples

    NASA Astrophysics Data System (ADS)

    Hnatiuc, B.; Exnar, P.; Sabau, A.; Spatenka, P.; Dumitrache, C. L.; Hnatiuc, M.; Ghita, S.

    2016-12-01

    Biofouling is the most important cause of naval corrosion. In order to reduce the Biofouling development on naval materials as steel or resin, different new methods have been tested. These methods could help to follow the new IMO environment reglementations and they could replace few classic operations before the painting of the small ships. The replacement of these operations means a reduction in maintenance costs. Their action must influence especially the first two steps of the Biofouling development, called Microfouling, that demand about 24 hours. This work presents the comparative results of the Biofouling development on two different classic naval materials, steel and resin, for three treated samples, immersed in sea water. Non-thermal plasma, produced by GlidArc technology, is applied to the first sample, called GD. The plasma treatment was set to 10 minutes. The last two samples, called AE9 and AE10 are covered by hydrophobic layers, prepared from a special organic-inorganic sol synthesized by sol-gel method. Theoretically, because of the hydrophobic properties, the Biofouling formation must be delayed for AE9 and AE10. The Biofouling development on each treated sample was compared with a witness non-treated sample. The microbiological analyses have been done for 24 hours by epifluorescence microscopy, available for one single layer.

  17. Generation of oxyradicals (O2. and H2O2), mitochondrial activity and induction of apoptosis of PBMC of Cyprinus carpio carpio treated in vivo with halomethanes and with recombinant HSP60 kDa and with LPS of Klebsiella pneumoniae.

    PubMed

    Uraga-Tovar, D Italibi; Domínguez-López, M Lilia; Madera-Sandoval, Ruth L; Nájera-Martínez, Minerva; García-Latorre, Ethel; Vega-López, Armando

    2014-10-01

    Halomethanes (HM) can be immunotoxic in mammals; however, in the fish immune system HM effects are unknown. In the current study, we evaluated the mitochondrial activity (MA) by MTT, induction of apoptosis by SubG0 technique and quantified serum ROS concentration (O2. and H2O2) and ROS production in PBMC of Cyprinus carpio carpio treated i.p. with CH2Cl2, CHCl3 and BrCHCl2 (0.004-40.0 mg/kg) for 96 h. Positive controls were recombinant heat shock protein of 60 kDa (rHSP60 kDa) of Klebsiella pneumoniae and its LPS. In addition, for in vitro PBMC cultures, two culture media and two sources of sera were tested. Both positive controls increased the MA more than 4-fold as well as the production of O2. (26-fold) and H2O2 (5-fold) compared to their controls. HM induced different effects on MA, ROS production and an induction of apoptosis, depending on the chlorination patterns and the dose; however, a systemic damage prevails. To fish treated with CH2Cl2, the apoptosis was related with serum ROS concentration and with MA. In contrast, in fish dosed with CHCl3 relationships were not found, deducing a systemic damage. However, in fish treated with BrCHCl2, serum O2. concentration and in vitro ROS generation performed by PBMC were involved in the induction of apoptosis of these cells but not with MA suggesting also immunotoxic effects. The current study demonstrated that HMs are immunomodulators increasing an acute inflammatory response and that rHSP60kDA of K. pneumoniae and its LPS are appropriate antigens to assess the immune response of C. c. carpio.

  18. In vitro evaluation of bioactivity of SiO2-CaO-P2O5-Na2O-CaF2-ZnO glass-ceramics

    NASA Astrophysics Data System (ADS)

    Riaz, Madeeha; Zia, Rehana; Saleemi, Farhat; Bashir, Farooq; Hossain, Tousif; Kayani, Zohra

    2014-09-01

    Zinc is an essential trace element that stimulates bone formation but it is also known as an inhibitor of apatite crystal growth. In this work addition of ZnO to SiO2-CaO-P2O5-Na2O-CaF2 glass-ceramic system was made by conventional melt-quenching technique. DSC curves showed that the addition of ZnO moved the endothermic and exothermic peaks to lower temperatures. X-ray diffraction analysis did not reveal any additional phase caused by ZnO addition and showed the presence of wollastonite and hydroxyapatite crystalline phases only in all the glass-ceramic samples. As bio-implant apatite forming ability is an essential condition, the surface reactivity of the prepared glass-ceramic specimens was studied in vitro in Kokubo's simulated body fluid (SBF) [1] with ion concentration nearly equal to human blood plasma for 30 days at 37 °C under static condition. Atomic absorption spectroscopy (AAS) was used to study the changes in element concentrations in soaking solutions and XRD, FT-IR and SEM were used to elucidate surface properties of prepared glass-ceramics, which confirmed the formation of HCAp on the surface of all glass-ceramics. It was found that the addition of ZnO had a positive effect on bioactivity of glass-ceramics and made it a potential candidate for restoration of damaged bones.

  19. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation

    PubMed Central

    Ercan, Utku K.; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D.; Joshi, Suresh G.

    2016-01-01

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation. PMID:26832829

  20. Escherichia coli cellular responses to exposure to atmospheric-pressure dielectric barrier discharge plasma-treated N-acetylcysteine solution.

    PubMed

    Ercan, U K; Sen, B; Brooks, A D; Joshi, S G

    2018-04-06

    To understand the underlying cellular mechanisms during inactivation of Escherichia coli in response to antimicrobial solution of nonthermal plasma-activated N-acetylcysteine (NAC). The recommended techniques were used to demonstrate E. coli cellular and transcriptomic changes caused associated with peroxynitrite and compared with plasma-treated NAC solution. The findings demonstrate that E. coli cells respond to plasma-treated NAC and undergo severe oxidative and nitrosative stress, and leading to stress-induced damages to different components of bacterial cells, which includes loss of membrane potential, formation of oxidized glutathione (GSSG), formation of nitrotyrosine (a known marker of nitrosative stress), DNA damage, and generated a prominent pool of peroxynitrite. Reverse-transcriptase (RT)-polymerase chain reaction analysis of reactive nitrogen species (RNS) responsive genes indicated their differential expressions. For the first time, we report that the plasma-treated NAC solution activates predominantly nitrosative stress-responsive genes in E. coli and is responsible for cell death. The reactive species generated in solutions by nonthermal plasma treatment depends on the type of solution or solvent used. The plasma-treated NAC solution rapidly inactivates E. coli, mostly involving highly RNS generated in NAC solution, and has high potential as disinfectant. © 2018 The Society for Applied Microbiology.

  1. Synthesis of KMnO4-treated magnetic graphene oxide nanocomposite (Fe3O4@GO/MnO x ) and its application for removing of Cu2+ ions from aqueous solution

    NASA Astrophysics Data System (ADS)

    Zhang, Huining; Chang, Qing; Jiang, Yu; Li, Huili; Yang, Yahong

    2018-04-01

    A magnetic KMnO4-treated graphene-oxide-based nanocomposite, Fe3O4@GO/MnO x , was synthesized through a facile hydrothermal technique. The properties of the Fe3O4@GO/MnO x nanocomposite were characterized by SEM, XRD and FTIR. Batch experiments showed that the maximum adsorption capacity calculated by the Langmuir model for Cu2+ was 62.65 mg g-1 at T = 303.15 K. Kinetics and XPS analysis also revealed that the mechanism of Cu2+ removal was mainly a chemical adsorption process involving both the MnO x particles and oxygen functional groups. The prepared Fe3O4@GO/MnO x was found to be an ideal adsorbent for the removal of Cu2+ ions due to the MnO x particle coating, and was easily separated using a magnetic field after utilization. Reusability studies imply that Fe3O4@GO/MnO x is a suitable material for heavy metal ion removal from aqueous solutions in real applications.

  2. Influence of surface microroughness by plasma deposition and chemical erosion followed by TiO2 coating upon anticoagulation, hydrophilicity, and corrosion resistance of NiTi alloy stent.

    PubMed

    Wang, Gui-Xue; Shen, Yang; Zhang, He; Quan, Xue-Jun; Yu, Qing-Song

    2008-06-15

    Two different surface modification techniques were used to change the surface morphology and roughness of stents at the micrometer level, and eventually improve their surface adhesion properties with respect to endothelial cells. One was chemical erosion followed by sol-gel TiO(2) coating, and the other was low temperature gas plasma deposition. After surface modification, the biocompatibility including the anticoagulation properties, hydrophilicity, and corrosion resistance of these stents was evaluated. It was found that both techniques could change the surface morphology of the stents with microroughness. In comparison with the control, the treated NiTi alloy intravascular stents showed increased surface hydrophilicity and enhanced anticoagulation properties. However, the corrosion properties of the stents were not improved significantly.

  3. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  4. Reductions in maize root-tip elongation by salt and osmotic stress do not correlate with apoplastic O2*- levels.

    PubMed

    Bustos, Dolores; Lascano, Ramiro; Villasuso, Ana Laura; Machado, Estela; Senn, María Eugenia; Córdoba, Alicia; Taleisnik, Edith

    2008-10-01

    Experimental evidence in the literature suggests that O(2)(*-) produced in the elongation zone of roots and leaves by plasma membrane NADPH oxidase activity is required for growth. This study explores whether growth changes along the root tip induced by hyperosmotic treatments in Zea mays are associated with the distribution of apoplastic O(2)(*-). Stress treatments were imposed using 150 mm NaCl or 300 mM sorbitol. Root elongation rates and the spatial distribution of growth rates in the root tip were measured. Apoplastic O(2)(*-) was determined using nitro blue tetrazolium, and H(2)O(2) was determined using 2', 7'-dichlorofluorescin. In non-stressed plants, the distribution of accelerating growth and highest O(2)(*-) levels coincided along the root tip. Salt and osmotic stress of the same intensity had similar inhibitory effects on root elongation, but O(2)(*-) levels increased in sorbitol-treated roots and decreased in NaCl-treated roots. The lack of association between apoplastic O(2)(*-) levels and root growth inhibition under hyper-osmotic stress leads us to hypothesize that under those conditions the role of apoplastic O(2)(*-) may be to participate in signalling processes, that convey information on the nature of the substrate that the growing root is exploring.

  5. Insights on the High-Temperature Operational Limits of ZrO2-Y2O3 TBCs Manufactured via Air Plasma Spray

    NASA Astrophysics Data System (ADS)

    Lima, Rogerio S.; Marple, Basil R.

    2017-03-01

    The effective high-temperature operation limit of a ZrO2-7-8 wt.%Y2O3 (YSZ) thermal barrier coating (TBC) manufactured via air plasma spray (APS) is considered to be 1300 °C. This is related to the metastable tetragonal t'-phase formed during the rapid quenching of the YSZ particles during spraying. The t'-phase transforms into the equilibrium tetragonal and cubic phases at temperatures ≥ 1300 °C, which can lead to the formation of the monoclinic phase of YSZ upon cooling to room temperature. This formation of the monoclinic phase is accompanied by a volume expansion that leads to TBC failure due to extensive micro-cracking. To further investigate this limitation, an APS YSZ TBC was sprayed on a CMSX-4 substrate. By using a thermal (laser) gradient cyclic testing, a temperature gradient was generated across the TBC/substrate system. The YSZ T- front and substrate backside T- back temperature levels were 1500 and 1000 °C, respectively. In cycle conditions (5-min or 1-h hot and 2-min cool), no TBC failure has been observed. This behavior was partially attributed to the unexpected absence of the monoclinic phase of the YSZ in the cycled coatings. Although preliminary, these results are promising regarding increasing the effective high-temperature operational limits of APS YSZ TBCs.

  6. Spectroscopy Study of Ar + CO2 Plasmas in ASTRAL.

    NASA Astrophysics Data System (ADS)

    Munoz, Jorge; Boivin, Robert; Kamar, Ola; Loch, Stuart; Ballance, Connor

    2006-10-01

    A spectroscopy study of the ASTRAL (Auburn Steady sTate Research fAciLity) helicon plasma source running Ar + CO2 gas mix is presented. ASTRAL produces Ar plasmas: ne = 10^10 to 10^13 cm-3, Te = 2 to 10 eV and Ti = 0.03 to 0.5 eV. A series of 7 large coils produce an axial magnetic field up to 1.3 kGauss. A fractional helix antenna is used to introduce rf power up to 2 kWatt. A spectrometer which features a 0.33 m Criss-Cross monochromator and a CCD camera is used for this study. Very different plasmas are produced following the relative importance of CO2 in the gas mixture. At low CO2 concentration, the plasmas are similar to those obtained with pure Ar with weak CO2, CO2^+, CO and CO^+ bands. The usual blue plasma core associated with intense Ar II transitions is observed with however a significant white glow coming from the outer plasma regions. At higher CO2 concentration, the plasma becomes essentially molecular and can be described as an intense white plasma column. Molecular dissociative processes associated with the production of strong C and O atomic lines are observed under specific plasma conditions. The atomic spectral lines are compared with ADAS modeling results. This study indicates the possible advantages of using a helicon source to control the CO2 plasma chemistry for industrial applications.

  7. Microdischarge Sources of O2(singlet Delta)

    DTIC Science & Technology

    2006-07-15

    A two-dimensional model of the MCSD has been developed which includes the details of the Ar/O2 plasma chemistry and yields a self-consistent...the details of the plasma chemistry in oxygen mixtures must be taken into account to predict correctly the plasma conductivity. This must be done

  8. Carbon nanotube TiO2 hybrid films for detecting traces of O2

    NASA Astrophysics Data System (ADS)

    Llobet, E.; Espinosa, E. H.; Sotter, E.; Ionescu, R.; Vilanova, X.; Torres, J.; Felten, A.; Pireaux, J. J.; Ke, X.; Van Tendeloo, G.; Renaux, F.; Paint, Y.; Hecq, M.; Bittencourt, C.

    2008-09-01

    Hybrid titania films have been prepared using an adapted sol-gel method for obtaining well-dispersed hydrogen plasma-treated multiwall carbon nanotubes in either pure titania or Nb-doped titania. The drop-coating method has been used to fabricate resistive oxygen sensors based on titania or on titania and carbon nanotube hybrids. Morphology and composition studies have revealed that the dispersion of low amounts of carbon nanotubes within the titania matrix does not significantly alter its crystallization behaviour. The gas sensitivity studies performed on the different samples have shown that the hybrid layers based on titania and carbon nanotubes possess an unprecedented responsiveness towards oxygen (i.e. more than four times higher than that shown by optimized Nb-doped TiO2 films). Furthermore, hybrid sensors containing carbon nanotubes respond at significantly lower operating temperatures than their non-hybrid counterparts. These new hybrid sensors show a strong potential for monitoring traces of oxygen (i.e. <=10 ppm) in a flow of CO2, which is of interest for the beverage industry.

  9. Controlled cytotoxicity of plasma treated water formulated by open-air hybrid mode discharge

    NASA Astrophysics Data System (ADS)

    Lu, P.; Boehm, D.; Cullen, P.; Bourke, P.

    2017-06-01

    Plasma treated liquids (PTLs) provide a means to convey a broad range of effects of relevance for food, environmental, or clinical decontamination, plant growth promotion, and therapeutic applications. Devising the reactive species ingredients and controlling the biological response of PTLs are of great interest. We demonstrate an approach by using an open-air hybrid mode discharge (HMD) to control the principal reactive species composition within plasma treated water (PTW), which is then demonstrated to regulate the cytotoxicity of PTW. The cytotoxicity of HMD produced PTW demonstrates a non-monotonic change over the discharge time. Although hydrogen peroxide and nitrite are not the sole effectors for cell death caused by PTW, using them as principal reactive species indicators, cytotoxicity can be removed and/or enhanced by formulating their concentrations and composition through adjusting the discharge mode and time on-line during PTW generation without the addition of additional working gas or chemical scavengers. This work demonstrates that a hybrid mode discharge can be employed to generate a PTW formulation to control a biological response such as cytotoxicity. This provides insights into how plasma treated liquids may be harnessed for biological applications in a specific and controllable manner.

  10. Role of Y2O3, CaO, MgO additives on structural and microstructural behavior of zirconia/mullite aggregates

    NASA Astrophysics Data System (ADS)

    Mishra, D. K.; Prusty, Sasmita; Mohapatra, B. K.; Singh, S. K.; Behera, S. N.

    2012-07-01

    Zirconia mullite (MUZ), Y2O3-MUZ, CaO-MUZ and MgO-MUZ composites, synthesized through plasma fusion technique, are becoming important due to their commercial scale of production within five minutes of plasma treatment from sillimanite, zircon and alumina mixture. The X-ray diffraction studies reveal the monoclinic zirconia phase in MUZ composite whereas mixed monoclinic, tetragonal and cubic phases of zirconia have been observed in Y2O3, CaO, MgO added MUZ composites. The Y2O3, CaO and MgO additives act as sintering aids to favour the transformation and stabilisation of tetragonal and cubic zirconia phases at room temperature. These additives also play a key role in the development of various forms of microstructure to achieve dense MUZ composites.

  11. Plasma radiofrequency preceded by pressure recording enhances success for treating sleep-related breathing disorders.

    PubMed

    Tvinnereim, Magne; Mitic, Svetislav; Hansen, Rolf K

    2007-04-01

    Sleep-related breathing disorders (SRBD) that cannot be treated conservatively are commonly treated using uvulopalatopharyngoplasty, although success rates are generally less than 70%. The purpose of this study was to assess a plasma-mediated radiofrequency (RF)-based coblation assisted upper airway procedure (CAUP) to treat SRBD patients determined to have obstruction localized to the upper (mid)-pharyngeal region. Prospective case series (n = 40). Six patients had socially bothersome snoring, and 34 patients had mild to moderate sleep apnea (apnea/hypopnea index [AHI] <20), with the primary level of obstruction (>50%) within the upper pharyngeal region as determined using whole night recordings, including airway pressure fluctuation monitoring (ApneaGraph, MRA-Medical Ltd, Gloucestershire, UK). CAUP consisted of making a lateral palatal incision, ablating three upward channels on each side of the midline (fan-shaped) into the soft palate using a plasma mediated RF-based device (ArthroCare Corporation, Austin, TX), and performing a partial uvulectomy. Clinical outcomes included the Epworth Sleepiness Scale (ESS), partner rating of snoring using a visual analogue scale (VAS), and night-time apnea and hypopnea events (AHI, hypopnea index [HI], apnea index [AI]). Patients were 28 to 68 (46 +/- 12) years old; 28 (70%) were male. Preoperatively, clinical assessment scores (median +/- interquartile range) were as follows: ESS (11.0 +/- 3.0), VAS (8.15 +/- 1.00), AHI (9.58 +/- 5.58), HI (9.00 +/- 5.29), AI (0.333 +/- 0.625). After CAUP, no postoperative scarring, fibrosis, or any other clinically significant side effects were observed. Postoperatively (9.1 +/- 1.5; 7-15 mo), ESS (4.0 +/- 1.0), VAS (2.70 +/- 1.38), AHI (3.75 +/- 2.92), HI (3.58 +/- 2.50), and AI (0.167 +/- 0.167) were significantly improved (P < .001). CAUP preceded by site-specific obstruction diagnosis using pressure recording is a well-tolerated outpatient treatment that is well suited for treating

  12. High-intensity interval training lowers blood pressure and improves apelin and NOx plasma levels in older treated hypertensive individuals.

    PubMed

    Izadi, Mohammad Reza; Ghardashi Afousi, Alireza; Asvadi Fard, Maryam; Babaee Bigi, Mohammad Ali

    2018-02-01

    Hypertension is the major risk factor for cardiovascular diseases and is one of the primary causes of morbidity and mortality worldwide. Apelin levels and NO bioavailability are impaired in older hypertensive patients. Exercise is an effective intervention for treating hypertension. Our purpose was to evaluate the effect of high-intensity interval training on blood pressure, apelin, and NOx plasma levels in older treated hypertensive individuals. Thirty treated hypertensive subjects (61.70 ± 5.78 years, 17 males, 13 females) were randomly divided into 6 weeks of high-intensity interval training (n = 15) and control (n = 15). The exercise training was conducted for three 35-min sessions a week (1.5-min interval at 85-90% of heart rate reserve [HRR] and 2 min active phase at 50-55% of HRR). Assessment of plasma apelin, nitrite/nitrate (NOx), and endothelin-1 (ET-1) was performed before and after the intervention. At the end of the study, apelin, and NOx plasma levels increased significantly in the high-intensity interval training (HIIT) group (P = 0.021, P = 0.003, respectively). Conversely, ET-1 plasma levels significantly decreased in the training group after the intervention (P = 0.015). Moreover, there was a positive correlation between the change of plasma apelin and change of plasma NOx (r = 0. 771, P = 0.0008). In addition, there was a negative correlation between the change of plasma ET-1, change of plasma apelin (r = - 0.595, P = 0.019), and variation of NOx (r = - 0.572, P = 0.025). This study indicates that, by increasing of apelin and NOx plasma levels, HIIT may be effective in reducing blood pressure.

  13. Influence of Different Defects in Vertically Aligned Carbon Nanotubes on TiO2 Nanoparticle Formation through Atomic Layer Deposition.

    PubMed

    Acauan, Luiz; Dias, Anna C; Pereira, Marcelo B; Horowitz, Flavio; Bergmann, Carlos P

    2016-06-29

    The chemical inertness of carbon nanotubes (CNT) requires some degree of "defect engineering" for controlled deposition of metal oxides through atomic layer deposition (ALD). The type, quantity, and distribution of such defects rules the deposition rate and defines the growth behavior. In this work, we employed ALD to grow titanium oxide (TiO2) on vertically aligned carbon nanotubes (VACNT). The effects of nitrogen doping and oxygen plasma pretreatment of the CNT on the morphology and total amount of TiO2 were systematically studied using transmission electron microscopy, Raman spectroscopy, and thermogravimetric analysis. The induced chemical changes for each functionalization route were identified by X-ray photoelectron and Raman spectroscopies. The TiO2 mass fraction deposited with the same number of cycles for the pristine CNT, nitrogen-doped CNT, and plasma-treated CNT were 8, 47, and 80%, respectively. We demonstrate that TiO2 nucleation is dependent mainly on surface incorporation of heteroatoms and their distribution rather than structural defects that govern the growth behavior. Therefore, selecting the best way to functionalize CNT will allow us to tailor TiO2 distribution and hence fabricate complex heterostructures.

  14. Optical and structural properties of plasma-treated Cordyceps bassiana spores as studied by circular dichroism, absorption, and fluorescence spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Geon Joon, E-mail: gjlee@kw.ac.kr; Sim, Geon Bo; Choi, Eun Ha

    To understand the killing mechanism of fungal spores by plasma treatment, the optical, structural, and biological properties of the insect pathogenic fungus Cordyceps bassiana spores were studied. A nonthermal atmospheric-pressure plasma jet (APPJ) was used to treat the spores in aqueous solution. Optical emission spectra of the APPJ acquired in air indicated emission peaks corresponding to hydroxyl radicals and atomic oxygen. When the APPJ entered the aqueous solution, additional reactive species were derived from the interaction of plasma radicals with the aqueous solution. Fluorescence and absorption spectroscopy confirmed the generation of hydroxyl radicals and hydrogen peroxide in the plasma-activated watermore » (PAW). Spore counting showed that plasma treatment significantly reduced spore viability. Absorption spectroscopy, circular dichroism (CD) spectroscopy, and agarose gel electrophoresis of the DNA extracted from plasma-treated spores showed a reduction in spore DNA content. The magnitude of the dip in the CD spectrum was lower in the plasma-treated spores than in the control, indicating that plasma treatment causes structural modifications and/or damage to cellular components. Tryptophan fluorescence intensity was lower in the plasma-treated spores than in the control, suggesting that plasma treatment modified cell wall proteins. Changes in spore viability and DNA content were attributed to structural modification of the cell wall by reactive species coming from the APPJ and the PAW. Our results provided evidence that the plasma radicals and the derived reactive species play critical roles in fungal spore inactivation.« less

  15. Optical and structural properties of plasma-treated Cordyceps bassiana spores as studied by circular dichroism, absorption, and fluorescence spectroscopy

    NASA Astrophysics Data System (ADS)

    Lee, Geon Joon; Sim, Geon Bo; Choi, Eun Ha; Kwon, Young-Wan; Kim, Jun Young; Jang, Siun; Kim, Seong Hwan

    2015-01-01

    To understand the killing mechanism of fungal spores by plasma treatment, the optical, structural, and biological properties of the insect pathogenic fungus Cordyceps bassiana spores were studied. A nonthermal atmospheric-pressure plasma jet (APPJ) was used to treat the spores in aqueous solution. Optical emission spectra of the APPJ acquired in air indicated emission peaks corresponding to hydroxyl radicals and atomic oxygen. When the APPJ entered the aqueous solution, additional reactive species were derived from the interaction of plasma radicals with the aqueous solution. Fluorescence and absorption spectroscopy confirmed the generation of hydroxyl radicals and hydrogen peroxide in the plasma-activated water (PAW). Spore counting showed that plasma treatment significantly reduced spore viability. Absorption spectroscopy, circular dichroism (CD) spectroscopy, and agarose gel electrophoresis of the DNA extracted from plasma-treated spores showed a reduction in spore DNA content. The magnitude of the dip in the CD spectrum was lower in the plasma-treated spores than in the control, indicating that plasma treatment causes structural modifications and/or damage to cellular components. Tryptophan fluorescence intensity was lower in the plasma-treated spores than in the control, suggesting that plasma treatment modified cell wall proteins. Changes in spore viability and DNA content were attributed to structural modification of the cell wall by reactive species coming from the APPJ and the PAW. Our results provided evidence that the plasma radicals and the derived reactive species play critical roles in fungal spore inactivation.

  16. Surface-wave-sustained plasma torch for water treatment

    NASA Astrophysics Data System (ADS)

    Marinova, P.; Benova, E.; Todorova, Y.; Topalova, Y.; Yotinov, I.; Atanasova, M.; Krcma, F.

    2018-02-01

    In this study the effects of water treatment by surface-wave-sustained plasma torch at 2.45 GHz are studied. Changes in two directions are obtained: (i) changes of the plasma characteristics during the interaction with the water; (ii) water physical and chemical characteristics modification as a result of the plasma treatment. In addition, deactivation of Gram positive and Gram negative bacteria in suspension are registered. A number of charged and excited particles from the plasma interact with the water. As a result the water chemical and physical characteristics such as the water conductivity, pH, H2O2 concentration are modified. It is observed that the effect depends on the treatment time, wave power, and volume of the treated liquid. At specific discharge conditions determined by the wave power, gas flow, discharge tube radius, thickness and permittivity, the surface-wave-sustained discharge (SWD) operating at atmospheric pressure in argon is strongly non-equilibrium with electron temperature T e much higher than the temperature of the heavy particles (gas temperature T g). It has been observed that SWD argon plasma with T g close to the room temperature is able to produce H2O2 in the water with high efficiency at short exposure times (less than 60 sec). The H2O2 decomposition is strongly dependant on the temperature thus the low operating gas temperature is crucial for the H2O2 production efficiency. After scaling up the device, the observed effects can be applied for the waste water treatment in different facilities. The innovation will be useful especially for the treatment of waters and materials for medical application.

  17. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    NASA Astrophysics Data System (ADS)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  18. Osteoconductive Potential of Barrier NanoSiO2 PLGA Membranes Functionalized by Plasma Enhanced Chemical Vapour Deposition

    PubMed Central

    Terriza, Antonia; Vilches-Pérez, Jose I.; de la Orden, Emilio; Yubero, Francisco; Gonzalez-Caballero, Juan L.; González-Elipe, Agustin R.; Vilches, José; Salido, Mercedes

    2014-01-01

    The possibility of tailoring membrane surfaces with osteoconductive potential, in particular in biodegradable devices, to create modified biomaterials that stimulate osteoblast response should make them more suitable for clinical use, hopefully enhancing bone regeneration. Bioactive inorganic materials, such as silica, have been suggested to improve the bioactivity of synthetic biopolymers. An in vitro study on HOB human osteoblasts was performed to assess biocompatibility and bioactivity of SiO2 functionalized poly(lactide-co-glycolide) (PLGA) membranes, prior to clinical use. A 15 nm SiO2 layer was deposited by plasma enhanced chemical vapour deposition (PECVD), onto a resorbable PLGA membrane. Samples were characterized by X-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and infrared spectroscopy (FT-IR). HOB cells were seeded on sterilized test surfaces where cell morphology, spreading, actin cytoskeletal organization, and focal adhesion expression were assessed. As proved by the FT-IR analysis of samples, the deposition by PECVD of the SiO2 onto the PLGA membrane did not alter the composition and other characteristics of the organic membrane. A temporal and spatial reorganization of cytoskeleton and focal adhesions and morphological changes in response to SiO2 nanolayer were identified in our model. The novedous SiO2 deposition method is compatible with the standard sterilization protocols and reveals as a valuable tool to increase bioactivity of resorbable PLGA membranes. PMID:24883304

  19. Electrooxidation as the anaerobic pre-treatment of fats: oleate conversion using RuO2 and IrO2 based anodes.

    PubMed

    Gonçalves, M; Alves, M M; Correia, J P; Marques, I P

    2008-11-01

    Electrochemical treatment of oleate using RuO2 and IrO2 type dimensionally stable anodes in alkaline medium was performed to develop a feasible anaerobic pre-treatment of fatty effluents. The results showed that the pre-treated solutions over RuO2 were faster degraded by anaerobic consortium than the raw oleate solutions or the electrolysed solutions using IrO2. In batch experiments carried out with pre-treated solutions over RuO2 (100-500mg/L), no lag phases were observed before the methane production onset. On the other hand, raw oleate and pre-treated oleate over IrO2 had originated lag phases of 0-140 and 0-210h, respectively. This study demonstrated that it is advantageous to apply the electrochemical treatment carried out on the RuO2 type DSA in order to achieve a faster biodegradation of lipid-containing effluent and consequently to obtain a faster methane production.

  20. Effects of annealing on the optical, structural, and chemical properties of TiO2 and MgF2 thin films prepared by plasma ion-assisted deposition.

    PubMed

    Woo, Seouk-Hoon; Hwangbo, Chang Kwon

    2006-03-01

    Effects of thermal annealing at 400 degrees C on the optical, structural, and chemical properties of TiO2 single-layer, MgF2 single-layer, and TiO2/MgF2 narrow-bandpass filters deposited by conventional electron-beam evaporation (CE) and plasma ion-assisted deposition (PIAD) were investigated. In the case of TiO2 films, the results show that the annealing of both CE and PIAD TiO2 films increases the refractive index slightly and the extinction coefficient and surface roughness greatly. Annealing decreases the thickness of CE TiO2 films drastically, whereas it does not vary that of PIAD TiO2 films. For PIAD MgF2 films, annealing increases the refractive index and decreases the extinction coefficient drastically. An x-ray photoelectron spectroscopy analysis suggests that an increase in the refractive index and a decrease in the extinction coefficient for PIAD MgF2 films after annealing may be related to the enhanced concentration of MgO in the annealed PIAD MgF2 films and the changes in the chemical bonding states of Mg 2p, F 1s, and O is. It is found that (TiO2/MgF2) multilayer filters, consisting of PIAD TiO2 and CE MgF2 films, are as deposited without microcracks and are also thermally stable after annealing.

  1. Improvement of interfacial adhesion and nondestructive damage evaluation for plasma-treated PBO and Kevlar fibers/epoxy composites using micromechanical techniques and surface wettability.

    PubMed

    Park, Joung-Man; Kim, Dae-Sik; Kim, Sung-Ryong

    2003-08-15

    Comparison of interfacial properties and microfailure mechanisms of oxygen-plasma treated poly(p-phenylene-2,6-benzobisoxazole (PBO, Zylon) and poly(p-phenylene terephthalamide) (PPTA, Kevlar) fibers/epoxy composites were investigated using a micromechanical technique and nondestructive acoustic emission (AE). The interfacial shear strength (IFSS) and work of adhesion, Wa, of PBO or Kevlar fiber/epoxy composites increased with oxygen-plasma treatment, due to induced hydrogen and covalent bondings at their interface. Plasma-treated Kevlar fiber showed the maximum critical surface tension and polar term, whereas the untreated PBO fiber showed the minimum values. The work of adhesion and the polar term were proportional to the IFSS directly for both PBO and Kevlar fibers. The microfibril fracture pattern of two plasma-treated fibers appeared obviously. Unlike in slow cooling, in rapid cooling, case kink band and kicking in PBO fiber appeared, whereas buckling in the Kevlar fiber was observed mainly due to compressive and residual stresses. Based on the propagation of microfibril failure toward the core region, the number of AE events for plasma-treated PBO and Kevlar fibers increased significantly compared to the untreated case. The results of nondestructive AE were consistent with microfailure modes.

  2. Electrical characterization of the flowing afterglow of N{sub 2} and N{sub 2}/O{sub 2} microwave plasmas at reduced pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Afonso Ferreira, J.; Stafford, L., E-mail: luc.stafford@umontreal.ca; Leonelli, R.

    2014-04-28

    A cylindrical Langmuir probe was used to analyze the spatial distribution of the number density of positive ions and electrons as well as the electron energy distribution function (EEDF) in the flowing afterglow of a 6 Torr N{sub 2} and N{sub 2}/O{sub 2} plasma sustained by a propagating electromagnetic surface wave in the microwave regime. In pure N{sub 2} discharges, ion densities were in the mid 10{sup 14} m{sup −3} in the pink afterglow and in the mid 10{sup 12} m{sup −3} early in the late afterglow. In both pink and late afterglows, the ion population was much higher than the electron population,more » indicating non-macroscopically neutral media. The EEDF was close to a Maxwellian with an electron temperature of 0.5 ± 0.1 eV, except in the pink afterglow where the temperature rose to 1.1 ± 0.2 eV. This latter behavior is ascribed to N{sub 2} vibration-vibration pumping in the pink afterglow that increases the concentration of high N{sub 2} vibrational states and thus rises the electron temperature by vibration-electron collisions. After addition of small amounts of O{sub 2} in the nominally pure N{sub 2} discharge, the charged particles densities and average electron energy first strongly increased and then decreased with increasing O{sub 2} concentration. Based on these data and the evolution of the N{sub 2}{sup +}(B) band emission intensities, it is concluded that a significant change in the positive ion composition of the flowing afterglow occurs, going from N{sub 2}{sup +} in nominally pure N{sub 2} discharges to NO{sup +} after addition of trace amounts of O{sub 2} in N{sub 2}.« less

  3. Method for treating electrolyte to remove Li.sub.2 O

    DOEpatents

    Tomczuk, Zygmunt; Miller, William E.; Johnson, Gerald K.; Willit, James L.

    1998-01-01

    A method of removing Li.sub.2 O present in an electrolyte predominantly of LiCl and KCl. The electrolyte is heated to a temperature not less than about 500.degree. C. and then Al is introduced into the electrolyte in an amount in excess of the stoichiometric amount needed to convert the Li.sub.2 O to a Li-Al alloy and lithium aluminate salt. The salt and aluminum are maintained in contact with agitation for a time sufficient to convert the Li.sub.2 O.

  4. Sunlit Io Atmospheric [O I] 6300 A and the Plasma Torus

    NASA Technical Reports Server (NTRS)

    Oliversen, Ronald J.; Scherb, Frank; Smyth, William H.; Freed, Melanie E.; Woodward, R. Carey, Jr.; Marcone, Maximus L.; Retherford, Kurt D.; Lupie, Olivia L.; Morgenthaler, Jeffrey P.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    A large database of sunlit Io [O I] 6300A emission, acquired over the period 1990-1999, with extensive coverage of Io orbital phase angle phi and System III longitude lambda(sub III), exhibits significant long-term and short-term variations in [O I] 6300A emission intensities. The long-term average intensity shows a clear dependence on lambda(sub III), which establishes conclusively that the emission is produced by the interaction between Io's atmosphere and the plasma torus. Two prominent average intensity maxima, 70 deg to 90 deg wide, are centered at lambda(sub III) about 130deg. and about 295 deg. A comparison of data from October 1998 with a three-dimensional plasma torus model, based upon electron impact excitation of atomic oxygen, suggests a basis for study of the torus interaction with Io's atmosphere. The observed short-term, erratic [O I] 6300A intensity variations fluctuate approximately 20% to 50% on time scale of tens of minutes with less frequent fluctuations of a factor of about 2. The most likely candidate to produce these fluctuations is a time-variable energy flux of field-aligned nonthermal electrons identified recently in Galileo PLS data. If true, the short-term [O I] intensity fluctuations may be related to variable field-aligned currents driven by inward and outward torus plasma transport and/or transient high-latitude, field-aligned potential drops. A correlation between the intensity and emission line width indicates molecular dissociation may contribute significantly to the [O I] 6300A emission. The nonthermal electron energy flux may produce O(1-D) by electron impact dissociation of SO2 and SO, with the excess energy going into excitation of O and its kinetic energy. The [O I] 6300A emission database establishes Io as a valuable probe of the torus, responding to local conditions at Io's position.

  5. Preparation of Heat Treated Titanium Dioxide (TiO2) Nanoparticles for Water Purification

    NASA Astrophysics Data System (ADS)

    Araoyinbo, A. O.; Abdullah, M. M. A. B.; Rahmat, A.; Azmi, A. I.; Vizureanu, P.; Rahim, W. M. F. Wan Abd

    2018-06-01

    Photocatalysis using the semiconductor titanium dioxide (TiO2) has proven to be a successful technology for waste water purification. The photocatalytic treatment is an alternative method for the removal of soluble organic compounds in waste water. In this research, titanium dioxide nanoparticles were synthesized by sol-gel method using titanium tetraisopropoxide (TTIP) as a precursor. The sol was dried in the oven at 120°C after aging for 24 hours. The dried powder was then calcined at 400°C and 700°C with a heating rate of 10°C/min. The phase transformation of the heat treated titanium dioxide nanoparticles were characterized by X-Ray Diffraction (XRD, and the surface morphology by Scanning Electron Microscopy (SEM). The photocatalytic activity of the heat treated titanium dioxide nanoparticles in the degradation of methyl orange (MO) dye under ultraviolet (UV) light irradiation has been studied. At calcination temperature of 400°C, only anatase phase was observed, as the calcination temperature increases to 700°C, the rutile phase was present. The SEM images show the irregular shape of titanium dioxide particles and the agglomeration which tends to be more significant at calcined temperature of 700°C. Degradation of methyl orange by 5 mg heat treated titanium dioxide nanoparticles gives the highest percentage of degradation after irradiation by UV lamp for 4 hours.

  6. The translocation and distribution of CeO2 nanoparticles in plants (Soybeans, Chili, Eggplant and Tomato)

    NASA Astrophysics Data System (ADS)

    Li, Teng; Dai, Yanhui

    2018-02-01

    Intensive production of CeO2 nanoparticles (NPs) would lead to their release into the environment. While their use in commercial goods is constantly increasing, location of NPs in plant is still poorly documented. In this study we determined the translocation of CeO2-NPs in four plants (Soybeans, Tomato, Chili and Eggplant) grown in natural conditions. The plants were digged out 1/4 roots into 2000 mg/L CeO2-NPs solution during the blossoming period. After being exposed for one month, the contents of Ce in plant tissues were measured by inductively coupled plasma mass spectrometry (ICP-MS). There was more Ce in the leaf of treated plants than in control plants. The contents of Ce in leaf tissues was different. This research offers vital information about the translocation and distribution of CeO2-NPs in higher plants.

  7. Contrasting characteristics of aqueous reactive species induced by cross-field and linear-field plasma jets

    NASA Astrophysics Data System (ADS)

    Xu, Han; Chen, Chen; Liu, Dingxin; Xu, Dehui; Liu, Zhijie; Wang, Xiaohua; Kong, Michael G.

    2017-06-01

    A comparative study on aqueous reactive species in deionized water treated by two types of plasma jets is presented. Classified by the direction of the electric field in the jet device, a linear-field jet and cross-field jet have been set up. Concentrations of several aqueous reactive species are measured quantitatively by chemical fluorescent assays and electron spin resonance spectrometer. Results show that these two-type plasma jets would generate approximately the same gaseous reactive species under the same discharge power, but the linear-field plasma jet is much more efficient at delivering those species to the remote deionized water. This leads to a much more aqueous short-lived species including OH and \\text{O}2- produced in water, which are mainly correlated to the solvation of gaseous short-lived species such as ions and electrons. Regarding the long-lived species of aqueous H2O2, the concentration grows faster when treated by the linear-field plasma jet in the initial stage, but after 10 min it is similar to that treated by the cross-field counterpart due to the vapor-liquid equilibrium. The aqueous peroxynitrite is also predicted to be produced as a result of the air inclusion in the feeding gas.

  8. Mössbauer study and magnetic properties of MgFe2O4 crystallized from the glass system B2O3/K2O/P2O5/MgO/Fe2O3

    NASA Astrophysics Data System (ADS)

    Shabrawy, S. El; Bocker, C.; Miglierini, M.; Schaaf, P.; Tzankov, D.; Georgieva, M.; Harizanova, R.; Rüssel, C.

    2017-01-01

    An iron containing magnesium borate glass with the mol% composition 51.7 B2O3/9.3 K2O /1 P2O5/27.6MgO/10.4Fe2O3was prepared by the conventional melts quenching method followed by a thermal treatment process at temperatures in the range from 530 to 604 °C.The thermally treated samples were characterized by X-ray diffraction, scanning and transmission electron microscopy. It was shown that superparamagnetic MgFe2O4 nanoparticles were formed during thermal treatment. The size of the spinel type crystals was in the range from 6 to 15 nm. Mössbauer spectra of the powdered glass ceramic samples and the extracted nanoparticles after dissolving the glass matrix in diluted acid were recorded at room temperature. The deconvolution of the spectra revealed the crystallization of two spinel phases MgFe2O4 (as a dominant phase) and superparamagnetic maghemite, γ-Fe2O3 (as a secondary phase). Room temperature magnetic measurements showed that, increasing the crystallization temperature changed the superparamagnetic behavior of the samples to ferrimagnetic behavior. The Curie temperatures of the samples were measured and showed a higher value than that of the pure bulk MgFe2O4.

  9. The Hematocrit Affects the Volume of Plasma Treated With Coupled Plasma Filtration and Adsorption With Predilution.

    PubMed

    Finazzi, Stefano; Garbero, Elena; Trussardi, Giampietro; Bertolini, Guido

    2017-05-01

    Coupled plasma filtration and adsorption (CPFA) is an extracorporeal blood purification technique proposed for the treatment of septic-shock. By removing pro- and anti-inflammatory mediators from plasma, CPFA is supposed to have a therapeutic effect on the abnormal inflammatory response seen in this condition. Recently, blood predilution with citrate solution has been adopted to prevent clotting in the CPFA circuit-one of the main problems of the technique. Taking into account the patient's hematocrit, we worked out a formula for the volume of plasma effectively treated by CPFA after predilution. Neglecting this effect, as is commonly done, introduces significant distortions in the estimation of the volume, possibly causing under-treatment. The distortion is stronger when the hematocrit and the predilution fraction are large and weaker when both values shrink. By correctly indicating the daily dose of plasma adsorption received by patients, this formula is essential for assessing the therapeutic efficacy of CPFA and, subsequently, establishing its optimal doses. © 2017 International Center for Artificial Organs and Transplantation and Wiley Periodicals, Inc.

  10. Method for treating electrolyte to remove Li{sub 2}O

    DOEpatents

    Tomczuk, Z.; Miller, W.E.; Johnson, G.K.; Willit, J.L.

    1998-01-20

    A method is described for removing Li{sub 2}O present in an electrolyte predominantly of LiCl and KCl. The electrolyte is heated to a temperature not less than about 500 C and then Al is introduced into the electrolyte in an amount in excess of the stoichiometric amount needed to convert the Li{sub 2}O to a Li-Al alloy and lithium aluminate salt. The salt and aluminum are maintained in contact with agitation for a time sufficient to convert the Li{sub 2}O.

  11. Coplanar amorphous-indium-gallium-zinc-oxide thin film transistor with He plasma treated heavily doped layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeong, Ho-young; LG Display R and D Center, 245 Lg-ro, Wollong-myeon, Paju-si, Gyeonggi-do 413-811; Lee, Bok-young

    We report thermally stable coplanar amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with heavily doped n{sup +} a-IGZO source/drain regions. Doping is through He plasma treatment in which the resistivity of the a-IGZO decreases from 2.98 Ω cm to 2.79 × 10{sup −3} Ω cm after treatment, and then it increases to 7.92 × 10{sup −2} Ω cm after annealing at 300 °C. From the analysis of X-ray photoelectron spectroscopy, the concentration of oxygen vacancies in He plasma treated n{sup +}a-IGZO does not change much after thermal annealing at 300 °C, indicating thermally stable n{sup +} a-IGZO, even for TFTs with channel length L = 4 μm. Field-effect mobility of the coplanar a-IGZO TFTsmore » with He plasma treatment changes from 10.7 to 9.2 cm{sup 2}/V s after annealing at 300 °C, but the performance of the a-IGZO TFT with Ar or H{sub 2} plasma treatment degrades significantly after 300 °C annealing.« less

  12. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    NASA Astrophysics Data System (ADS)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  13. Plasma observations near saturn: initial results from voyager 2.

    PubMed

    Bridge, H S; Bagenal, F; Belcher, J W; Lazarus, A J; McNutt, R L; Sullivan, J D; Gazis, P R; Hartle, R E; Ogilvie, K W; Scudder, J D; Sittler, E C; Eviatar, A; Siscoe, G L; Goertz, C K; Vasyliunas, V M

    1982-01-29

    Results of measurements of plasma electrons and poitive ions made during the Voyager 2 encounter with Saturn have been combined with measurements from Voyager 1 and Pioneer 11 to define more clearly the configuration of plasma in the Saturnian magnetosphere. The general morphology is well represented by four regions: (i) the shocked solar wind plasma in the magnetosheath, observed between about 30 and 22 Saturn radii (RS) near the noon meridian; (ii) a variable density region between approximately 17 RS and the magnetopause; (iii) an extended thick plasma sheet between approximately 17 and approximately 7 RS symmetrical with respect to Saturn's equatorial plane and rotation axis; and (iv) an inner plasma torus that probably originates from local sources and extends inward from L approximately 7 to less than L approximately 2.7 (L is the magnetic shell parameter). In general, the heavy ions, probably O(+), are more closely confined to the equatorial plane than H(+), so that the ratio of heavy to light ions varies along the trajectory according to the distance of the spacecraft from the equatorial plane. The general configuration of the plasma sheet at Saturn found by Voyager 1 is confirmed, with some notable differences and additions. The "extended plasma sheet," observed between L approximately 7 and L approximately 15 by Voyager 1 is considerably thicker as observed by Voyager 2. Inward of L approximately 4, the plasma sheet collapses to a thin region about the equatorial plane. At the ring plane crossing, L approximately 2.7, the observations are consistent with a density of O(+) of approximately 100 per cubic centimeter, with a temperature of approximately 10 electron volts. The location of the bow shock and magnetopause crossings were consistent with those previously observed. The entire magnetosphere was larger during the outbound passage of Voyager 2 than had been previously observed; however, a magnetosphere of this size or larger is expected approximately 3

  14. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muneshwar, Triratna, E-mail: muneshwa@ualberta.ca; Cadien, Ken; Shoute, Gem

    2016-09-15

    Although atomic layer deposition (ALD) of ZnO using diethyl zinc (DEZ) precursor has been extensively reported, variation in growth-per-cycle (GPC) values and the range of substrate temperature (T{sub sub}) for ALD growth between related studies remain unexplained. For identical processes, GPC for the characteristic self-limiting ALD growth is expected to be comparable. Hence, a significant variation in GPC among published ZnO ALD studies strongly suggests a concealed non-ALD growth component. To investigate this, the authors report plasma-enhanced ALD growth of ZnO using DEZ precursor and O{sub 2} inductively coupled plasma. The effect of T{sub sub} on ZnO GPC was studiedmore » with deposition cycles (1) 0.02 s–15 s–6 s–15 s, (2) 0.10 s–15 s–15 s–15 s, and (3) 0.20 s–15 s–30 s–15 s, where the cycle parameters t{sub 1}–t{sub 2}–t{sub 3}–t{sub 4} denote duration of DEZ pulse, post-DEZ purge, plasma exposure, and postplasma purge, respectively. The non-ALD growth characteristics observed at T{sub sub} ≥ 60 °C are discussed and attributed to DEZ precursor decomposition. The authors demonstrate ZnO growth at T{sub sub} = 50 °C to be self-limiting with respect to both t{sub 1} and t{sub 3} giving GPC of 0.101 ± 0.001 nm/cycle. The effect of precursor decomposition related (non-ALD) growth at T{sub sub} ≥ 60 °C is illustrated from comparison of optical dielectric function, electrical resistivity, and surface roughness of ZnO films deposited at T{sub sub} = 50, 125, and 200 °C.« less

  15. Study on crystallization kinetics and phase evolution in Li2O-Al2O3-GeO2-P2O5 glass-ceramics system

    NASA Astrophysics Data System (ADS)

    Das, Anurup; Dixit, Anupam; Goswami, Madhumita; Mythili, R.; Hajra, R. N.

    2018-04-01

    To address the safety issues related to liquid electrolyte and improve the battery performance, Solid State Electrolytes (SSEs) are now in frontier area of research interest. We report here synthesis of Li-SSE based on Li2O-Al2O3-GeO2-P2O5 (LAGP) system with NASICON structure. Glass sample with nominal composition Li1.5Al0.5Ge1.5P2.5Si0.5O12 was prepared by melt-quenching technique. Non-isothermal crystallization kinetics was studied using DSC and activation energy of crystallisation was calculated to be ˜ 246 kJ/mol using Kissinger's equation. XRD of heat treated samples show the formation of required LiGe2(PO4)3 phase along with other minor phases. Compositional analysis using SEM-EDX confirms enrichment of Ge and Si along the grain boundaries.

  16. Structure of (Ga2O3)2(ZnO)13 and a unified description of the homologous series (Ga2O3)2(ZnO)(2n + 1).

    PubMed

    Michiue, Yuichi; Kimizuka, Noboru; Kanke, Yasushi; Mori, Takao

    2012-06-01

    The structure of (Ga(2)O(3))(2)(ZnO)(13) has been determined by a single-crystal X-ray diffraction technique. In the monoclinic structure of the space group C2/m with cell parameters a = 19.66 (4), b = 3.2487 (5), c = 27.31 (2) Å, and β = 105.9 (1)°, a unit cell is constructed by combining the halves of the unit cell of Ga(2)O(3)(ZnO)(6) and Ga(2)O(3)(ZnO)(7) in the homologous series Ga(2)O(3)(ZnO)(m). The homologous series (Ga(2)O(3))(2)(ZnO)(2n + 1) is derived and a unified description for structures in the series is presented using the (3+1)-dimensional superspace formalism. The phases are treated as compositely modulated structures consisting of two subsystems. One is constructed by metal ions and another is by O ions. In the (3 + 1)-dimensional model, displacive modulations of ions are described by the asymmetric zigzag function with large amplitudes, which was replaced by a combination of the sawtooth function in refinements. Similarities and differences between the two homologous series (Ga(2)O(3))(2)(ZnO)(2n + 1) and Ga(2)O(3)(ZnO)(m) are clarified in (3 + 1)-dimensional superspace. The validity of the (3 + 1)-dimensional model is confirmed by the refinements of (Ga(2)O(3))(2)(ZnO)(13), while a few complex phenomena in the real structure are taken into account by modifying the model.

  17. In situ measurement of VUV/UV radiation from low-pressure microwave-produced plasma in Ar/O2 gas mixtures

    NASA Astrophysics Data System (ADS)

    Iglesias, E. J.; Mitschker, F.; Fiebrandt, M.; Bibinov, N.; Awakowicz, P.

    2017-08-01

    Ultraviolet (UV) and vacuum ultraviolet (VUV) spectral irradiance is determined in low-pressure microwave-produced plasma, which is regularly used for polymer surface treatment. The re-emitted fluorescence in the UV/VIS spectral range from a sodium salicylate layer is measured. This fluorescence is related to VUV/UV radiation in different spectral bands based on cut-off filters. The background produced by direct emitted radiation in the fluorescence spectral region is quantified using a specific background filter, thus enabling the use of the whole fluorescence spectral range. A novel procedure is applied to determine the absolute value of the VUV/UV irradiance on a substrate. For that, an independent measurement of the absolute spectral emissivity of the plasma in the UV is performed. The measured irradiances on a substrate from a 25 Pa Ar/O2-produced plasma are in the range of 1015-1016 (photon~ s-1 cm-2). These values include the contribution from impurities present in the discharge.

  18. Electrical characteristics of TMAH-surface treated Ni/Au/Al2O3/GaN MIS Schottky structures

    NASA Astrophysics Data System (ADS)

    Reddy, M. Siva Pratap; Lee, Jung-Hee; Jang, Ja-Soon

    2014-03-01

    The electrical characteristics and reverse leakage mechanisms of tetramethylammonium hydroxide (TMAH) surface-treated Ni/Au/Al2O3/GaN metal-insulator-semiconductor (MIS) diodes were investigated by using the current-voltage ( I-V) and capacitance-voltage ( C-V) characteristics. The MIS diode was formed on n-GaN after etching the AlGaN in the AlGaN/GaN heterostructures. The TMAH-treated MIS diode showed better Schottky characteristics with a lower ideality factor, higher barrier height and lower reverse leakage current compared to the TMAH-free MIS diode. In addition, the TMAH-free MIS diodes exhibited a transition from Poole-Frenkel emission at low voltages to Schottky emission at high voltages, whereas the TMAH-treated MIS diodes showed Schottky emission over the entire voltage range. Reasonable mechanisms for the improved device-performance characteristics in the TMAH-treated MIS diode are discussed in terms of the decreased interface state density or traps associated with an oxide material and the reduced tunneling probability.

  19. Economic evaluation of pooled solvent/detergent treated plasma versus single donor fresh-frozen plasma in patients receiving plasma transfusions in the United States.

    PubMed

    Huisman, Eline L; de Silva, Shamika U; de Peuter, Maria A

    2014-08-01

    This study assessed the cost-effectiveness of Octaplas™ versus fresh frozen plasma (FFP) in patients receiving plasma transfusions in the United States (US). Acute and long-term complications of plasma transfusions were modelled in a decision tree followed by a Markov model, using a healthcare payer perspective. Over a lifetime time horizon, patients receiving Octaplas™ accumulate slightly more life years (0.00613 [95% uncertainty interval (95%UI): 0.00166-0.01561]) and quality-adjusted life years (QALY) (0.023 [95%UI: 0.012-0.044]) at lower cost compared with those treated with FFP. Octaplas™ demonstrated to be the dominant treatment option over FFP (95%UI: Dominant-US$ 15,764/QALY). Copyright © 2014 Elsevier Ltd. All rights reserved.

  20. Inactivation of Shiga toxin-producing Escherichia coli O104:H4 using cold atmospheric pressure plasma.

    PubMed

    Baier, Matthias; Janssen, Traute; Wieler, Lothar H; Ehlbeck, Jörg; Knorr, Dietrich; Schlüter, Oliver

    2015-09-01

    From cultivation to the end of the post-harvest chain, heat-sensitive fresh produce is exposed to a variety of sources of pathogenic microorganisms. If contaminated, effective gentle means of sanitation are necessary to reduce bacterial pathogen load below their infective dose. The occurrence of rare or new serotypes raises the question of their tenacity to inactivation processes. In this study the antibacterial efficiency of cold plasma by an atmospheric pressure plasma-jet was examined against the Shiga toxin-producing outbreak strain Escherichia coli O104:H4. Argon was transformed into non-thermal plasma at a power input of 8 W and a gas flow of 5 L min(-1). Basic tests were performed on polysaccharide gel discs, including the more common E. coli O157:H7 and non-pathogenic E. coli DSM 1116. At 5 mm treatment distance and 10(5) cfu cm(-2) initial bacterial count, plasma reduced E. coli O104:H4 after 60 s by 4.6 ± 0.6 log, E. coli O157:H7 after 45 s by 4.5 ± 0.6 log, and E. coli DSM 1116 after 30 s by 4.4 ± 1.1 log. On the surface of corn salad leaves, gentle plasma application at 17 mm reduced 10(4) cfu cm(-2) of E. coli O104:H4 by 3.3 ± 1.1 log after 2 min, whereas E. coli O157:H7 was inactivated by 3.2 ± 1.1 log after 60 s. In conclusion, plasma treatment has the potential to reduce pathogens such as E. coli O104:H4 on the surface of fresh produce. However, a serotype-specific adaptation of the process parameters is required. Copyright © 2015 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  1. Mini-interfacial Fracture Toughness of a Multimode Adhesive Bonded to Plasma-treated Dentin.

    PubMed

    Ayres, Ana Paula Almeida; Pongprueksa, Pong; De Munck, Jan; Gré, Cristina Parise; Nascimento, Fábio Dupart; Giannini, Marcelo; Van Meerbeek, Bart

    2017-01-01

    To investigate the bonding efficacy of a multimode adhesive to plasma-treated and -untreated (control) dentin using a mini-interfacial fracture toughness (mini-iFT) test. Twenty human molars were used in a split-tooth design (n = 10). The adhesive Scotchbond Universal (SBU; 3M ESPE) was applied in etch-and-rinse (E&R) and self-etch (SE) modes. Mid-coronal dentin was exposed and covered with a standardized smear layer ground to 320 grit. One half of each dentin surface received 15 s of non-thermal atmospheric plasma (NTAP), while the other half was covered with a metallic barrier and kept untreated. Following the E&R mode, dentin was plasma treated immediately after phosphoric acid etching. SBU and a resin-based composite were applied to dentin following the manufacturer's instructions. Six mini-iFT specimens were prepared per tooth (1.5 x 2.0 x 16 to 18 mm), and a single notch was prepared at the adhesive-dentin interface using a 150-μm diamond blade under water cooling. Half of the mini-iFT specimens were immediately loaded until failure in a 4-point bending test, while the other half were first stored in distilled water for 6 months. After testing, the exact dimensions of the notch were measured with a measuring optical microscope, from which ΚIc was determined. Three-way ANOVA revealed higher mini-iFT for SBU applied in E&R than SE mode for both storage times, irrespective of NTAP treatment. Overall, mini-iFT did not decrease for any of the experimental groups upon 6-month aging, while plasma treatment did not show a direct beneficial effect on mini-iFT of SBU applied in either E&R or SE mode.

  2. Thermal Conductivity and Stability of HfO2-Y2O3 and La2Zr2O7 Evaluated for 1650 Deg C Thermal/Environmental Barrier Coating Applications

    NASA Technical Reports Server (NTRS)

    Zhu, Dong-Ming; Bansal, Narottam P.; Miller, Robert A.

    2003-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal and environmental barrier coating (T/EBC) materials for gas turbine ceramic matrix composite (CMC) combustor applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature stability of hot-pressed and plasma sprayed specimens with representative partially-stabilized and fully-cubic HfO2-Y2O3 compositions and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasmasprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC hexoloy or SiC/SiC CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications are also discussed.

  3. Persistent Effectivity of Gas Plasma-Treated, Long Time-Stored Liquid on Epithelial Cell Adhesion Capacity and Membrane Morphology

    PubMed Central

    Hoentsch, Maxi; Bussiahn, René; Rebl, Henrike; Bergemann, Claudia; Eggert, Martin; Frank, Marcus; von Woedtke, Thomas; Nebe, Barbara

    2014-01-01

    Research in plasma medicine includes a major interest in understanding gas plasma-cell interactions. The immediate application of gas plasma in vitro inhibits cell attachment, vitality and cell-cell contacts via the liquid. Interestingly, in our novel experiments described here we found that the liquid-mediated plasma effect is long-lasting after storage up to seven days; i. e. the liquid preserves the characteristics once induced by the argon plasma. Therefore, the complete Dulbecco's Modified Eagle cell culture medium was argon plasma-treated (atmospheric pressure, kINPen09) for 60 s, stored for several days (1, 4 and 7 d) at 37°C and added to a confluent mouse hepatocyte epithelial cell (mHepR1) monolayer. Impaired tight junction architecture as well as shortened microvilli on the cell membrane could be observed, which was accompanied by the loss of cell adhesion capacity. Online-monitoring of vital cells revealed a reduced cell respiration. Our first time-dependent analysis of plasma-treated medium revealed that temperature, hydrogen peroxide production, pH and oxygen content can be excluded as initiators of cell physiological and morphological changes. The here observed persisting biological effects in plasma-treated liquids could open new medical applications in dentistry and orthopaedics. PMID:25170906

  4. Synthesis of SiO(x) powder using DC arc plasma.

    PubMed

    Jung, Chan-Ok; Park, Dong-Wha

    2013-02-01

    SiO(x) was prepared by DC arc plasma and applied to the anode material of lithium ion batteries. A pellet of a mixture of Si and SiO2 was used as the raw material. The ratios of the silicon and silicon dioxide (SiO2) mixtures were varied by controlling the Si-SiO2 molar ratio (Si-SiO2 = 1-4). Hydrogen gas was used as the reduction atmosphere in the chamber. The prepared SiO(x) was collected on the chamber wall. The obtained SiO(x) was characterized by X-ray diffraction (XRD), field emission-scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). XRD and TEM showed that the phase composition of the prepared particles was composed of amorphous SiO(x) and crystalline Si. The prepared SiO(x) showed wire and spherical morphology. XPS indicated the bonding state and 'x' value of the prepared SiO(x), which was close to one. The result of prepared SiO(x) is discussed from thermodynamic equilibrium calculations. The electrochemical behavior of the silicon monoxide anode was investigated.

  5. Determination of the profit rate of plasma treated production in the food sector

    NASA Astrophysics Data System (ADS)

    Gok, Elif Ceren; Uygun, Emre; Eren, Esin; Oksuz, Lutfi; Uygun Oksuz, Aysegul

    2017-10-01

    Recently, plasma is one of an emerging, green processing technologies used for diverse applications especially food industry. Plasma treatment proposes diverse opportunities in food industry such as surface decontamination, modification of surface properties and improvement in mass transfer with respect for foods and food-related compounds. Sometimes manufacturers use chemical treatment to demolish pathogenic flora, but its capabilities are rather limited. New methods of food sterilization consisting of ionizing radiation, exposure to magnetic fields, high-power ultrasonic treatment are needed expensive equipment or have not yet been developed for industrial use. Plasma could be used for the above mentioned reasons. In this study, the profit rate of plasma treated production in food sector was calculated.

  6. β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures grown on β-Ga{sub 2}O{sub 3} (010) substrates by plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaun, Stephen W., E-mail: skaun@umail.ucsb.edu; Wu, Feng; Speck, James S.

    2015-07-15

    By systematically changing growth parameters, the growth of β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) heterostructures by plasma-assisted molecular beam epitaxy was optimized. Through variation of the Al flux under O-rich conditions at 600 °C, β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) layers spanning ∼10% to ∼18% Al{sub 2}O{sub 3} were grown directly on β-Ga{sub 2}O{sub 3} (010) substrates. Nominal β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (010) compositions were determined through Al:Ga flux ratios. With x = ∼0.18, the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak in a high-resolution x-ray diffraction (HRXRD) ω-2θ scan was barely discernible, and Pendellösung fringes were not visible.more » This indicated that the phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) at 600 °C was less than ∼18%. The substrate temperature was then varied for a series of β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers, and the smoothest layer was grown at 650 °C. The phase stability limit of Al{sub 2}O{sub 3} in β-Ga{sub 2}O{sub 3} (010) appeared to increase with growth temperature, as the β-(Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} (020) layer peak with x = ∼0.18 was easily distinguishable by HRXRD in a sample grown at 650 °C. Cross-sectional transmission electron microscopy (TEM) indicated that β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3} (010) layers (14.4% Al{sub 2}O{sub 3} by energy dispersive x-ray spectroscopy) grown at 650 °C were homogeneous. β-(Al{sub ∼0.20}Ga{sub ∼0.80}){sub 2}O{sub 3} (010) layers, however, displayed a phase transition. TEM images of a β-(Al{sub ∼0.15}Ga{sub ∼0.85}){sub 2}O{sub 3}/Ga{sub 2}O{sub 3} (010) superlattice grown at 650 °C showed abrupt layer interfaces and high alloy homogeneity.« less

  7. A Fe3O4/FeAl2O4 composite coating via plasma electrolytic oxidation on Q235 carbon steel for Fenton-like degradation of phenol.

    PubMed

    Wang, Jiankang; Yao, Zhongping; Yang, Min; Wang, Yajing; Xia, Qixing; Jiang, Zhaohua

    2016-08-01

    The Fe3O4/FeAl2O4 composite coatings were successfully fabricated on Q235 carbon steel by plasma electrolytic oxidation technique and used to degrade phenol by Fenton-like system. XRD, SEM, and XPS indicated that Fe3O4 and FeAl2O4 composite coating had a hierarchical porous structure. The effects of various parameters such as pH, phenol concentration, and H2O2 dosage on catalytic activity were investigated. The results indicated that with increasing of pH and phenol content, the phenol degradation efficiency was reduced significantly. However, the degradation rate was improved with the addition of H2O2, but dropped with further increasing of H2O2. Moreover, 100 % removal efficiency with 35 mg/L phenol was obtained within 60 min at 303 K and pH 4.0 with 6.0 mmol/L H2O2 on 6-cm(2) iron oxide coating. The degradation process consisted of induction period and rapid degradation period; both of them followed pseudo-first-order reaction. Hydroxyl radicals were the mainly oxidizing species during phenol degradation by using n-butanol as hydroxyl radical scavenger. Based on Fe leaching and the reaction kinetics, a possible phenol degradation mechanism was proposed. The catalyst exhibited excellent stability.

  8. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas

    NASA Astrophysics Data System (ADS)

    Takahashi, Yohei; Taki, Yusuke; Takeda, Keigo; Hashizume, Hiroshi; Tanaka, Hiromasa; Ishikawa, Kenji; Hori, Masaru

    2018-03-01

    Cytotoxic effects of human epithelial carcinoma HeLa cells sensitivity to human mammary epithelial MCF10A cells appeared in incubation with the plasma-activated medium (PAM), where the cell culture media were irradiated with the hollow-shaped contact of a continuously discharged plasma that was sustained by application of a microwave power under Ar gas flow at atmospheric pressure. The discharged plasma had an electron density of 7  ×  1014 cm-3. As the nozzle exit to the plasma source was a distance of 5 mm to the medium, concentrations of 180 µM for H2O2 and 77 µM for NO2- were generated in the PAM for 30 s irradiation, resulting in the control of irradiation periods for aqueous H2O2 with a generation rate of 6.0 µM s-1, and nitrite ion (NO2- ) with a rate of 2.2 µM s-1. Effective concentrations of H2O2 and NO2- for the antitumor effects were revealed in the microwave-excited PAM, with consideration of the complicated reactions at the plasma-liquid interfaces.

  9. Pharmacokinetics of enrofloxacin HCl-2H2O (ENRO-C) in dogs and PK/PD Monte Carlo simulations against Leptospira sp.

    PubMed

    Sumano, Hector; Ocampo, Luis; Tapia, Graciela; Mendoza, C de Jesus; Gutierrez, Lilia

    2018-04-12

    Pharmacokinetics/pharmacodynamics (PK/PD) ratios of reference enrofloxacin (Enro-R) and enrofloxacin as HCl-2H 2 O (Enro-C), as well as Monte Carlo simulations based on composite MIC 50 and MIC 90 vs. Leptospira sp., were carried out in dogs after their IM and oral administration (10 mg/kg). Plasma determination of enrofloxacin was achieved by means of high performance liquid chromatography (HPLC). Maximum plasma concentration values after oral administration were 1.47 ± 0.19 µg/mL and 5.3 ± 0.84 µg/mL for Enro-R and Enro-C, respectively, and 1.6 ± 0.12 µg/mL and 7.6 ± 0.93 µg/mL after IM administration. Area under the plasma vs. time concentrations in 24 h (AUC 0-24 ) were 8.02 µg/mL/h and 36.2 µg/mL/h for Enro-R oral and Enro-C oral , respectively, and 8.55 ± 0.85 µg/mL/h and 56.4 ± 6.21 µg/mL/h after IM administration of these drugs. Only PK/PD ratios and Monte Carlo simulations obtained with Enro-C, anticipate that its IM administration to dogs will result in therapeutic concentrations to treat leptospirosis. This is the first time enrofloxacin has been recommended to treat this disease in dogs.

  10. Preparation, characterization and catalytic property of CuO nano/microspheres via thermal decomposition of cathode-plasma generating Cu2(OH)3NO3 nano/microspheres.

    PubMed

    Zhang, Zhi-Kun; Guo, Deng-Zhu; Zhang, Geng-Min

    2011-05-01

    CuO nano/microspheres with a wide diametric distribution were prepared by thermal decomposition of Cu(2)(OH)(3)NO(3) nano/microspheres formed in a simple asymmetric-electrode based cathodic-plasma electrolysis. The morphological, componential, and structural information about the two kinds of spheres were characterized in detail by SEM, TEM, EDX, XPS and XRD, and the results revealed that the morphology of the spheres were well kept after the componential and structural transformation from Cu(2)(OH)(3)NO(3) into CuO. The TGA/DSC study showed that the CuO nano/microspheres could be explored to be a promising additive for accelerating the thermal decomposition of ammonium perchlorate (AP). Combining with the current curve and emission spectrum measured in the plasma electrolysis, formation mechanism of the Cu(2)(OH)(3)NO(3) spheres was also discussed. Copyright © 2011 Elsevier Inc. All rights reserved.

  11. Phenomenological analysis of densification mechanism during spark plasma sintering of MgAl2O4

    NASA Astrophysics Data System (ADS)

    Bernard-Granger, Guillaume; Benameur, Nassira; Addad, Ahmed; Nygren, Mats; Guizard, Christian; Deville, Sylvain

    2009-05-01

    Spark plasma sintering (SPS) of MgAl2O4 powder was investigated at temperatures between 1200 and 1300{\\deg}C. A significant grain growth was observed during densification. The densification rate always exhibits at least one strong minimum, and resumes after an incubation period. Transmission electron microscopy investigations performed on sintered samples never revealed extensive dislocation activity in the elemental grains. The densification mechanism involved during SPS was determined by anisothermal (investigation of the heating stage of a SPS run) and isothermal methods (investigation at given soak temperatures). Grain-boundary sliding, accommodated by an in-series {interface-reaction/lattice diffusion of the O$^2$-anions} mechanism controlled by the interface-reaction step, governs densification. The zero-densification-rate period, detected for all soak temperatures, arise from the difficulty of annealing vacancies, necessary for the densification to proceed. The detection of atomic ledges at grain boundaries and the modification of the stoichiometry of spinel during SPS could be related to the difficulty to anneal vacancies at temperature soaks.

  12. Time resolved optical diagnostics of ZnO plasma plumes in air

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gupta, Shyam L.; Singh, Ravi Pratap; Thareja, Raj K.

    2013-10-15

    We report dynamical evolution of laser ablated ZnO plasma plumes using interferometry and shadowgraphy; 2-D fast imaging and optical emission spectroscopy in air ambient at atmospheric pressure. Recorded interferograms using Nomarski interferometer and shadowgram images at various time delays show the presence of electrons and neutrals in the ablated plumes. The inference drawn from sign change of fringe shifts is consistent with two dimensional images of the plume and optical emission spectra at varying time delays with respect to ablating pulse. Zinc oxide plasma plumes are created by focusing 1.06 μm radiation on to ZnO target in air and 532more » nm is used as probe beam.« less

  13. Photocatalytic self-cleaning transparent 2Bi2O3-B2O3 glass ceramics

    NASA Astrophysics Data System (ADS)

    Sharma, Sumeet Kumar; Singh, V. P.; Chauhan, Vishal S.; Kushwaha, H. S.; Vaish, Rahul

    2017-09-01

    Photocatalytic response of as-quenched and heat-treated 2Bi2O3-B2O3 glasses was studied. X ray diffraction reveals that the controlled heat treatment of glasses at 380 °C for 1 h, 2 h, and 3 h shows the formation of Bi4B2O9 crystals embedded in 2Bi2O3-B2O3 the host glass matrix. Scanning electron microscopic images reveal the presence of nanocrystallization in as-quenched glass. Significant photocatalytic activities were observed in as-quenched transparent glass. Photocatalytic activities were studied using the degradation of Resazurin as well as pharmaceutical 17 β-Estradiol under UV irradiation. Measurement of contact angle shows enhanced hydrophilicity with the increase in crystallization of the samples. Further, for as quenched 2Bi2O3-B2O3 glass ceramic, under UV irradiation, the water contact angle decreased from 92.7° to 39.5° and the sample surface transformed from hydrophobic to hydrophilic. Effective photocatalytic performance along with photoinduced hydrophilicity promotes 2Bi2O3-B2O3 glass ceramics in self-cleaning applications.

  14. Biointerfacial Property of Plasma-Treated Single-Walled Carbon Nanotube Film Electrodes for Electrochemical Biosensors

    NASA Astrophysics Data System (ADS)

    Kim, Joon Hyub; Lee, Jun-Yong; Jin, Joon-Hyung; Park, Eun Jin; Min, Nam Ki

    2013-01-01

    The single-walled carbon nanotube (SWCNT)-based thin film was spray-coated on the Pt support and functionalized using O2 plasma. The effects of plasma treatment on the biointerfacial properties of the SWCNT films were analyzed by cyclic voltammogram (CV), electrochemical impedance spectroscopy (EIS), and differential pulse voltammetry (DPV). The plasma-functionalized (pf) SWCNT electrodes modified with Legionella pneumophila-specific probe DNA strands showed a much higher peak current and a smaller peak separation in differential pulse voltammetry and a lower charge transfer resistance, compared to the untreated samples. These results suggest that the pf-SWCNT films have a better electrocatalytic character and an electron transfer capability faster than the untreated SWCNTs, due to the fact that the oxygen-containing functional groups promote direct electron transfer in the biointerfacial region of the electrocatalytic activity of redox-active biomolecules.

  15. Hollow waveguides with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films

    NASA Astrophysics Data System (ADS)

    Zhao, Y.; Jenkins, M.; Measor, P.; Leake, K.; Liu, S.; Schmidt, H.; Hawkins, A. R.

    2011-02-01

    A type of integrated hollow core waveguide with low intrinsic photoluminescence fabricated with Ta2O5 and SiO2 films is demonstrated. Hollow core waveguides made with a combination of plasma-enhanced chemical vapor deposition SiO2 and sputtered Ta2O5 provide a nearly optimal structure for optofluidic biofluorescence measurements with low optical loss, high fabrication yield, and low background photoluminescence. Compared to earlier structures made using Si3N4, the photoluminescence background of Ta2O5 based hollow core waveguides is decreased by a factor of 10 and the signal-to-noise ratio for fluorescent nanobead detection is improved by a factor of 12.

  16. Plasma-treated Langmuir-Blodgett reduced graphene oxide thin film for applications in biophotovoltaics

    NASA Astrophysics Data System (ADS)

    Ibrahim, Siti Aisyah; Jaafar, Muhammad Musoddiq; Ng, Fong-Lee; Phang, Siew-Moi; Kumar, G. Ghana; Majid, Wan Haliza Abd; Periasamy, Vengadesh

    2018-01-01

    The surface optimization and structural characteristics of Langmuir-Blodgett (LB) reduced graphene oxide thin (rGO) film treated by argon plasma treatment were studied. In this work, six times deposition of rGO was deposited on a clean glass substrate using the LB method. Plasma technique involving a variation of plasma power, i.e., 20, 60, 100 and 140 W was exposed to the LB-rGO thin films under argon ambience. The plasma treatment generally improves the wettability or hydrophilicity of the film surface compared to without treatment. Maximum wettability was observed at a plasma power of 20 W, while also increasing the adhesion of the rGO film with the glass substrate. The multilayer films fabricated were characterized by means of spectroscopic, structural and electrical studies. The treatment of rGO with argon plasma was found to have improved its biocompatibility, and thus its performance as an electrode for biophotovoltaic devices has been shown to be enhanced considerably.

  17. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring trapsmore » on the surface and bulk channel are presented.« less

  18. Solar Fenton and solar TiO2 catalytic treatment of ofloxacin in secondary treated effluents: evaluation of operational and kinetic parameters.

    PubMed

    Michael, I; Hapeshi, E; Michael, C; Fatta-Kassinos, D

    2010-10-01

    Two different technical approaches based on advanced oxidation processes (AOPs), solar Fenton homogeneous photocatalysis (hv/Fe(2+)/H(2)O(2)) and heterogeneous photocatalysis with titanium dioxide (TiO(2)) suspensions were studied for the chemical degradation of the fluoroquinolone ofloxacin in secondary treated effluents. A bench-scale solar simulator in combination with an appropriate photochemical batch reactor was used to evaluate and select the optimal oxidation conditions of ofloxacin spiked in secondary treated domestic effluents. The concentration profile of the examined substrate during degradation was determined by UV/Vis spectrophotometry. Mineralization was monitored by measuring the dissolved organic carbon (DOC). The concentrations of Fe(2+) and H(2)O(2) were the key factors for the solar Fenton process, while the most important parameter of the heterogeneous photocatalysis was proved to be the catalyst loading. Kinetic analyses indicated that the photodegradation of ofloxacin can be described by a pseudo-first-order reaction. The rate constant (k) for the solar Fenton process was determined at different Fe(2+) and H(2)O(2) concentrations whereas the Langmuir-Hinshelwood (LH) kinetic expression was used to assess the kinetics of the heterogeneous photocatalytic process. The conversion of ofloxacin depends on several parameters based on the various experimental conditions, which were investigated. A Daphnia magna bioassay was used to evaluate the potential toxicity of the parent compound and its photo-oxidation by-products in different stages of oxidation. In the present study solar Fenton has been demonstrated to be more effective than the solar TiO(2) process, yielding complete degradation of the examined substrate and DOC reduction of about 50% in 30 min of the photocatalytic treatment. Copyright © 2010 Elsevier Ltd. All rights reserved.

  19. Systemic study on the safety of immuno-deficient nude mice treated by atmospheric plasma-activated water

    NASA Astrophysics Data System (ADS)

    Dehui, XU; Qingjie, CUI; Yujing, XU; Bingchuan, WANG; Miao, TIAN; Qiaosong, LI; Zhijie, LIU; Dingxin, LIU; Hailan, CHEN; Michael, G. KONG

    2018-04-01

    Cold atmospheric-pressure plasma is a new technology, widely used in many fields of biomedicine, especially in cancer treatment. Cold plasma can selectively kill a variety of tumor cells, and its biological safety in clinical trials is also very important. In many cases, the patient’s immune level is relatively low, so we first studied the safety assessment of plasma treatment in an immuno-compromised animal model. In this study, we examined the safety of immuno-deficient nude mice by oral lavage treatment of plasma-activated water, and studied the growth status, main organs and blood biochemical indexes. Acute toxicity test results showed that the maximum dose of plasma treatment for 15 min had no lethal effect and other acute toxicity. There were no significant changes in body weight and survival status of mice after 2 min and 4 min of plasma-activated water (PAW) treatment for 2 weeks. After treatment, the major organs, including heart, liver, spleen, lung and kidney, were not significantly changed in organ coefficient and tissue structure. Blood biochemical markers showed that blood neutrophils and mononuclear cells were slightly increased, and the others remained unchanged. Liver function, renal function, electrolytes, glucose metabolism and lipid metabolism were not affected by different doses of PAW treatment. The above results indicate that PAW treatment can be used to treat immuno-deficient nude mice without significant safety problems.

  20. Low Stress Mechanical Properties of Plasma-Treated Cotton Fabric Subjected to Zinc Oxide-Anti-Microbial Treatment.

    PubMed

    Kan, Chi-Wai; Lam, Yin-Ling

    2013-01-22

    Cotton fabrics are highly popular because of their excellent properties such as regeneration, bio-degradation, softness, affinity to skin and hygroscopic properties. When in contact with the human body, cotton fabrics offer an ideal environment for microbial growth due to their ability to retain oxygen, moisture and warmth, as well as nutrients from spillages and body sweat. Therefore, an anti-microbial coating formulation (Microfresh and Microban together with zinc oxide as catalyst) was developed for cotton fabrics to improve treatment effectiveness. In addition, plasma technology was employed in the study which roughened the surface of the materials, improving the loading of zinc oxides on the surface. In this study, the low stress mechanical properties of plasma pre-treated and/or anti-microbial-treated cotton fabric were studied. The overall results show that the specimens had improved bending properties when zinc oxides were added in the anti-microbial coating recipe. Also, without plasma pre-treatment, anti-microbial-treatment of cotton fabric had a positive effect only on tensile resilience, shear stress at 0.5° and compressional energy, while plasma-treated specimens had better overall tensile properties even after anti-microbial treatment.

  1. Low Stress Mechanical Properties of Plasma-Treated Cotton Fabric Subjected to Zinc Oxide-Anti-Microbial Treatment

    PubMed Central

    Kan, Chi-Wai; Lam, Yin-Ling

    2013-01-01

    Cotton fabrics are highly popular because of their excellent properties such as regeneration, bio-degradation, softness, affinity to skin and hygroscopic properties. When in contact with the human body, cotton fabrics offer an ideal environment for microbial growth due to their ability to retain oxygen, moisture and warmth, as well as nutrients from spillages and body sweat. Therefore, an anti-microbial coating formulation (Microfresh and Microban together with zinc oxide as catalyst) was developed for cotton fabrics to improve treatment effectiveness. In addition, plasma technology was employed in the study which roughened the surface of the materials, improving the loading of zinc oxides on the surface. In this study, the low stress mechanical properties of plasma pre-treated and/or anti-microbial-treated cotton fabric were studied. The overall results show that the specimens had improved bending properties when zinc oxides were added in the anti-microbial coating recipe. Also, without plasma pre-treatment, anti-microbial-treatment of cotton fabric had a positive effect only on tensile resilience, shear stress at 0.5° and compressional energy, while plasma-treated specimens had better overall tensile properties even after anti-microbial treatment. PMID:28809311

  2. Thermochemical generation of hydrogen and oxygen from water. [NaMnO/sub 2/ and TiO/sub 2/

    DOEpatents

    Robinson, P.R.; Bamberger, C.E.

    1980-02-08

    A thermochemical cyclic process for the production of hydrogen exploits the reaction between sodium manganate (NaMnO/sub 2/) and titanium dioxide (TiO/sub 2/) to form sodium titanate (Na/sub 2/TiO/sub 3/), manganese (II) titanate (MnTiO/sub 3/) and oxygen. The titanate mixture is treated with sodium hydroxide, in the presence of steam, to form sodium titanate, sodium manganate (III), water and hydrogen. The sodium titanate-manganate (III) mixture is treated with water to form sodium manganate (III), titanium dioxide and sodium hydroxide. Sodium manganate (III) and titanium dioxide are recycled following dissolution of sodium hydroxide in water.

  3. Bioactivity of Y2O3 and CeO2 doped SiO2-SrO-Na2O glass-ceramics.

    PubMed

    Placek, L M; Keenan, T J; Wren, A W

    2016-08-01

    The bioactivity of yttrium and cerium are investigated when substituted for Sodium (Na) in a 0.52SiO2-0.24SrO-0.24-xNa2O-xMO glass-ceramics (where x = 0.08 and MO = Y2O3 or CeO2). Bioactivity is monitored through pH and inductively coupled plasma-optical emission spectrometry where pH of simulated body fluid ranged from 7.5 to 7.6 and increased between 8.2 and 10.0 after 14-day incubation with the glass-ceramic disks. Calcium (Ca) and phosphorus (P) levels in simulated body fluid after incubation with yttrium and cerium containing disks show a continual decline over the 14-day period. In contrast, Con disks (not containing yttrium or cerium) caused the elimination of Ca in solution after 1 day and throughout the incubation period, and initially showed a decline in P levels followed by an increase at 14 days. Scanning electron microscopy and energy dispersive spectroscopy confirmed the presence of Ca and P on the surface of the simulated body fluid-incubated disks and showed precipitates on Con and HCe (8 mol% cerium) samples. Cell viability of MC3T3 osteoblasts was not significantly affected at a 9% extract concentration. Optical microscopy after 24 h cell incubation with disks showed that Con samples do not support osteoblast or Schwann cell growth, while all yttrium and cerium containing disks have direct contact with osteoblasts spread across the wells. Schwann cells attached in all wells, but only showed spreading with the HY-S (8 mol% yttrium, heated to sintering temperature) and YCe (4 mol% yttrium and cerium) disks. Scanning electron microscopy of the compatible disks shows osteoblast and sNF96.2 Schwann cells attachment and spreading directly on the disk surfaces. © The Author(s) 2016.

  4. Improved survival of patients with homozygous familial hypercholesterolaemia treated with plasma exchange.

    PubMed Central

    Thompson, G R; Miller, J P; Breslow, J L

    1985-01-01

    Plasma exchange was undertaken in five patients with homozygous familial hypercholesterolaemia at intervals of two weeks for a mean of 8.4 years. These patients had survived an average of 5.5 years longer than their five respective homozygous siblings (p = 0.3), each of whom must have had a matching genetic defect but who died untreated. The 37% decrease in peak serum cholesterol concentrations maintained by plasma exchange presumably reduced progression of atherosclerosis in the treated patients and thus lessened their risk of premature death. PMID:3935235

  5. Novel Fe3O4@SiO2@Ag@Ni trepang-like nanocomposites: High-efficiency and magnetic recyclable catalysts for organic dye degradation

    NASA Astrophysics Data System (ADS)

    Li, Chao; Sun, Jun-Jie; Chen, Duo; Han, Guang-Bing; Yu, Shu-Yun; Kang, Shi-Shou; Mei, Liang-Mo

    2016-08-01

    A facile step-by-step approach is developed for synthesizing the high-efficiency and magnetic recyclable Fe3O4@SiO2@Ag@Ni trepang-like nanocomposites. This method involves coating Fe2O3 nanorods with a uniform silica layer, reduction in 10% H2/Ar atmosphere to transform the Fe2O3 into magnetic Fe3O4, and finally depositing Ag@Ni core-shell nanoparticles on the L-lysine modified surface of Fe3O4@SiO2 nanorods. The fabricated nanocomposites are further characterized by x-ray diffraction, transmission electron microscopy, scanning electron microscope, Fourier transform infrared spectroscopy, and inductively coupled plasma mass spectroscopy. The Fe3O4@SiO2@Ag@Ni trepang-like nanocomposites exhibit remarkably higher catalytic efficiency than monometallic Fe3O4@SiO2@Ag nanocomposites toward the degradation of Rhodamine B (RhB) at room temperature, and maintain superior catalytic activity even after six cycles. In addition, these samples could be easily separated from the catalytic system by an external magnet and reused, which shows great potential applications in treating waste water. Project supported by the National Basic Research Program of China (Grant No. 2015CB921502), the National Natural Science Foundation of China (Grant Nos. 11474184 and 11174183), the 111 Project (Grant No. B13029), and the Fundamental Research Funds of Shandong University, China.

  6. Effect of KOH to Na2SiO3 Ratio on Microstructure and Hardness of Plasma Electrolytic Oxidation Coatings on AA 6061 Alloy

    NASA Astrophysics Data System (ADS)

    Sharma, Ashutosh; Jang, Yong-Joo; Jung, Jae Pil

    2017-10-01

    In this study, plasma electrolytic oxidation (PEO) process has been employed to fabricate alumina coatings on AA 6061 aluminum alloy from an electrolyte containing water glass (Na2SiO3) and alkali (KOH). The effect of deposition time and the alkali to water glass (KOH: Na2SiO3) composition ratio on the coating morphology and properties are studied. The different phases of the oxide layer and microstructure are investigated by x-ray diffraction, scanning electron microscopy, and atomic force microscopy. The results indicate that initially γ-Al2O3 forms in the coating, and as the processing time is increased from 5 to 60 minutes, α-Al2O3 phase becomes prominent. Further, higher the content of Na2SiO3, higher is the hardness and coating growth rate due to the formation of stable α-Al2O3 and Al-Si-O phase. It has been reported that the optimum properties of the PEO coatings can be obtained at a ratio of KOH: Na2SiO3 ≈ 15:10 followed by 10:10.

  7. How to assess the plasma delivery of RONS into tissue fluid and tissue

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Szili, Endre J.; Gaur, Nishtha; Hong, Sung-Ha; Furuta, Hiroshi; Kurita, Hirofumi; Mizuno, Akira; Hatta, Akimitsu; Short, Robert D.

    2016-08-01

    The efficacy of helium (He) and argon (Ar) plasma jets are being investigated for different healthcare applications including wound and cancer therapy, sterilisation and surface disinfections. Current research points to a potential link between the generation of reactive oxygen and nitrogen species (RONS) and outcomes in a range of biological and medical applications. As new data accrue, further strengthening this link, it becomes important to understand the controlled delivery of RONS into solutions, tissue fluids and tissues. This paper investigates the use of He and Ar plasma jets to deliver three RONS (hydrogen peroxide—H2O2, nitrite—\\text{NO}2- and nitrate—\\text{NO}3- ) and molecular oxygen (O2) directly into deionised (DI) water, or indirectly into DI water through an agarose target. The DI water is used in place of tissue fluid and the agarose target serves as a surrogate of tissue. Direct plasma jet treatments deliver more RONS and O2 than the through-agarose treatments for equivalent treatments times. The former only deliver RONS whilst the plasma jets are ignited; the latter continues to deliver RONS into the DI water long after the plasmas are extinguished. The He plasma jet is more effective at delivering H2O2 and \\text{NO}2- directly into DI water, but the Ar plasma jet is more effective at nitrating the DI water in both direct and through-agarose treatments. DI water directly treated with the plasma jets is deoxygenated, with the He plasma jet purging more O2 than the Ar plasma jet. This effect is known as ‘sparging’. In contrast, for through-agarose treatments both jets oxygenated the DI water. These results indicate that in the context of direct and indirect plasma jet treatments of real tissue fluids and tissue, the choice of process gas (He or Ar) could have a profound effect on the concentrations of RONS and O2. Irrespective of operating gas, sparging of tissue fluid (in an open wound) for long prolonged periods during direct plasma

  8. Plasma observations near Saturn - Initial results from Voyager 2

    NASA Technical Reports Server (NTRS)

    Bridge, H. S.; Bagenal, F.; Belcher, J. W.; Lazarus, A. J.; Mcnutt, R. L.; Sullivan, J. D.; Gazis, P. R.; Hartle, R. E.; Ogilvie, K. W.; Scudder, J. D.

    1982-01-01

    Results of plasma measurements made by Voyager 2 in the vicinity of Saturn are discussed and compared with those made by Pioneer 11 and Voyager 1 in a more limited range of latitudes. The initial bow shock crossing on the inbound trajectory closely agreed with the shock position inferred from the external ram pressure in the solar wind, although boundaries on the outbound pass were much further out than expected. Magnetospheric plasma observations reveal the presence of (1) shocked solar wind plasma in the magnetosheath between 30 and 22 Saturn radii; (2) a variable density region between 17 Saturn radii and the magnetopause; (3) an extended thick plasma sheet between 17 and 7 Saturn radii; and (4) an inner plasma torus probably originating from local sources. The ratio of heavy to light ions was observed to vary with distance to the equatorial plane in the dayside magnetosphere, with the heavy ions, probably O(+), more closely confined to the equatorial plane. The plasma data also account for the observed inner boundary of the neutral hydrogen torus discovered by Voyager 1.

  9. Determination of plasma azathioprine and 6-mercaptopurine in patients with rheumatoid arthritis treated with oral azathioprine.

    PubMed

    Liliemark, J; Pettersson, B; Lafolie, P; Zweig, T; Peterson, C

    1990-07-01

    Two specific high-performance liquid chromatography methods for determining plasma concentrations of azathioprine and 6-mercaptopurine after oral administration of azathioprine are presented. It was shown that azathioprine is unstable in the blood samples unless immediately cooled in ice water. The 2-amino analog, guaneran, was used as internal standard for azathioprine, which was extracted from plasma with ethylacetate. A Nucleosil C18 column was used for the separation. The detection limit was 6 nM. For quantification of 6-mercaptopurine, 6-thioguanine was used as internal standard. Plasma was deproteinized with HClO4 and the sample was purified on mercurial cellulose. A Beckman ODS column was used and the detection limit was 5 nM. Pharmacokinetic data from two patients are presented. Unchanged azathioprine was seen until 6 h after an oral dose of 32 mg/m2.

  10. Three-species biofilm model onto plasma-treated titanium implant surface.

    PubMed

    Matos, Adaias O; Ricomini-Filho, Antônio P; Beline, Thamara; Ogawa, Erika S; Costa-Oliveira, Bárbara E; de Almeida, Amanda B; Nociti Junior, Francisco H; Rangel, Elidiane C; da Cruz, Nilson C; Sukotjo, Cortino; Mathew, Mathew T; Barão, Valentim A R

    2017-04-01

    In this study, titanium (Ti) was modified with biofunctional and novel surface by micro-arc oxidation (MAO) and glow discharge plasma (GDP) and we tested the development of a three-species periodontopatogenic biofilm onto the treated commercially-pure titanium (cpTi) surfaces. Machined and sandblasted surfaces were used as control group. Several techniques for surface characterizations and monoculture on bone tissue cells were performed. A multispecies biofilm composed of Streptococcus sanguinis, Actinomyces naeslundii and Fusobacterium nucleatum was developed onto cpTi discs for 16.5h (early biofilm) and 64.5h (mature biofilm). The number of viable microorganisms and the composition of the extracellular matrix (proteins and carbohydrates) were determined. The biofilm organization was analyzed by scanning electron microscopy (SEM) and Confocal laser scanning microscopy (CLSM). In addition, MC3T3-E1 cells were cultured on the Ti surfaces and cell proliferation (MTT) and morphology (SEM) were assessed. MAO treatment produced oxide films rich in calcium and phosphorus with a volcano appearance while GDP treatment produced silicon-based smooth thin-film. Plasma treatments were able to increase the wettability of cpTi (p<0.05). An increase of surface roughness (p<0.05) and formation of anatase and rutile structures was noted after MAO treatment. GDP had the greatest surface free energy (p<0.05) while maintaining the surface roughness compared to the machined control (p>0.05). Plasma treatment did not affect the viable microorganisms counts, but the counts of F. nucleatum was lower for MAO treatment at early biofilm phase. Biofilm extracellular matrix was similar among the groups, excepted for GDP that presented the lowest protein content. Moreover, cell proliferation was not significantly affected by the experimental, except for MAO at 6days that resulted in an increased cell proliferative. Together, these findings indicate that plasma treatments are a viable and

  11. Optical, morphology and electrical properties of In2O3 incorporating acid-treated single-walled carbon nanotubes based DSSC

    NASA Astrophysics Data System (ADS)

    Mahalingam, S.; Abdullah, H.; Ashaari, I.; Shaari, S.; Muchtar, A.

    2016-02-01

    This study focuses on the influence of an acid treatment process of single-walled carbon nanotubes (SWCNTs) in In2O3-based dye-sensitized solar cells (DSSCs). Pure In2O3, In2O3-SWCNTs with acid treatment and In2O3-SWCNTs without acid treatment were prepared using the sol-gel method via a spin coating technique annealed at 450 °C. The optical, morphology and electrical properties of the photoanodes were characterized by means of UV-Vis analysis, atomic force microscopy and field-emission scanning electron microscopy, and J-V curve measurements, respectively. The optical band gap obtained through UV-Vis analysis showed that the acid treatment process modified the band gap of the photoanode, which enhances the V oc of the DSSCs. In addition, In2O3-SWCNTs with acid treatment possess a porous structure that improves the power conversion efficiency (PCE) of the DSSCs. In addition, the diameter of acid-treated SWCNTs was reduced compared to pristine SWCNTs. In2O3-SWCNTs with acid treatment exhibited the highest PCE of 1.40% with J sc of 7.6 mA cm-2, V oc of 0.51 V, and fill factor of 0.36. The increment in V oc is due to the higher band gap obtained through the UV-Vis absorption spectrum. Moreover, In2O3-SWCNTs with acid treatment has a higher electron lifetime with a higher effective diffusion coefficient that slows down the recombination rate and speeds up the electron transport process.

  12. Experimental study of NO2 reduction in N2/Ar and O2/Ar mixtures by pulsed corona discharge.

    PubMed

    Zhu, Xinbo; Zheng, Chenghang; Gao, Xiang; Shen, Xu; Wang, Zhihua; Luo, Zhongyang; Cen, Kefa

    2014-11-01

    Non-thermal plasma technology has been regarded as a promising alternative technology for NOx removal. The understanding of NO2 reduction characteristics is extremely important since NO2 reduction could lower the total NO oxidation rate in the plasma atmosphere. In this study, NO2 reduction was experimentally investigated using a non-thermal plasma reactor driven by a pulsed power supply for different simulated gas compositions and operating parameters. The NO2 reduction was promoted by increasing the specific energy density (SED), and the highest conversion rates were 33.7%, 42.1% and 25.7% for Ar, N2/Ar and O2/Ar, respectively. For a given SED, the NO2 conversion rate had the order N2/Ar>Ar>O2/Ar. The highest energy yield of 3.31g/kWh was obtained in N2/Ar plasma and decreased with increasing SED; the same trends were also found in the other two gas compositions. The conversion rate decreased with increasing initial NO2 concentration. Furthermore, the presence of N2 or O2 led to different reaction pathways for NO2 conversion due to the formation of different dominating reactive radicals. Copyright © 2014. Published by Elsevier B.V.

  13. Al2O3/ZrO2/Y3Al5O12 Composites: A High-Temperature Mechanical Characterization

    PubMed Central

    Palmero, Paola; Pulci, Giovanni; Marra, Francesco; Valente, Teodoro; Montanaro, Laura

    2015-01-01

    An Al2O3/5 vol%·ZrO2/5 vol%·Y3Al5O12 (YAG) tri-phase composite was manufactured by surface modification of an alumina powder with inorganic precursors of the second phases. The bulk materials were produced by die-pressing and pressureless sintering at 1500 °C, obtaining fully dense, homogenous samples, with ultra-fine ZrO2 and YAG grains dispersed in a sub-micronic alumina matrix. The high temperature mechanical properties were investigated by four-point bending tests up to 1500 °C, and the grain size stability was assessed by observing the microstructural evolution of the samples heat treated up to 1700 °C. Dynamic indentation measures were performed on as-sintered and heat-treated Al2O3/ZrO2/YAG samples in order to evaluate the micro-hardness and elastic modulus as a function of re-heating temperature. The high temperature bending tests highlighted a transition from brittle to plastic behavior comprised between 1350 and 1400 °C and a considerable flexural strength reduction at temperatures higher than 1400 °C; moreover, the microstructural investigations carried out on the re-heated samples showed a very limited grain growth up to 1650 °C. PMID:28787961

  14. Tailored adhesion behavior of polyelectrolyte thin films deposited on plasma-treated poly(dimethylsiloxane) for functionalized membranes

    NASA Astrophysics Data System (ADS)

    Bassil, Joelle; Alem, Halima; Henrion, Gérard; Roizard, Denis

    2016-04-01

    Completely homogenous films formed via the layer-by-layer assembly of poly(diallyldimethylammonium chloride) (PDADMAC) and the poly(styrene sulfonate) were successfully obtained on plasma-treated poly(dimethylsiloxane) (PDMS) substrates. To modify the hydrophobicity of the PDMS surface, a cold plasma treatment was previously applied to the membrane, which led to the creation of hydrophilic groups on the surface of the membrane. PDMS wettability and surface morphology were successfully correlated with the plasma parameters. A combination of contact angle measurements, scanning electron microscopy (SEM) and atomic force microscopy (AFM) analysis was used to demonstrate that homogeneous and hydrophilic surfaces could be achieved on PDMS cold-plasma-treated membranes. The stability of the assembled PEL layer on the PDMS was evaluated using a combination of pull-off testing and X-ray photoelectron spectroscopy (XPS), which confirmed the relevance of a plasma pre-treatment as the adhesion of the polyelectrolyte multilayers was greatly enhanced when the deposition was completed on an activated PDMS surface at 80 W for 5 min.

  15. SeO2 adsorption on CaO surface: DFT and experimental study on the adsorption of multiple SeO2 molecules

    NASA Astrophysics Data System (ADS)

    Fan, Yaming; Zhuo, Yuqun; Li, Liangliang

    2017-10-01

    SeO2 adsorption mechanisms on CaO surface were firstly investigated by both density functional theory (DFT) calculations and adsorption experiments. Adsorption of multiple SeO2 on the CaO (001) surface was investigated using slab model. Based on the results of adsorption energy and surface property, a double-layer adsorption mechanisms were proposed. In experiments, the SeO2 adsorption products were prepared in a U-shaped quartz reactor at 200 °C. The surface morphology was investigated by field emission scanning electron microscopy (FE-SEM). The superficial and total SeO2 mass fractions were measured by X-ray photoelectron spectroscopy (XPS) and inductively coupled plasma atomic emission spectroscopy (ICP-AES), respectively. The surface valence state and bulk structure are determined by XPS and X-Ray Diffraction (XRD). The experimental results are in good agreement with the DFT results. In conclusion, the fundamental SeO2 chemisorption mechanisms on CaO surface were suggested.

  16. Application of H2O and UV/H2O2 processes for enhancing the biodegradability of reactive black 5 dye.

    PubMed

    Kalpana, S Divya; Kalyanaraman, Chitra; Gandhi, N Nagendra

    2011-07-01

    Leather processing is a traditional activity in India during which many organic and inorganic chemicals are added while part of it is absorbed by the leather, the remaining chemicals are discharged along with the effluent. The effluent contains both easily biodegradable and not easily biodegradable synthetic organics like dyes, syntans. Easily biodegradable organics are removed in the existing biological treatment units whereas synthetic organics present in the wastewater are mostly adsorbed over the microbes. As the tannery effluent contains complex chemicals, it is difficult to ascertain the degradation of specific pollutants. To determine the increase in the biodegradability, one of the complex and synthetic organic chemical like dye used in the tanning operation was selected for Advanced Oxidation Process (AOPs) treatment for cleaving complex organics and its subsequent treatment in aerobic process. In the present study, Reactive Black 5 Dye used in the tanning operation was selected for Hydrogen Peroxide (H2O2) and UV/H2O2 pre-treatment for different operating conditions like pH, contact time and different volume of H2O2. A comparison was made between the untreated, Hydrogen Peroxide (H2O2) and UV/H2O2 treated effluent in order to ascertain the influence of AOP on the improvement of biodegradability of effluent. An increase in the BOD5/COD ratio from 0.21 to 0.435 was achieved in the UV/H2O2 pre-treatment process. This pre-treated effluent was further subjected to aerobic process. Biochemical Oxygen Demand (BOD5) and Chemical Oxygen Demand (COD) removal efficiency of the UV/H2O2 pre-treated dye solution in the aerobic process was found to be 86.39% and 77.82% when compared to 52.43% of BOD5 and 51.55% of COD removal efficiency without any pre-treatment. Hence from these results, to increase the biodegradability of Reactive Black 5 dye pre-treatment methods like H2O2 and UV/H2O2 can be used prior to biological treatment process.

  17. VUV Spectra observed in C-2 FRC plasma

    NASA Astrophysics Data System (ADS)

    Osin, Dmitry; Douglass, Jon; Tuszewski, Michel; TAE Team

    2014-10-01

    A grazing incidence flat-field spectrometer was installed for observation of vuv-spectra in C-2 FRC experiment. Wavelength calibration was done by observing spectra of six different gases produced by a hollow-cathode discharge lamp . In addition, in-situ calibration and alignment were performed utilizing neutral-beam heated gases. Wavelength regions between 16 nm and 170 nm was investigated with accuracy of about 0.02 nm. VUV-spectral lines of the most abundant impurity ions were identified both for Plasma Gun and C-2 plasmas. In addition to D spectrum, strong lines of O III-VI, N IV-V, C II-III, and Fe II ions were observed during the plasma lifetime. VUV radiative power losses within energy range from 7.3 eV to 81 eV were estimated based on the calculated FRC dimensions.

  18. Single liquid source plasma-enhanced metalorganic chemical vapor deposition of high-quality YBa2Cu3O(7-x) thin films

    NASA Technical Reports Server (NTRS)

    Zhang, Jiming; Gardiner, Robin A.; Kirlin, Peter S.; Boerstler, Robert W.; Steinbeck, John

    1992-01-01

    High quality YBa2Cu3O(7-x) films were grown in-situ on LaAlO3 (100) by a novel single liquid source plasma-enhanced metalorganic chemical vapor deposition process. The metalorganic complexes M(thd) (sub n), (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate; M = Y, Ba, Cu) were dissolved in an organic solution and injected into a vaporizer immediately upstream of the reactor inlet. The single liquid source technique dramatically simplifies current CVD processing and can significantly improve the process reproducibility. X-ray diffraction measurements indicated that single phase, highly c-axis oriented YBa2Cu3O(7-x) was formed in-situ at substrate temperature 680 C. The as-deposited films exhibited a mirror-like surface, had transition temperature T(sub cO) approximately equal to 89 K, Delta T(sub c) less than 1 K, and Jc (77 K) = 10(exp 6) A/sq cm.

  19. Synthesis and electrochemical properties of Li2/3Ni1/3Mn2/3O2 as a novel 5 V class positive electrode material for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Chiba, Kazuki; Shikano, Masahiro; Sakaebe, Hikari

    2016-02-01

    A lithium nickel manganese oxide, O3-Li2/3Ni1/3Mn2/3O2, is synthesized from the precursor, P3-Na2/3Ni1/3Mn2/3O2, by a Na+/Li+ ion exchange reaction using molten salt. Post-heating at 300, 400, 500, 600, and 700 °C is carried out for 5 h in air. The products are characterized by powder XRD, inductively coupled plasma-atomic emission spectroscopy (ICP-AES), SEM, 6Li-magic-angle-spinning-NMR, and electrochemical measurements. The charge/discharge profiles of O3-Li2/3Ni1/3Mn2/3O2, thermally treated at 500 °C, show a high-potential plateau region at 4.8 V. Furthermore, sloping voltage profiles are observed at an average voltage of 3.21 V. An initial discharge capacity of 257 mA h g-1 is obtained between 2.0 and 4.8 V with a current density of 15 mA g-1 at 25 °C. This capacity corresponds to 0.90 electron transfers per formula unit. This study shows that Post-heating of O3-Li2/3Ni1/3Mn2/3O2 is effective to improve its electrochemical properties.

  20. Synthesis mechanism and preparation of LaMgAl11O19 powder for plasma spraying

    NASA Astrophysics Data System (ADS)

    He, Mingtao; Meng, Huimin; Wang, Yuchao; Ren, Pengwei

    2018-06-01

    Lanthanide magnesium hexaaluminate (LaMgAl11O19) powders were successfully synthesized by the solid-state reaction method. The objective of this study was to investigate the synthesis mechanism of LaMgAl11O19 and prepare LaMgAl11O19 powders suitable for plasma spraying. The results show that LaAlO3 reacts with MgAl2O4 and Al2O3 to form LaMgAl11O19 at approximately 1300 °C. Single-phase LaMgAl11O19 powders were prepared successfully by solid-state reaction at a synthesis temperature of 1600 °C for 6 h. Unlike the particles in the synthesized powders, those of the centrifugally spray-dried powders have a spherical shape with uniform granularity and good flowability, density, and particle size distribution, making them suitable for plasma spraying. The synthesized powders and centrifugally spray-dried powders remained as a single phase after heat treatment at 1300 °C for 100 h, indicating that LaMgAl11O19 has excellent high-temperature stability.

  1. Studies on the effect of acid treated TiO{sub 2} on the electrical and tensile properties of hexanoyl chitosan-polystyrene-LiCF{sub 3}SO{sub 3} composite polymer electrolytes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanif, Nur Shazlinda Muhammad; Shahril, Nur Syuhada Mohd; Azmar, Amisha

    2015-08-28

    Composite polymer electrolytes (CPEs) comprised of hexanoyl chitosan:polystyrene (90:10) blend, lithium triflouromethanesulfonate (LiCF{sub 3}SO{sub 3}) salt and titanium oxide (TiO{sub 2}) filler were prepared by solution casting technique. The TiO{sub 2} fillers were treated with 2% sulphuric acid (H{sub 2}SO{sub 4}) aqueous solution. The effect of acid treated TiO{sub 2} on the electrical and tensile properties of the electrolytes were investigated. Acid treated TiO{sub 2} decreased the electrolyte conductivity. Both the dielectric constant and dielectric loss decrease with increasing frequency and increases with increasing temperature. Relaxation times for ionic carriers were extracted from the loss tangent maximum peak at variousmore » temperatures. A distribution of relaxation time implied the non-Debye response. At all frequencies, ac conductivity increases with increasing temperature. An enhancement in the Young’s modulus was observed with the addition of TiO{sub 2}. The Young’s modulus increases with increasing TiO{sub 2} content. This is discussed using the percolation concept.« less

  2. Plasma-assisted synthesis of MoS2

    NASA Astrophysics Data System (ADS)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  3. H2O2/HCl and heat-treated Ti-6Al-4V stimulates pre-osteoblast proliferation and differentiation.

    PubMed

    Shi, Geng-sheng; Ren, Ling-fei; Wang, Lin-zhi; Lin, Hai-sheng; Wang, Sha-bin; Tong, Yong-qing

    2009-09-01

    The purpose of the present study was to evaluate the bioactivity of chemical treatment of titanium alloy (Ti-6Al-4V) in vitro. Smooth-surface discs of Ti-6Al-4V were used in this study. Sandblasted, dual acid-etched and H(2)O(2)/HCl heat-treated discs were set as test group, and sandblasted, dual acid-etched discs as control group. SEM and XRD analysis revealed a porous anatase gel layer on rough surface in the test group and a rough surface in the control group. Mouse pre-osteoblasts (MC3T3-E1 cells) were cultured on these 2 group discs, and then cell proliferation and differentiation were examined 4 days, 7 days, and 14 days after cell seeding. Cell proliferation was greatly stimulated at all time points when cultured in test group (P < .05). The alkaline phosphatase (ALP) activity and osteocalcin (OC) production were much higher in the test group compared with the control group at every time point investigated (P < .05). Furthermore, in the test group, the expressions of alkaline phosphatase-2, osteocalcin, and collagen type I alpha 1 mRNAs were significantly up-regulated as compared with those in the control group (P < .05 or P < .01). The results suggested that H(2)O(2)/HCl and heat-treatment might facilitate better integration of Ti-6Al-4V implants with bone.

  4. [Plasma orexin-A level in patients with obstructive apnea-hypopnea syndrome].

    PubMed

    Yao, Xingqi; Yang, Hui; Zhang, Guifeng; Tang, Ying

    2006-06-01

    To investigate the effect of uvulopalatopharyngoplasty (UPPP) on changes of plasma orexin-A levels in patients with obstructive sleep apnea-hypopnea syndrome (OSAHS). Fifty-four cases with OSAHS diagnosed by polysomnography were treated with uvulopalatopharyngoplasty and hyoid suspension. Plasma orexin-A levels in patients with OSAHS before and after surgical procedure 6 months, and in 20 healthy people with age,sex and BMI matched controls were measured by radioimmunoassay. The plasma was deproteinized by chromatography. Correlations between orexin-A levels and AHI, MAI and SaO2 min were analyzed. The plasma orexin-A levels in the OSAHS group was significantly higher than those in the control group (P < 0.01). Plasma orexin A levels in the patients with OSAHS correlated positively with the AHI (r < 0.658, P < 0.05) and MAI. (r = 0.464, P < 0.05) but correlated negatively with the SaO2 min. The plasma orexin-A levels of 54 responders after 6 months were significantly decreased (P < 0.01) than that of pre-operation, and there is no correlation between plasma orexin-A levels and BMI. The plasma orexin-A levels in the OSAHS patients are increased which may be caused by repeated nocturnal apnoea and hypoxia due to OSAHS. Surgical procedures may decreased the plasma orexin-A levels.

  5. Subsurface plasma in beam of continuous CO2-laser

    NASA Astrophysics Data System (ADS)

    Danytsikov, Y. V.; Dymshakov, V. A.; Lebedev, F. V.; Pismennyy, V. D.; Ryazanov, A. V.

    1986-03-01

    Experiments performed at the Institute of Atomic Energy established the conditions for formation of subsurface plasma in substances by laser radiation and its characteristics. A quasi-continuous CO2 laser emitting square pulses of 0.1 to 1.0 ms duration and 1 to 10 kW power as well as a continuous CO2 laser served as radiation sources. Radiation was focused on spots 0.1 to 0.5 mm in diameter and maintained at levels ensuring constant power density during the interaction time, while the temperature of the target surface was measured continuously. Metals, graphite and dielectric materials were tested with laser action taking place in air N2 + O2 mixtures, Ar or He atmosphere under pressures of 0.01 to 1.0 atm. Data on radiation intensity thresholds for evaporation and plasma formation were obtained. On the basis of these thresholds, combined with data on energy balance and the temperature profile in plasma layers, a universal state diagram was constructed for subsurface plasma with nonquantified surface temperature and radiation intensity coordinates.

  6. Cross-Contamination of Residual Emerging Contaminants and Antibiotic Resistant Bacteria in Lettuce Crops and Soil Irrigated with Wastewater Treated by Sunlight/H2O2.

    PubMed

    Ferro, Giovanna; Polo-López, María I; Martínez-Piernas, Ana B; Fernández-Ibáñez, Pilar; Agüera, Ana; Rizzo, Luigi

    2015-09-15

    The sunlight/H2O2 process has recently been considered as a sustainable alternative option compared to other solar driven advanced oxidation processes (AOPs) in advanced treatment of municipal wastewater (WW) to be reused for crop irrigation. Accordingly, in this study sunlight/H2O2 was used as disinfection/oxidation treatment for urban WW treatment plant effluent in a compound parabolic collector photoreactor to assess subsequent cross-contamination of lettuce and soil by contaminants of emerging concern (CECs) (determined by QuEChERS extraction and LC-QqLIT-MS/MS analysis) and antibiotic resistant (AR) bacteria after irrigation with treated WW. Three CECs (carbamazepine (CBZ), flumequine (FLU), and thiabendazole (TBZ) at 100 μg L(-1)) and two AR bacterial strains (E. coli and E. faecalis, at 10(5) CFU mL(-1)) were spiked in real WW. A detection limit (DL) of 2 CFU mL(-1) was reached after 120 min of solar exposure for AR E. coli, while AR E. faecalis was more resistant to the disinfection process (240 min to reach DL). CBZ and TBZ were poorly removed after 90 min (12% and 50%, respectively) compared to FLU (94%). Lettuce was irrigated with treated WW for 5 weeks. CBZ and TBZ were accumulated in soil up to 472 ng g(-1) and 256 ng g(-1) and up-taken by lettuce up to 109 and 18 ng g(-1), respectively, when 90 min treated WW was used for irrigation; whereas no bacteria contamination was observed when the bacterial density in treated WW was below the DL. A proper treatment time (>90 min) should be guaranteed in order to avoid the transfer of pathogens from disinfected WW to irrigated crops and soil.

  7. Influence of deposition temperature on mechanical properties of plasma-sprayed hydroxyapatite coating on titanium alloy with ZrO2 intermediate layer

    NASA Astrophysics Data System (ADS)

    Chou, Bang-Yen; Chang, Edward

    2003-06-01

    Hydroxyapatite coatings were plasma sprayed on the Ti6A14V substrate with and without an intermediate ZrO2 layer; meanwhile the temperatures of substrates were varied at 90, 140, and 200 °C. The coatings were subjected to the standard adhesion test per ASTM C633-79. The purpose of the investigation was to study the effects of those processing variables on the bonding strength and failure behavior of the system. It is found that the bonding strengths of HA/ZrO2 and HA coatings generally decrease with increasing substrate temperature, except for the HA/ZrO2 coating deposited at 200 °C. The rationale of the results is attributed to the residual stress reported in the literature. Introducing ZrO2 bond coat is found to significantly promote the bonding strength of HA coating. The possible strengthening mechanism is the rougher surface of ZrO2 bond coat and the higher toughness of ZrO2, which provide the mechanical strengthening effects. The slightly denser HA in 200 °C deposited HA coating cannot explain the high bonding strength of the HA/ZrO2 coating, nor the mechanical strengthening effect of ZrO2 intermediate layer should apply. It is believed that a stronger diffusion bonding is formed at the interface of HA and ZrO2, which increases the bonding between them chemically. The bonding strengths of HA/ZrO2 and HA coatings are correlated with the area fraction of adhesive failure of the coatings. The correlation explains the findings in this study.

  8. Study on structural, morphological and thermal properties of surface modified polyvinylchloride (PVC) film under air, argon and oxygen discharge plasma

    NASA Astrophysics Data System (ADS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Serra Rodríguez, Carmen

    2016-09-01

    The effect of air, argon, oxygen DC glow discharge plasma on the polyvinylchloride (PVC) film synthesized by solution casting technique, were evaluated via changes in physio-chemical properties such as structural, morphological, crystalline, thermal properties. The PVC film was plasma treated as a function of exposure time and different plasma forming gases, while other operating parameters such as power and pressure remained constant at 100 W and 2 Pa respectively. The plasma treated PVC were characterized by static contact angle, ATR-FTIR, XPS, AFM and T-peel analysis. It was found that various gaseous plasma treatments have improved the polar components, surface roughness on the surface of PVC which was confirmed by XPS, AFM, resulting in highly enhanced wettability and adhesion. X-ray diffraction study showed that plasma treatment does not persuade considerable change, even though it vaguely induces the crystallinity. The thermal properties of plasma treated PVC were evaluated by Differential Scanning Calorimetry and it was observed that O2 plasma treatment gives higher glass transition temperature of 87.21 °C compared with the untreated one. The glass transition temperature slightly increased for Oxygen plasma treated material due to the presence of higher concentration of the polar functional groups on the PVC surface due to strong intramolecular bonding.

  9. Investigations of nanodimensional Al{sup 2}O{sup 3} films deposited by ion-plasma sputtering onto porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S.; Goloshchapov, D. L.

    2015-07-15

    The purpose of this study is the deposition of nanodimensional Al{sup 2}O{sup 3} films on the surface of nanoporous silicon and also fundamental investigations of the structural, optical, and morphological properties of these materials. Analyzing the results obtained here, it is possible to state that ultrathin nanostructured Al{sup 2}O{sup 3} films can be obtained in the form of threads oriented in one direction and located at a distance of 300–500 nm from each other using ion-plasma sputtering on a layer of porous silicon. Such a mechanism of aluminum-oxide growth is conditioned by the crystallographic orientation of the initial single-crystalline siliconmore » wafer used to fabricate the porous layer. The results of optical spectroscopy show that the Al{sup 2}O{sup 3}/por-Si/Si(111) heterophase structure perfectly transmits electromagnetic radiation in the range of 190–900 nm. The maximum in the dispersion of the refractive index obtained for the Al{sup 2}O{sup 3} film grown on por-Si coincides with the optical-absorption edge for aluminum oxide and is located in the region of ∼5.60 eV. This fact is confirmed by the results of calculations of the optical-absorption spectrum of the Al{sup 2}O{sup 3}/por-Si/Si(lll) heterophase structure. The Al{sup 2}O{sup 3} films formed on the heterophase-structure surface in the form of nanodimensional structured threads can serve as channels of optical conduction and can be rather efficiently introduced into conventional technologies, which are of great importance in microelectronics and optoelectronics.« less

  10. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  11. Contribution of B2 receptors for bradykinin in Arthus reaction-induced plasma extravasation in wild-type or B2 transgenic knockout mice

    PubMed Central

    Samadfam, R; Teixeira, C; Bkaily, G; Sirois, P; de Brum-Fernandes, A; D'Orleans-Juste, P

    2000-01-01

    The aim of the present study was to investigate the contribution of bradykinin (BK) B1 and B2 receptors in a model of type III hypersensitivity, the reverse passive Arthus reaction (RPA), in wild-type mice and transgenic B2 knockout littermates.BK (10 μg mouse−1) or bovine serum albumin (0.5 mg mouse−1) induced a sustained Evans blue extravasation for more than 80 min in naive or rabbit anti-bovine serum albumin-treated mice (RPA model), respectively. The response to the two stimuli was prevented by the B2 receptor antagonist, HOE-140, but not by [Leu8]desArg9-BK (B1 receptor antagonist).In contrast to the wild-type littermates, RPA and bradykinin were unable to trigger an increase in plasma extravasation in B2 knockout mice.Furthermore, endothelin-1 (5 μg mouse−1) and a selective NK-1 receptor agonist [Sar9,Met (O2)11]-SP (20 μg mouse−1), triggered a significant increase in peritoneal plasma extravasation in both wild-type and B2 knockout animals.A pretreatment with indomethacin (200 μg mouse−1) significantly reduced the RPA-induced but not the BK-induced increase in Evans blue extravasation. Furthermore, RPA, but not BK, triggered a significant indomethacin-sensitive increase in peritoneal prostaglandin E2 content.Our results suggest a pivotal role for B2 receptors in the mechanism of plasma extravasation which occurs during the reverse passive Arthus reaction in the mouse. Moreover, our results suggest an important contribution of prostanoids in the plasma leakage mechanisms triggered by RPA but not by bradykinin. PMID:10780980

  12. Neutral O2 and Ion O2+ Sources from Rings into the Inner Magnetosphere

    NASA Astrophysics Data System (ADS)

    Elrod, M. K.; Johnson, R. E.; Cassidy, T. A.; Wilson, R. J.; Tseng, W.; Ip, W.

    2009-12-01

    The primary source of neutral O2 for Saturn’s magnetosphere is due to solar UV photons protons that produce O2 from H2O ice decomposition over the main rings as well as the tenuous F and G rings resulting in a tenuous O2 atmosphere (Johnson et. al. 2006). The O2 atmosphere is very thin to the point of being nearly collisionless. Our model of the atmosphere predict that as it interacts with the ring particles, the O2 is adsorbed and desorbed from the rings causing changes in the trajectories, which in turn, allows for a distribution of O2 from the rings throughout the magnetosphere (Tokar et. al. 2005; Tseng et. al. 2009). Predominately through photo-ionization and ion-exchange these O2 neutrals from the ice grains become a source for O2+ ions in the inner magnetosphere. Once the O2 becomes ionized to become O2+ the ions then follow the field lines. The ions interact with the ice particles in the rings to stick to the ring particles effectively reducing the ion density. As a result the ion density is greater over the Cassini Division and the area between the F and G ring where the optical depth due to the ice grain is less. Accordingly, the neutral O2 densities would tend to be high over the higher optical depth of the B and A main rings where the source rates are higher. Models of the neutral densities have shown high densities over the main rings, with a tail through the magnetosphere. Analysis of the CAPS (Cassini Plasma Spectrometer) data from the Saturn Orbit Insertion (SOI) in 2004 shows a peak in density over the Cassini Division and a higher peak in O2+ ion density between the F and G rings. References: Johnson, R.E., J.G. Luhmann, R.L. Tokar, M. Bouhram, J.J. Berthelier, E.C. Siler, J.F. Cooper, T.W. Hill, H.T. Smith, M. Michael, M. Liu, F.J. Crary, D.T. Young, "Production, Ionization and Redistribution of O2 Saturn's Ring Atmosphere" Icarus 180, 393-402 (2006).(pdf) Tokar, R.L., and 12 colleagues, 2005. Cassini Observations of the Thermal Plasma in the

  13. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  14. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    NASA Astrophysics Data System (ADS)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  15. Atmospheric Pressure Non-Thermal Plasma Activation of CO2 in a Packed-Bed Dielectric Barrier Discharge Reactor.

    PubMed

    Mei, Danhua; Tu, Xin

    2017-11-17

    Direct conversion of CO 2 into CO and O 2 is performed in a packed-bed dielectric barrier discharge (DBD) non-thermal plasma reactor at low temperatures and atmospheric pressure. The maximum CO 2 conversion of 22.6 % is achieved when BaTiO 3 pellets are fully packed into the discharge gap. The introduction of γ-Al 2 O 3 or 10 wt % Ni/γ-Al 2 O 3 catalyst into the BaTiO 3 packed DBD reactor increases both CO 2 conversion and energy efficiency of the plasma process. Packing γ-Al 2 O 3 or 10 wt % Ni/γ-Al 2 O 3 upstream of the BaTiO 3 bed shows higher CO 2 conversion and energy efficiency compared with that of mid- or downstream packing modes because the reverse reaction of CO 2 conversion-the recombination of CO and O to form CO 2 -is more likely to occur in mid- and downstream modes. Compared with the γ-Al 2 O 3 support, the coupling of the DBD with the Ni catalyst shows a higher CO 2 conversion, which can be attributed to the presence of Ni active species on the catalyst surface. The argon plasma treatment of the reacted Ni catalyst provides extra evidence to confirm the role of Ni active species in the conversion of CO 2 . © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Investigation of plasma dynamics and spatially varying O and OH concentrations in atmospheric pressure plasma jets impinging on glass, water and metal substrates

    NASA Astrophysics Data System (ADS)

    Yue, Yuanfu; Pei, Xuekai; Gidon, Dogan; Wu, Fan; Wu, Shuqun; Lu, Xinpei

    2018-06-01

    Atmospheric pressure plasma jets (APPJs) have attracted considerable attention over the last decade, specifically for use in surface engineering. A comparative study of an APPJ, driven by pulsed DC voltage, is conducted in order to examine the plasma impingement onto different surfaces. In this paper, the effect of gas flow rate and composition is investigated using three kinds of substrates: dielectric glass, distilled water and metal plate using fast imaging. Alongside discharges associated with rising and falling voltage, a so-called third discharge is observed during the pulse for water and metal surfaces which corresponds to a restrike breakdown from surfaces to nozzle. The differences in plasma dynamics observed are mainly attributed to the differences in substrate conductivity. In addition, spatial and temporal distributions of OH and O density are investigated by means of laser induced fluorescence (LIF). The OH/O LIF intensity is found to be much higher for metal and water substrates compared to the glass plate. We attribute this effect to the differences in power dissipation associated with the presence and intensity of the third discharge. Effects of gas flow rate and seed gas (H2O and O2) mixing on the LIF enhancement are also studied. The related results provide additional insights for optimizing the generation of reactive species.

  17. Solar treatment (H2O2, TiO2-P25 and GO-TiO2 photocatalysis, photo-Fenton) of organic micropollutants, human pathogen indicators, antibiotic resistant bacteria and related genes in urban wastewater.

    PubMed

    Moreira, Nuno F F; Narciso-da-Rocha, Carlos; Polo-López, M Inmaculada; Pastrana-Martínez, Luisa M; Faria, Joaquim L; Manaia, Célia M; Fernández-Ibáñez, Pilar; Nunes, Olga C; Silva, Adrián M T

    2018-05-15

    Solar-driven advanced oxidation processes were studied in a pilot-scale photoreactor, as tertiary treatments of effluents from an urban wastewater treatment plant. Solar-H 2 O 2 , heterogeneous photocatalysis (with and/or without the addition of H 2 O 2 and employing three different photocatalysts) and the photo-Fenton process were investigated. Chemical (sulfamethoxazole, carbamazepine, and diclofenac) and biological contaminants (faecal contamination indicators, their antibiotic resistant counterparts, 16S rRNA and antibiotic resistance genes), as well as the whole bacterial community, were characterized. Heterogeneous photocatalysis using TiO 2 -P25 and assisted with H 2 O 2 (P25/H 2 O 2 ) was the most efficient process on the degradation of the chemical organic micropollutants, attaining levels below the limits of quantification in less than 4 h of treatment (corresponding to Q UV  < 40 kJ L -1 ). This performance was followed by the same process without H 2 O 2 , using TiO 2 -P25 or a composite material based on graphene oxide and TiO 2 . Regarding the biological indicators, total faecal coliforms and enterococci and their antibiotic resistant (tetracycline and ciprofloxacin) counterparts were reduced to values close, or beneath, the detection limit (1 CFU 100 mL -1 ) for all treatments employing H 2 O 2 , even upon storage of the treated wastewater for 3-days. Moreover, P25/H 2 O 2 and solar-H 2 O 2 were the most efficient processes in the reduction of the abundance (gene copy number per volume of wastewater) of the analysed genes. However, this reduction was transient for 16S rRNA, intI1 and sul1 genes, since after 3-days storage of the treated wastewater their abundance increased to values close to pre-treatment levels. Similar behaviour was observed for the genes qnrS (using TiO 2 -P25), bla CTX-M and bla TEM (using TiO 2 -P25 and TiO 2 -P25/H 2 O 2 ). Interestingly, higher proportions of sequence reads affiliated to the phylum Proteobacteria

  18. Hydrophilic surface modification of coronary stent using an atmospheric pressure plasma jet for endothelialization.

    PubMed

    Shim, Jae Won; Bae, In-Ho; Park, Dae Sung; Lee, So-Youn; Jang, Eun-Jae; Lim, Kyung-Seob; Park, Jun-Kyu; Kim, Ju Han; Jeong, Myung Ho

    2018-03-01

    The first two authors contributed equally to this study. Bioactivity and cell adhesion properties are major factors for fabricating medical devices such as coronary stents. The aim of this study was to evaluate the advantages of atmospheric-pressure plasma jet in enhancing the biocompatibility and endothelial cell-favorites. The experimental objects were divided into before and after atmospheric-pressure plasma jet treatment with the ratio of nitrogen:argon = 3:1, which is similar to air. The treated surfaces were basically characterized by means of a contact angle analyzer for the activation property on their surfaces. The effect of atmospheric-pressure plasma jet on cellular response was examined by endothelial cell adhesion and XTT analysis. It was difficult to detect any changeable morphology after atmospheric-pressure plasma jet treatment on the surface. The roughness was increased after atmospheric-pressure plasma jet treatment compared to nonatmospheric-pressure plasma jet treatment (86.781 and 7.964 nm, respectively). The X-ray photoelectron spectroscopy results showed that the surface concentration of the C-O groups increased slightly from 6% to 8% after plasma activation. The contact angle dramatically decreased in the atmospheric-pressure plasma jet treated group (22.6 ± 15.26°) compared to the nonatmospheric-pressure plasma jet treated group (72.4 ± 15.26°) ( n = 10, p < 0.05). The effect of the increment in hydrophilicity due to the atmospheric-pressure plasma jet on endothelial cell migration and proliferation was 85.2% ± 12.01% and 34.2% ± 2.68%, respectively, at 7 days, compared to the nonatmospheric-pressure plasma jet treated group (58.2% ± 11.44% in migration, n = 10, p < 0.05). Taken together, the stent surface could easily obtain a hydrophilic property by the atmospheric-pressure plasma jet method. Moreover, the atmospheric-pressure plasma jet might affect re-endothelialization after stenting.

  19. Optimization of a RF-generated CF4/O2 gas plasma sterilization process.

    PubMed

    Lassen, Klaus S; Nordby, Bolette; Grün, Reinar

    2003-05-15

    A sterilization process with the use of RF-generated (13.56 MHz) CF(4)/O(2) gas plasma was optimized in regards to power, flow rate, exposure time, and RF-system type. The dependency of the sporicidal effect on the spore inoculum positioning in the chamber of the RF systems was also investigated. Dried Bacillus stearothermophilus ATCC 7953 endospores were used as test organisms. The treatments were evaluated on the basis of survival curves and corresponding D values. The only parameter found to affect the sterilization process was the power of the RF system. Higher power resulted in higher kill. Finally, when the samples were placed more than 3-8 cm away from a centrally placed electrode in System 2, the sporicidal effect was reduced. The results are discussed and compared to results from the present literature. The RF excitation source is evaluated to be more appropriate for sterilization processes than the MW source. Copyright 2003 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater 65B: 239-244, 2003

  20. Investigation of E. coli bacteria inactivation by photocatalytic activity of TiO2 coated expanded polystyrene foam

    NASA Astrophysics Data System (ADS)

    Varnagiris, S.; Sakalauskaite, S.; Tuckute, S.; Lelis, M.; Daugelavicius, R.; Milcius, D.

    2017-03-01

    Photocatalytic properties of anatase and other TiO2 polymorphs are widely researched and applied in practical application. In current study TiO2 films on the plasma pre-treated expanded polystyrene (EPS) foam were deposited using magnetron sputtering technique. Main properties of the films were characterised using combination of XRD, XPS and SEM techniques. Photocatalytic properties of the observed crystalline anatase phase were tested by investigating bleaching of the methylene blue (MB) aqueous solution and by testing Escherichia coli (E. coli) viability after incubation under UV-B irradiation. E. coli viability experiments indicated that there are two mechanisms of E. coli bacteria inactivation. UV irradiation alone causes rapid damage to the outer membrane of E. coli bacteria. The second mechanism of E. coli inactivation is invoked only with synergistic combination of TiO2 and UV. Acting as photocatalyst TiO2 generates active radicals who initiate the chain peroxidation of organic molecules and within 45 min reduce E. coli bacteria viability by nearly 90%.

  1. Influence of reactive species on the modification of biomolecules generated from the soft plasma

    NASA Astrophysics Data System (ADS)

    Attri, Pankaj; Kumar, Naresh; Park, Ji Hoon; Yadav, Dharmendra Kumar; Choi, Sooho; Uhm, Han S.; Kim, In Tae; Choi, Eun Ha; Lee, Weontae

    2015-02-01

    Plasma medicine is an upcoming research area that has attracted the scientists to explore more deeply the utility of plasma. So, apart from the treating biomaterials and tissues with plasma, we have studied the effect of soft plasma with different feeding gases such as Air, N2 and Ar on modification of biomolecules. Hence, in this work we have used the soft plasma on biomolecules such as proteins ((Hemoglobin (Hb) and Myoglobin (Mb)), calf thymus DNA and amino acids. The structural changes or structural modification of proteins and DNA have been studied using circular dichroism (CD), fluorescence spectroscopy, protein oxidation test, gel electrophoresis, UV-vis spectroscopy, dynamic light scattering (DLS) and 1D NMR, while Liquid Chromatograph/Capillary Electrophoresis-Mass Spectrometer (LC/CE-MS) based on qualitative and quantitative bio-analysis have been used to study the modification of amino acids. Further, the thermal analysis of the protein has been studied with differential scanning calorimetry (DSC) and CD. Additionally, we have performed docking studies of H2O2 with Hb and Mb, which reveals that H2O2 molecules preferably attack the amino acids near heme group. We have also shown that N2 gas plasma has strong deformation action on biomolecules and compared to other gases plasma.

  2. Tunable bandgap energy of fluorinated nanocrystals for flash memory applications produced by low-damage plasma treatment.

    PubMed

    Huang, Chi-Hsien; Lin, Chih-Ting; Wang, Jer-Chyi; Chou, Chien; Ye, Yu-Ren; Cheng, Bing-Ming; Lai, Chao-Sung

    2012-11-30

    A plasma system with a complementary filter to shield samples from damage during tetrafluoromethane (CF(4)) plasma treatment was proposed in order to incorporate fluorine atoms into gadolinium oxide nanocrystals (Gd(2)O(3)-NCs) for flash memory applications. X-ray photoelectron spectroscopy confirmed that fluorine atoms were successfully introduced into the Gd(2)O(3)-NCs despite the use of a filter in the plasma-enhanced chemical vapour deposition system to shield against several potentially damaging species. The number of incorporated fluorine atoms can be controlled by varying the treatment time. The optimized memory window of the resulting flash memory devices was twice that of devices treated by a filterless system because more fluorine atoms were incorporated into the Gd(2)O(3)-NCs film with very little damage. This enlarged the bandgap energy from 5.48 to 6.83 eV, as observed by ultraviolet absorption measurements. This bandgap expansion can provide a large built-in electric field that allows more charges to be stored in the Gd(2)O(3)-NCs. The maximum improvement in the retention characteristic was >60%. Because plasma damage during treatment is minimal, maximum fluorination can be achieved. The concept of simply adding a filter to a plasma system to prevent plasma damage exhibits great promise for functionalization or modification of nanomaterials for advanced nanoelectronics while introducing minimal defects.

  3. Properties of MgB 2 superconductor chemically treated by acetic acid

    NASA Astrophysics Data System (ADS)

    Hušeková, K.; Hušek, I.; Kováč, P.; Kulich, M.; Dobročka, E.; Štrbík, V.

    2010-03-01

    Commercial Alfa Aesar MgB 2 powder was chemically treated by acetic acid with the aim of MgO removing. Single-core MgB 2/Fe ex situ wires have been made by powder-in-tube (PIT) process using the powders treated with different acid concentration. All samples were annealed in argon at 950 °C/0.5 h. Differences in transition temperatures and critical currents of acetic acid treated MgB 2 are related to the normal state resistivity, effective carbon substitution from the organic solvent and the active area fraction (grain-connectivity).

  4. CoO-doped MgO-Al2O3-SiO2-colored transparent glass-ceramics with high crystallinity

    NASA Astrophysics Data System (ADS)

    Tang, Wufu; Zhang, Qian; Luo, Zhiwei; Yu, Jingbo; Gao, Xianglong; Li, Yunxing; Lu, Anxian

    2018-02-01

    To obtain CoO-doped MgO-Al2O3-SiO2 (MAS)-colored transparent glass-ceramics with high crystallinity, the glass with the composition 21MgO-21Al2O3-54SiO2-4B2O3-0.2CoO (in mol %) was prepared by conventional melt quenching technique and subsequently thermal treated at several temperatures. The crystallization behavior of the glass, the precipitated crystalline phases and crystallinity were analyzed by X-ray diffraction (XRD). The microstructure of the glass-ceramics was characterized by field emission scanning electron microscopy (FSEM). The transmittance of glass-ceramic was measured by UV spectrophotometer. The results show that a large amount of α-cordierite (indianite) with nano-size was precipitated from the glass matrix after treatment at 1020 °C for 3 h. The crystallinity of the transparent glass-ceramic reached up to 97%. Meanwhile, the transmittance of the glass-ceramic was 74% at 400 nm with a complex absorption band from 450 nm to 700 nm. In addition, this colored transparent glass-ceramic possessed lower density (2.469 g/cm3), lower thermal expansion coefficient (1.822 × 10-6 /℃), higher Vickers hardness (9.1 GPa) and higher bending strength (198 MPa) than parent glass.

  5. Superhydrophilic TiO2 thin film by nanometer scale surface roughness and dangling bonds

    NASA Astrophysics Data System (ADS)

    Bharti, Bandna; Kumar, Santosh; Kumar, Rajesh

    2016-02-01

    A remarkable enhancement in the hydrophilic nature of titanium dioxide (TiO2) films is obtained by surface modification in DC-glow discharge plasma. Thin transparent TiO2 films were coated on glass substrate by sol-gel dip coating method, and exposed in DC-glow discharge plasma. The plasma exposed TiO2 film exhibited a significant change in its wetting property contact angle, which is a representative of wetting property, has reduced to considerable limits 3.02° and 1.85° from its initial value 54.40° and 48.82° for deionized water and ethylene glycol, respectively. It is elucidated that the hydrophilic property of plasma exposed TiO2 films dependent mainly upon nanometer scale surface roughness. Variation, from 4.6 nm to 19.8 nm, in the film surface roughness with exposure time was observed by atomic force microscopy (AFM). Analysis of variation in the values of contact angle and surface roughness with increasing plasma exposure time reveal that the surface roughness is the main factor which makes the modified TiO2 film superhydrophilic. However, a contribution of change in the surface states, to the hydrophilic property, is also observed for small values of the plasma exposure time. Based upon nanometer scale surface roughness and dangling bonds, a variation in the surface energy of TiO2 film from 49.38 to 88.92 mJ/m2 is also observed. X-ray photoelectron spectroscopy (XPS) results show change in the surface states of titanium and oxygen. The observed antifogging properties are the direct results of the development of the superhydrophilic wetting characteristics to TiO2 films.

  6. Scratch and wear behaviour of plasma sprayed nano ceramics bilayer Al2O3-13 wt%TiO2/hydroxyapatite coated on medical grade titanium substrates in SBF environment

    NASA Astrophysics Data System (ADS)

    Palanivelu, R.; Ruban Kumar, A.

    2014-10-01

    Among the various coating techniques, plasma spray coating is an efficient technique to protect the metal surface from the various surface problems like wear and corrosion. The aim of this present work is to design and produce a bilayer coating on the non- toxic commercially pure titanium (denoted as CP-Ti) implant substrate in order to improve the biocompatibility and surface properties. To achieve that, Al2O3-13 wt%TiO2 (AT13) and hydroxyapatite (HAP) were coated on CP-Ti implant substrate using plasma spray coating technique. Further, the coated substrates were subjected to various characterization techniques. The crystallite size of coated HAP and its morphological studies were carried out using X-ray diffractometer (XRD) and scanning electron microscopy (SEM) respectively. The wear test on the bilayer (AT13/HAP) coated CP-Ti implant surface was conducted using ball-on-disc tester under SBF environment at 37 °C, in order to determine the wear rate and the coefficient of friction. The adhesion strength of the bilayer coated surface was evaluated by micro scratch tester under the ramp load conditions with load range of 14-20 N. The above said studies were repeated on the single layer coated HAP and AT13 implant surfaces. The results reveal that the bilayer (AT13/HAP) coated CP-Ti surface has the improved wear rate, coefficient of friction in compared to single layer coated HAP and AT13 surfaces.

  7. Transcriptome Analysis of H2O2-Treated Wheat Seedlings Reveals a H2O2-Responsive Fatty Acid Desaturase Gene Participating in Powdery Mildew Resistance

    PubMed Central

    Tang, Lichuan; Zhao, Guangyao; Zhu, Mingzhu; Chu, Jinfang; Sun, Xiaohong; Wei, Bo; Zhang, Xiangqi; Jia, Jizeng; Mao, Long

    2011-01-01

    Hydrogen peroxide (H2O2) plays important roles in plant biotic and abiotic stress responses. However, the effect of H2O2 stress on the bread wheat transcriptome is still lacking. To investigate the cellular and metabolic responses triggered by H2O2, we performed an mRNA tag analysis of wheat seedlings under 10 mM H2O2 treatment for 6 hour in one powdery mildew (PM) resistant (PmA) and two susceptible (Cha and Han) lines. In total, 6,156, 6,875 and 3,276 transcripts were found to be differentially expressed in PmA, Han and Cha respectively. Among them, 260 genes exhibited consistent expression patterns in all three wheat lines and may represent a subset of basal H2O2 responsive genes that were associated with cell defense, signal transduction, photosynthesis, carbohydrate metabolism, lipid metabolism, redox homeostasis, and transport. Among genes specific to PmA, ‘transport’ activity was significantly enriched in Gene Ontology analysis. MapMan classification showed that, while both up- and down- regulations were observed for auxin, abscisic acid, and brassinolides signaling genes, the jasmonic acid and ethylene signaling pathway genes were all up-regulated, suggesting H2O2-enhanced JA/Et functions in PmA. To further study whether any of these genes were involved in wheat PM response, 19 H2O2-responsive putative defense related genes were assayed in wheat seedlings infected with Blumeria graminis f. sp. tritici (Bgt). Eight of these genes were found to be co-regulated by H2O2 and Bgt, among which a fatty acid desaturase gene TaFAD was then confirmed by virus induced gene silencing (VIGS) to be required for the PM resistance. Together, our data presents the first global picture of the wheat transcriptome under H2O2 stress and uncovers potential links between H2O2 and Bgt responses, hence providing important candidate genes for the PM resistance in wheat. PMID:22174904

  8. O2 on ganymede: Spectral characteristics and plasma formation mechanisms

    USGS Publications Warehouse

    Calvin, W.M.; Johnson, R.E.; Spencer, J.R.

    1996-01-01

    Weak absorption features in the visible reflectance spectrum of Jupiter's satellite Ganymede have been correlated to those observed in the spectrum of molecular oxygen. We examine the spectral characteristics of these absorption features in all phases of O2 and conclude that the molecular oxygen is most likely present at densities similar to the liquid or solid ??-phase. The contribution of O2 to spectral features observed on Ganymede in the near-infrared wavelength region affects the previous estimates of photon pathlength in ice. The concentration of the visible absorption features on the trailing hemisphere of Ganymede suggests an origin due to bombardment by magneto-spheric ions. We derive an approximate O2 formation rate from this mechanism and consider the state of O2 within the surface.

  9. Preparation and surface characterization of plasma-treated and biomolecular-micropatterned polymer substrates

    NASA Astrophysics Data System (ADS)

    Langowski, Bryan Alfred

    A micropatterning process creates distinct microscale domains on substrate surfaces that differ from the surfaces' original chemical/physical properties. Numerous micropatterning methods exist, each having relative advantages and disadvantages in terms of cost, ease, reproducibility, and versatility. Polymeric surfaces micropatterned with biomolecules have many applications, but are specifically utilized in tissue engineering as cell scaffolds that attempt to controlled tissue generation in vivo and ex vivo. As the physical and chemical cues presented by micropatterned substrates control resulting cellular behavior, characterization of these cues via surface-sensitive analytical techniques is essential in developing cell scaffolds that mimic complex in vivo physicochemical environments. The initial focus of this thesis is the chemical and physical characterization of plasma-treated, microcontact-printed (muCP) polymeric substrates used to direct nerve cell behavior. Unmodified and oxygen plasma-treated poly(methyl methacrylate) (PMMA) substrates were analyzed by surface sensitive techniques to monitor plasma-induced chemical and physical modifications. Additionally, protein-micropattern homogeneity and size were microscopically evaluated. Lastly, poly(dimethylsiloxane) (PDMS) stamps and contaminated PMMA substrates were characterized by spectroscopic and microscopic methods to identify a contamination source during microcontact printing. The final focus of this thesis is the development of microscale plasma-initiated patterning (muPIP) as a versatile, reproducible micropatterning method. Using muPIP, polymeric substrates were micropatterned with several biologically relevant inks. Polymeric substrates were characterized following muPIP by surface-sensitive techniques to identify the technique's underlying physical and chemical bases. In addition, neural stem cell response to muPIP-generated laminin micropatterns was microscopically and biologically evaluated

  10. [The spectra of a laser-produced plasma source with CO2, O2 and CF4 liquid aerosol spray target].

    PubMed

    Ni, Qi-Liang; Chen, Bo

    2008-11-01

    A laser-produced plasma (LPP) source with liquid aerosol spray target and nanosecond laser was developed, based on both soft X-ray radiation metrology and extreme ultraviolet projection lithography (EUVL). The LPP source is composed of a stainless steel solenoid valve whose temperature can be continuously controlled, a Nd : YAG laser with pulse width, working wavelength and pulse energy being 7 ns, 1.064 microm and 1J respectively, and a pulse generator which can synchronously control the valve and the laser. A standard General Valve Corporation series 99 stainless steel solenoid valve with copper gasket seals and a Kel-F poppet are used in order to minimize leakage and poppet deformation during high-pressure cryogenic operation. A close fitting copper cooling jacket surrounds the valve body. The jacket clamps a copper coolant carrying tube 3 mm in diameter, which is fed by an automatically pressurized liquid nitrogen-filled dewar. The valve temperature can be controlled between 77 and 473 K. For sufficiently high backing pressure and low temperature, the valve reservoir gas can undergo a gas-to-liquid phase transition. Upon valve pulsing, the liquid is ejected into a vacuum and breaks up into droplets, which is called liquid aerosol spray target. For the above-mentioned LPP source, firstly, by the use of Cowan program on the basis of non-relativistic quantum mechanics, the authors computed the radiative transition wavelengths and probabilities in soft X-ray region for O4+, O5+, O6+, O7+, F5+, F6+ and F7+ ions which were correspondingly produced from the interaction of the 10(11)-10(12) W x cm(-2) power laser with liquid O2, CO2 and CF4 aerosol spray targets. Secondly, the authors measured the spectra of liquid O2, CO2 and CF4 aerosol spray target LPP sources in the 6-20 nm band for the 8 x 10(11) W x cm(-2) laser irradiance. The measured results were compared with the Cowan calculated results ones, and the radiative transition wavelength and probability for the

  11. Photoluminescence properties of Eu3+ doped HfO2 coatings formed by plasma electrolytic oxidation of hafnium

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Tadić, Nenad; Ćirić, Aleksandar; Vasilić, Rastko

    2018-03-01

    Plasma electrolytic oxidation was used for synthesis of Eu3+ doped monoclinic HfO2 coatings on hafnium substrate. Results of photoluminescence (PL) measurements show the existence of two distinct regions: one that is related to the blue emission originating from oxygen vacancy defects in HfO2 and the other one characterized with a series of sharp orange-red emission peaks related to f-f transitions of Eu3+ from excited level 5D0 to lower levels 7FJ (J = 0, 1, 2, 3, and 4). PL peaks appearing in excitation spectra of obtained coatings are attributed either to charge transfer state of Eu3+ or to direct excitation of the Eu3+ ground state 7F0 into higher levels of the 4f-manifold. PL of formed coatings increases with PEO time due to an increase of oxygen vacancy defects and the content of Eu3+. Acquired experimental data suggest that hypersensitive electrical dipole transition is much more intense than the magnetic dipole transition, indicating that Eu3+ ions occupy a non-inversion symmetry sites.

  12. SiO2 and TiO2 nanoparticles synergistically trigger macrophage inflammatory responses.

    PubMed

    Tsugita, Misato; Morimoto, Nobuyuki; Nakayama, Masafumi

    2017-04-11

    Silicon dioxide (SiO 2 ) nanoparticles (NPs) and titanium dioxide (TiO 2 ) NPs are the most widely used inorganic nanomaterials. Although the individual toxicities of SiO 2 and TiO 2 NPs have been extensively studied, the combined toxicity of these NPs is much less understood. In this study, we observed unexpected and drastic activation of the caspase-1 inflammasome and production of IL-1β in mouse bone marrow-derived macrophages stimulated simultaneously with SiO 2 and TiO 2 NPs at concentrations at which these NPs individually do not cause macrophage activation. Consistent with this, marked lung inflammation was observed in mice treated intratracheally with both SiO 2 and TiO 2 NPs. In macrophages, SiO 2 NPs localized in lysosomes and TiO 2 NPs did not; while only TiO 2 NPs produced ROS, suggesting that these NPs induce distinct cellular damage leading to caspase-1 inflammasome activation. Intriguingly, dynamic light scattering measurements revealed that, although individual SiO 2 and TiO 2 NPs immediately aggregated to be micrometer size, the mixture of these NPs formed a stable and relatively monodisperse complex with a size of ~250 nm in the presence of divalent cations. Taken together, these results suggest that SiO 2 and TiO 2 NPs synergistically induce macrophage inflammatory responses and subsequent lung inflammation. Thus, we propose that it is important to assess the synergistic toxicity of various combinations of nanomaterials.

  13. One-Pot Polyol Synthesis of Pt/CeO2 and Au/CeO2 Nanopowders as Catalysts for CO Oxidation.

    PubMed

    Pilger, Frank; Testino, Andrea; Lucchini, Mattia Alberto; Kambolis, Anastasios; Tarik, Mohammed; El Kazzi, Mario; Arroyo, Yadira; Rossell, Marta D; Ludwig, Christian

    2015-05-01

    The facile one-pot synthesis of CeO2-based catalysts has been developed to prepare a relatively large amount of nanopowders with relevant catalytic activity towards CO oxidation. The method consists of a two-steps process carried out in ethylene glycol: in the first step, 5 nm well-crystallized pure CeO2 is prepared. In a subsequent second step, a salt of a noble metal is added to the CeO2 suspension and the deposition of the noble metal on the nanocrystalline CeO2 is induced by heating. Two catalysts were prepared: Pt/CeO2 and Au/CeO2. The as-prepared catalysts, the thermally treated catalysts, as well as the pure CeO2, are characterized by XRD, TGA, XPS, FTIR, HR-TEM, STEM, particle size distribution, and N2-physisorption. In spite of the identical preparation protocol, Au and Pt behave in a completely different way: Au forms rather large particles, most of them with triangular shape, easily identifiable and dispersed in the CeO2 matrix. In contrast, Pt was not identified as isolated particles. The high resolution X-ray diffraction carried out on the Pt/CeO2 thermally treated sample (500 degrees C for 1 h) shows a significant CeO2 lattice shrinkage, which can be interpreted as an at least partial incorporation of Pt into the CeO2 crystal lattice. Moreover, only Pt2+ and Pt4+ species were identified by XPS. In literature, the incorporation of Pt into the CeO2 lattice is supported by first-principle calculations and experimentally demonstrated only by combustion synthesis methods. To the best of our knowledge this is the first report where ionically dispersed Pt into the CeO2 lattice is obtained via a liquid synthesis method. The thermally treated Pt/CeO2 sample revealed good activity with 50% CO conversion at almost room temperature.

  14. Process Performances of 2 ns Pulsed Discharge Plasma

    NASA Astrophysics Data System (ADS)

    Matsumoto, Takao; Wang, Douyan; Namihira, Takao; Akiyama, Hidenori

    2011-08-01

    Pulsed discharge plasmas have been used to treat exhaust gases. Since pulse duration and the rise time of applied voltage to the discharge electrode has a strong influence on the energy efficiency of pollutant removal, the development of a short-pulse generator is of paramount importance for practical applications. In this work, it is demonstrated that the non thermal plasma produced by the 2 ns pulsed discharge has a higher energy efficiency than the 5 ns pulsed discharge plasma for NO removal and ozone generation. Typically, the NO removal efficiency was 1.0 mol kW-1 h-1 for 70% NO removal (initial NO concentration = 200 ppm, gas flow = 10 L/min). Meanwhile, the ozone yield was 500 g kW-1 h-1 for 20 g/m3 ozone concentration in the case of oxygen feeding. These energy efficiencies are the highest in the literature.

  15. Application of atmospheric pressure plasma in polymer and composite adhesion

    NASA Astrophysics Data System (ADS)

    Yu, Hang

    An atmospheric pressure helium and oxygen plasma was used to investigate surface activation and bonding in polymer composites. This device was operated by passing 1.0-3.0 vol% of oxygen in helium through a pair of parallel plate metal electrodes powered by 13.56 or 27.12 MHz radio frequency power. The gases were partially ionized between the capacitors where plasma was generated. The reactive species in the plasma were carried downstream by the gas flow to treat the substrate surface. The temperature of the plasm gas reaching the surface of the substrate did not exceed 150 °C, which makes it suitable for polymer processing. The reactive species in the plasma downstream includes ~ 1016-1017 cm-3 atomic oxygen, ~ 1015 cm-3 ozone molecule, and ~ 10 16 cm-3 metastable oxygen molecule (O2 1Deltag). The substrates were treated at 2-5 mm distance from the exit of the plasma. Surface properties of the substrates were characterized using water contact angle (WCA), atomic force microscopy (AFM), infrared spectroscopy (IR), and X-ray photoelectron spectroscopy (XPS). Subsequently, the plasma treated samples were bonded adhesively or fabricated into composites. The increase in mechanical strength was correlated to changes in the material composition and structure after plasma treatment. The work presented hereafter establishes atmospheric pressure plasma as an effective method to activate and to clean the surfaces of polymers and composites for bonding. This application can be further expanded to the activation of carbon fibers for better fiber-resin interactions during the fabrication of composites. Treating electronic grade FR-4 and polyimide with the He/O2 plasma for a few seconds changed the substrate surface from hydrophobic to hydrophilic, which allowed complete wetting of the surface by epoxy in underfill applications. Characterization of the surface by X-ray photoelectron spectroscopy shows formation of oxygenated functional groups, including hydroxyl, carbonyl, and

  16. Mass Spectrometric and Langmuir Probe Measurements in Inductively Coupled Plasmas in Ar, CHF3/Ar and CHF3/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Kim, J. S.; Rao, M. V. V. S.; Cappelli, M. A.; Sharma, S. P.; Meyyappan, M.; Arnold, Jim (Technical Monitor)

    2000-01-01

    Absolute fluxes and energy distributions of ions in inductively coupled plasmas of Ar, CHF3/Ar, and CHF3/Ar/O2 have been measured. These plasmas were generated in a Gaseous Electronics Conference (GEC) cell modified for inductive coupling at pressures 10-50 mTorr and 100-300 W of 13.56 MHz radio frequency (RF) power in various feedgas mixtures. In pure Ar plasmas, the Ar(+) flux increases linearly with pressure as well as RF-power. Total ion flux in CHF3 mixtures decreases with increase in pressure and also CHF3 concentration. Relative ion fluxes observed in the present studies are analyzed with the help of available cross sections for electron impact ionization and charge-exchange ion-molecule reactions. Measurements of plasma potential, electron and ion number densities, electron energy distribution function, and mean electron energy have also been made in the center of the plasma with a RF compensated Langmuir probe. Plasma potential values are compared with the mean ion energies determined from the measured ion energy distributions and are consistent. Electron temperature, plasma potential, and mean ion energy vary inversely with pressure, but increase with CHF3 content in the mixture.

  17. Atmospheric pressure plasma jet treatment of Salmonella Enteritidis inoculated eggshells.

    PubMed

    Moritz, Maike; Wiacek, Claudia; Koethe, Martin; Braun, Peggy G

    2017-03-20

    Contamination of eggshells with Salmonella Enteritidis remains a food safety concern. In many cases human salmonellosis within the EU can be traced back to raw or undercooked eggs and egg products. Atmospheric pressure plasma is a novel decontamination method that can reduce a wide range of pathogens. The aim of this work was to evaluate the possibility of using an effective short time cold plasma treatment to inactivate Salmonella Enteritidis on the eggshell. Therefore, artificially contaminated eggshells were treated with an atmospheric pressure plasma jet under different experimental settings with various exposure times (15-300s), distances from the plasma jet nozzle to the eggshell surface (5, 8 or 12mm), feed gas compositions (Ar, Ar with 0.2, 0.5 or 1.0% O 2 ), gas flow rates (5 and 7slm) and different inoculations of Salmonella Enteritidis (10 1 -10 6 CFU/cm 2 ). Atmospheric pressure plasma could reduce Salmonella Enteritidis on eggshells significantly. Reduction factors ranged between 0.22 and 2.27 log CFU (colony-forming units). Exposure time and, particularly at 10 4 CFU/cm 2 inoculation, feed gas had a major impact on Salmonella reduction. Precisely, longer exposure times led to higher reductions and Ar as feed gas was more effective than ArO 2 mixtures. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. Oxidative degradation of endotoxin by advanced oxidation process (O3/H2O2 & UV/H2O2).

    PubMed

    Oh, Byung-Taek; Seo, Young-Suk; Sudhakar, Dega; Choe, Ji-Hyun; Lee, Sang-Myeong; Park, Youn-Jong; Cho, Min

    2014-08-30

    The presence of endotoxin in water environments may pose a serious public health hazard. We investigated the effectiveness of advanced oxidative processes (AOP: O3/H2O2 and UV/H2O2) in the oxidative degradation of endotoxin. In addition, we measured the release of endotoxin from Escherichia coli following typical disinfection methods, such as chlorine, ozone alone and UV, and compared it with the use of AOPs. Finally, we tested the AOP-treated samples in their ability to induce tumor necrosis factor alpha (TNF-α) in mouse peritoneal macrophages. The production of hydroxyl radical in AOPs showed superior ability to degrade endotoxin in buffered solution, as well as water samples from Korean water treatment facilities, with the ozone/H2O2 being more efficient compared to UV/H2O2. In addition, the AOPs proved effective not only in eliminating E. coli in the samples, but also in endotoxin degradation, while the standard disinfection methods lead to the release of endotoxin following the bacteria destruction. Furthermore, in the experiments with macrophages, the AOPs-deactivated endotoxin lead to the smallest induction of TNF-α, which shows the loss of inflammation activity, compared to ozone treatment alone. In conclusion, these results suggest that AOPs offer an effective and mild method for endotoxin degradation in the water systems. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. A facile synthesis of high quality nanostructured CeO2 and Gd2O3-doped CeO2 solid electrolytes for improved electrochemical performance.

    PubMed

    Kuo, Yu-Lin; Su, Yu-Ming; Chou, Hung-Lung

    2015-06-07

    This study describes the use of a composite nitrate salt solution as a precursor to synthesize CeO2 and Gd2O3-doped CeO2 (GDC) nanoparticles (NPs) using an atmospheric pressure plasma jet (APPJ). The microstructures of CeO2 and GDC NPs were found to be cubical and spherical shaped nanocrystallites with average particle sizes of 10.5 and 6.7 nm, respectively. Reactive oxygen species, detected by optical emission spectroscopy (OES), are believed to be the major oxidative agents for the formation of oxide materials in the APPJ process. Based on the material characterization and OES observations, the study effectively demonstrated the feasibility of preparing well-crystallized GDC NPs by the APPJ system as well as the gas-to-particle mechanism. Notably, the Bader charge of CeO2 and Ce0.9Gd0.1O2 characterized by density function theory (DFT) simulation and AC impedance measurements shows that Gd helps in increasing the charge on Ce0.9Gd0.1O2 NPs, thus improving their conductivity and making them candidate materials for electrolytes in solid oxide fuel cells.

  20. Core-shelled mesoporous CoFe2O4-SiO2 material with good adsorption and high-temperature magnetic recycling capabilities

    NASA Astrophysics Data System (ADS)

    Li, Zhi'ang; Wang, Jianlin; Liu, Min; Chen, Tong; Chen, Jifang; Ge, Wen; Fu, Zhengping; Peng, Ranran; Zhai, Xiaofang; Lu, Yalin

    2018-04-01

    Residues of organic dye in industrial effluents cause severe water system pollution. Although several methods, such as biodegradation and activated carbon adsorption, are available for treating these effluents before their discharge into waterbodies, secondary pollution by adsorbents and degrading products remains an issue. Therefore, new materials should be identified to solve this problem. In this work, CoFe2O4-SiO2 core-shell structures were synthesized using an improved Stöber method by coating mesoporous silica onto CoFe2O4 nanoparticles. The specific surface areas of the synthesized particles range from 30 m2/g to 150 m2/g and vary according to the dosage amount of tetraethoxysilane. Such core-shelled nanoparticles have the following advantages for treating industrial effluents mixed with dye: good adsorption capability, above-room-temperature magnetic recycling capability, and heat-enduring stability. Through adsorption of methylene blue, a typical dyeing material, the core-shell-structured particles show a good adsorption capability of approximately 33 mg/L. The particles are easily and completely collected by magnets, which is possible due to the magnetic property of core CoFe2O4. Heat treatment can burn out the adsorbed dyes and good adsorption performance is sustained even after several heat-treating loops. This property overcomes the common problem of particles with Fe3O4 as a core, by which Fe3O4 is oxidized to nonmagnetic α-Fe2O3 at the burning temperature. We also designed a miniature of effluent-treating pipeline, which demonstrates the potential of the application.