Sample records for o2 plasma treatment

  1. Non-equilibrium nitrogen DC-arc plasma treatment of TiO2 nanopowder.

    PubMed

    Suzuki, Yoshikazu; Gonzalez-Aguilar, José; Traisnel, Noel; Berger, Marie-Hélène; Repoux, Monique; Fulcheri, Laurent

    2009-01-01

    Non-equilibrium nitrogen DC-arc plasma treatment of a commercial TiO2 anatase nanopowder was examined to obtain nitrogen-doped TiO2. By using a non-thermal discharge at low current (150 mA) and high voltage (1200 V) using pure N2 gas, light yellowish-gray TiO2 powder was successfully obtained within a short period of 5-10 min. XPS and TEM-EELS studies confirmed the existence of doped nitrogen. Due to the relatively mild conditions (plasma power of 180 W), metastable anatase structure and fine crystallite size of TiO2 (ca. 10 nm) were maintained after the plasma treatment. The in-flight powder treatment system used in this study is promising for various type of powder treatment.

  2. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    NASA Astrophysics Data System (ADS)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  3. Effect of organic solar cells using various power O2 plasma treatments on the indium tin oxide substrate.

    PubMed

    Ke, Jhong-Ciao; Wang, Yeong-Her; Chen, Kan-Lin; Huang, Chien-Jung

    2016-03-01

    The effect of organic solar cells (OSCs) by using different power O2 plasma treatments on indium tin oxide (ITO) substrate was studied. The power of O2 plasma treatment on ITO substrate was varied from 20W to 80W, and the power conversion efficiency of device was improved from 1.18% to 1.93% at 20W O2 plasma treatment. The function of O2 plasma treatment on ITO substrate was to remove the surface impurity and to improve the work function of ITO, which can reduce the energy offset between the ITO and SubPc layer and depress the leakage current of device, leading to the shunt resistance increased from 897 to 1100Ωcm(2). The surface roughness of ITO decreased from 3.81 to 3.33nm and the work function of ITO increased from 4.75 to 5.2eV after 20W O2 plasma treatment on ITO substrate. As a result, the open circuit voltage and the fill factor were improved from 0.46 to 0.70V and from 0.56 to 0.61, respectively. However, the series resistance of device was dramatically increased as the power of O2 plasma treatment exceeds 40W, leading to the efficiency reduction. The result is attributed to the variation of oxygen vacancies in ITO film after the 60, 80W O2 plasma treatment. As a consequence, the power of O2 plasma treatment on ITO substrate for the OSCs application should be controlled below 40W to avoid affecting the electricity of ITO film. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Improvement in surface hydrophilicity and resistance to deformation of natural leather through O2/H2O low-temperature plasma treatment

    NASA Astrophysics Data System (ADS)

    You, Xuewei; Gou, Li; Tong, Xingye

    2016-01-01

    The natural leather was modified through O2/H2O low-temperature plasma treatment. Surface morphology was characterized by scanning electron microscopy (SEM) and the results showed that the pores on the leather surface became deeper and larger with enhanced permeability of water and vapor. XPS and FTIR-ATR was performed to determine the chemical composition of natural leather surface. Oxygen-containing groups were successfully grafted onto the surface of natural leather and oxygen content increased with longer treatment time. After O2/H2O plasma treatment, initial water contact angle was about 21° and water contact angles were not beyond 55° after being stored for 3 days. Furthermore, the tensile test indicated that the resistance to deformation had a prominent transform without sacrificing the tensile strength.

  5. Ta2O5 Polycrystalline Silicon Capacitors with CF4 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kao, Chyuan-Haur; Chen, Hsiang

    2012-04-01

    In this research, the effects of CF4 plasma treatment with post annealing on the electrical characteristics and material properties of Ta2O5 dielectrics were determined. The dielectric performance characteristics of samples under different treatment conditions were measured using equivalent oxide thickness (EOT), current density-electric field (J-E) characteristics, gate voltage shift versus time, and Weibull plots. In addition, X-ray diffraction (XRD) analysis provided insight into the changes in crystalline structure, atomic force microscopy (AFM) measurements visualized the surface roughness, and secondary ion mass spectroscopy (SIMS) revealed the distribution of fluorine ions inside the dielectric samples. Findings indicate that dielectric performance can be significantly improved by CF4 plasma treatment for 1 min with post annealing at 800 °C. The improvements in electrical characteristics were caused by the appropriate incorporation of the fluorine atoms and the removal of the dangling bonds and traps. The Ta2O5 dielectric incorporated with appropriate CF4 plasma and annealing treatments shows great promise for future generation of nonvolatile memory applications.

  6. Indium doped ZnO nano-powders prepared by RF thermal plasma treatment of In2O3 and ZnO

    NASA Astrophysics Data System (ADS)

    Lee, Mi-Yeon; Song, Min-Kyung; Seo, Jun-Ho; Kim, Min-Ho

    2015-06-01

    Indium doped ZnO nano-powders were synthesized by the RF thermal plasma treatment of In2O3 and ZnO. For this purpose, micron-sized ZnO powder was mixed with In2O3 powder at the In/Zn ratios of 0.0, 1.2, and 2.4 at. % by ball milling for 1 h, after which the mixtures were injected into RF thermal plasma generated at the plate power level of ˜140 kV A. As observed from the field emission scanning electron microscopy (FE-SEM) images of the RF plasma-treated powders, hexagonal prism-shaped nano-crystals were mainly obtained along with multi-pod type nano-particles, where the number of multi-pods decreased with increasing In/Zn ratios. In addition, the X-ray diffraction (XRD) data for the as-treated nano-powders showed the diffraction peaks for the In2O3 present in the precursor mixture to disappear, while the crystalline peaks for the single phase of ZnO structure shifted toward lower Bragg angles. In the UV-vis absorption spectra of the as-treated powders, redshifts were also observed with increases of the In/Zn ratios. Together with the FE-SEM images and the XRD data, the redshifts were indicative of the doping process of ZnO with indium, which took place during the RF thermal plasma treatment of In2O3 and ZnO.

  7. Three-dimensional reduced-graphene/MnO2 prepared by plasma treatment as high-performance supercapacitor electrodes

    NASA Astrophysics Data System (ADS)

    Liu, Runru; Wen, Dongdong; Zhang, Xueyu; Wang, Dejun; Yang, Qiang; Yuan, Beilei; Lü, Wei

    2018-06-01

    In this work, three-Dimensional nitrogen-doped graphene/MnO2 (NG/MnO2) was prepared by plasma treatment, which provides a high specific surface area due to porous structure and exhibits enhanced supercapacitor performance. The advantage of NG/MnO2 electrode was obvious compared with reduced graphene oxide/MnO2 (RGO/MnO2) which was prepared by traditional hydrothermal method, such as improved electrochemical property and better cycling stability. The specific capacitance of NG/MnO2 at the scan rate of 5 mV s‑1 (393 F g‑1) is higher than that of RGO/MnO2 (260 F g‑1). In addition, NG/MnO2 showed higher durability with 90.2% capacitance retention than that of RGO/MnO2 (82%) after 5000 cycles. Such cheap and high-performance supercapacitor electrodes are available by our feasible plasma treatment, which give promise in large-scale commercial energy storage devices.

  8. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  9. Effect of Nano-Si3N4 Additives and Plasma Treatment on the Dry Sliding Wear Behavior of Plasma Sprayed Al2O3-8YSZ Ceramic Coatings

    NASA Astrophysics Data System (ADS)

    Gou, Junfeng; Zhang, Jian; Zhang, Qiwen; Wang, You; Wang, Chaohui

    2017-04-01

    In this paper, the effect of nano-Si3N4 additives and plasma treatment on the wear behavior of Al2O3-8YSZ ceramic coatings was studied. Nano-Al2O3, nano-8YSZ (8 wt.% Y2O3-stabilized ZrO2) and nano-Si3N4 powders were used as raw materials to fabricate four types of sprayable feedstocks. Plasma treatment was used to improve the properties of the feedstocks. The surface morphologies of the ceramic coatings were observed. The mechanical properties of the ceramic coatings were measured. The dry sliding wear behavior of the Al2O3-8YSZ coatings with and without Si3N4 additives was studied. Nano-Si3N4 additives and plasma treatment can improve the morphologies of the coatings by prohibiting the initiation of micro-cracks and reducing the unmelted particles. The hardness and bonding strength of AZSP (Al2O3-18 wt.% 8YSZ-10 wt.% Si3N4-plasma treatment) coating increased by 79.2 and 44% compared to those of AZ (Al2O3-20 wt.% 8YSZ) coating. The porosity of AZSP coating decreased by 85.4% compared to that of AZ coating. The wear test results showed that the addition of nano-Si3N4 and plasma treatment could improve the wear resistance of Al2O3-8YSZ coatings.

  10. Plasma-assisted adsorption of elemental mercury on CeO2/TiO2 at low temperatures

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Gao, Xiang

    2017-11-01

    Mercury is a kind of pollutants contained in flue gas which is hazardous for human beings. In this work, CeO2 was packed in the discharge zone of a plasma reactor to adsorb elemental mercury at low temperatures. Plasma-catalyst reactor can remove Hg0 efficiently with CeO2/TiO2 catalysts packed in the discharge zone. The Hg0 concentration continued to decrease gradually when the plasma was turned on, but not sank rapidly. This tendency was different with other catalysts. The treatment of plasma to CeO2/TiO2 catalysts has a promotion effect on the adsorption of Hg0. Plasma has the effect of changing the surface properties of the catalysts and the changes would restitute if the condition changed. The long-running test demonstrated that this method is an effective way to remove Hg0. The removal efficiency remained at above 99% throughout 12 hours when plasma had been turned on (15kV, 0.5 g packed CeO2/TiO2).

  11. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment.

    PubMed

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-06

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS 2 film was deposited on TiO 2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO 2 nanorod arrays were treated with hydrogen plasma(H:TiO 2 ) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  12. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-01

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  13. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    NASA Astrophysics Data System (ADS)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  14. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  15. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    PubMed

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  16. Effect of O2 plasma treatment on density-of-states in a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Huang, Fei; Li, Sheng; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    This work reports an efficient route for enhancing the performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFT). The mobility was greatly improved by about 38% by means of O2 plasma treatment. Temperature-stress was carried out to investigate the stability and extract the parameters related to activation energy ( E a) and density-of-states (DOS). The DOS was calculated on the basis of the experimentally obtained E a, which can explain the experimental observation. A lower activation energy ( E a, 0.72 eV) and a smaller DOS were obtained in the O2 plasma treatment TFT based on the temperature-dependent transfer curves. The results showed that temperature stability and electrical properties enhancements in a-IGZO thin film transistors were attributed to the smaller DOS. [Figure not available: see fulltext.

  17. Influence of microstructure on hardness of plasma sprayed Al2O3-TiO2-MgO coatings with interface diffusion by heat treatment

    NASA Astrophysics Data System (ADS)

    Chen, Kunlun; Song, Peng; Li, Chao; Lu, Jiansheng

    2017-12-01

    The effect of heat treatment on the microstructure and mechanical properties of Al2O3-TiO2 coatings doped with 5 wt% MgO was investigated in this paper. The composite coatings were prepared by atmospheric plasma spraying (APS) and heat treated at 1000 °C for 24 h in Ar. The coatings were analyzed using scanning electron microscopy with electron probe x-ray microanalysis and x-ray diffraction. The hardness was determined using a Vickers hardness test on the as-sprayed coatings and after heat treatment. The results showed that the interface diffusion between the Al-rich and Ti-rich layers resulted in mutual pinning within the coating during the heat treatment. The newly formed MgAl2O4 phase promoted cracking-healing behavior within the coating. We conclude that increase of the hardness of the coatings was mainly caused by the mutual pinning interface and crack healing.

  18. Increased plasma O2 solubility improves O2 uptake of in situ dog muscle working maximally.

    PubMed

    Hogan, M C; Willford, D C; Keipert, P E; Faithfull, N S; Wagner, P D

    1992-12-01

    A perfluorocarbon emulsion [formulation containing 90% wt/vol perflubron (perfluorooctylbromide); Alliance Pharmaceutical] was used to increase O2 solubility in the plasma compartment during hyperoxic low hemoglobin concentration ([Hb]) perfusion of a maximally working dog muscle in situ. Our hypothesis was that the increased plasma O2 solubility would increase the muscle O2 diffusing capacity (DO2) by augmenting the capillary surface area in contact with high [O2]. Oxygen uptake (VO2) was measured in isolated in situ canine gastrocnemius (n = 4) while working for 6 min at a maximal stimulation rate of 1 Hz (isometric tetanic contractions) on three to four separate occasions for each muscle. On each occasion, the last 4 min of the 6-min work period was split into 2 min of a control treatment (only emulsifying agent mixed into blood) and 2 min of perflubron treatment (6 g/kg body wt), reversing the order for each subsequent work bout. Before contractions, the [Hb] of the dog was decreased to 8-9 g/100 ml and arterial PO2 was increased to 500-600 Torr by having the dog breathe 100% O2 to maximize the effect of the perflubron. Muscle blood flow was held constant between the two experimental conditions. Plasma O2 solubility was almost doubled to 0.005 ml O2 x 100 ml blood-1 x Torr-1 by the addition of the perflubron. Muscle O2 delivery and maximal VO2 were significantly improved (at the same blood flow and [Hb]) by 11 and 12.6%, respectively (P < 0.05), during the perflubron treatment compared with the control. O2 extraction by the muscle remained the same between the two treatments, as did the estimate of DO2.(ABSTRACT TRUNCATED AT 250 WORDS)

  19. Effects of SnO2 on spectroscopic properties of borosilicate glasses before and after plasma treatment and its mechanical properties

    NASA Astrophysics Data System (ADS)

    Abdel Wahab, E. A.; Shaaban, Kh S.

    2018-02-01

    B2O3-SiO2-Na2O-Al2O3-TiO2 glasses modified by SnO2 have prepared and characterized by UV-spectroscopy before and after plasma treatment and by ultrasonic techniques. Makishima-Mackenzie Model has been applied to determine the elastic moduli of glasses. The density and the elastic moduli either determined from the ultrasonic or that computed according to the Makishima-Mackenzie model increase as the SnO2 concentration increases. The values of the optical band gap E g before and after plasma treatment, and refractive index have been determined. It was found that these parameters are sensitive to the increase of SnO2 content. The vibration temperature of nitrogen glow discharge has been calculated using Boltzmann plots of second positive system N2 (C3Πu) → (B3 Πg). The obtained results of vibration temperature decrease with increasing of gas pressure at different discharge currents.

  20. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  1. Experimental study of the visible-light photocatalytic activity of oxygen-deficient TiO2 prepared with Ar/H2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Nakano, Takuma; Yazawa, Shota; Araki, Shota; Kogoshi, Sumio; Katayama, Noboru; Kudo, Yusuke; Nakanishi, Tetsuya

    2015-01-01

    Oxygen-deficient TiO2 (TiO2-x) has been proposed as a visible-light-responsive photocatalyst. TiO2-x thin films were prepared by Ar/H2 plasma surface treatment, applying varying levels of microwave input power and processing times. The highest visible light photocatalytic activity was observed when using an input power of 200 W, a plasma processing time of 10 min, and a 1:1 \\text{Ar}:\\text{H}2 ratio, conditions that generate an electron temperature of 5.7(±1.0) eV and an electron density of 8.5 × 1010 cm-3. The maximum formaldehyde (HCHO) removal rate of the TiO2-x film was 2.6 times higher than that obtained from a TiO2-xNx film under the same test conditions.

  2. How Does Plasma Activated Media Treatment Differ From Direct Cold Plasma Treatment.

    PubMed

    Attri, Pankaj; Park, Ji Hoon; Ali, Anser; Choi, Eun Ha

    2018-04-06

    The aim of the paper is to investigate the optimum condition for generation of plasma activated media (PAM), where it can deactivate the cancer cells while minimum damage for normal cells. Over past few years, cold atmospheric plasma-activated media (PAM) have shown its promising application in plasma medicine for treatment of cancer. PAM has a tremendous ability for selective anti-cancer capacity in vitro and in vivo. We have analyzed the radicals in air using the optical emission spectroscopy and in culture media using chemical analysis. Further, we have tested the toxicity of PAM using MTT assay. We observed that more cancer cell death is for the Ar plasma followed by the Ar-N2 plasma, and the least cell death was observed for the Ar-O2 plasma at all treatment times both by direct treatment and through PAM treatment. The concentration of the RNS species is high for Ar-N2 plasma in gas as well as inside the culture media compared to that for pure Ar plasma. However, the difference is significantly less between the Ar plasma treatments and the Ar-N2 plasma treatments, showing that ROS is the main factor contributing to cell death. Among all three feeding gas plasmas the best system is Ar-O2 plasma for direct treatments towards the cancer cells. In addition, the best system for PAM preparation is Ar-N2 at low time treatments (1 min and 2 min) because it has no effect on normal cells, but kills the cancer cells. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  3. Characteristics of epoxy resin/SiO2 nanocomposite insulation: effects of plasma surface treatment on the nanoparticles.

    PubMed

    Yan, Wei; Phung, B T; Han, Zhao Jun; Ostrikov, Kostya

    2013-05-01

    The present study compares the effects of two different material processing techniques on modifying hydrophilic SiO2 nanoparticles. In one method, the nanoparticles undergo plasma treatment by using a custom-developed atmospheric-pressure non-equilibrium plasma reactor. With the other method, they undergo chemical treatment which grafts silane groups onto their surface and turns them into hydrophobic. The treated nanoparticles are then used to synthesize epoxy resin-based nanocomposites for electrical insulation applications. Their characteristics are investigated and compared with the pure epoxy resin and nanocomposite fabricated with unmodified nanofillers counterparts. The dispersion features of the nanoparticles in the epoxy resin matrix are examined through scanning electron microscopy (SEM) images. All samples show evidence that the agglomerations are smaller than 30 nm in their diameters. This indicates good dispersion uniformity. The Weibull plot of breakdown strength and the recorded partial discharge (PD) events of the epoxy resin/plasma-treated hydrophilic SiO2 nanocomposite (ER/PTI) suggest that the plasma-treated specimen yields higher breakdown strength and lower PD magnitude as compared to the untreated ones. In contrast, surprisingly, lower breakdown strength is found for the nanocomposite made by the chemically treated hydrophobic particles, whereas the PD magnitude and PD numbers remain at a similar level as the plasma-treated ones.

  4. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    NASA Astrophysics Data System (ADS)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  5. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  6. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE PAGES

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; ...

    2017-10-26

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  7. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible lightmore » absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.« less

  8. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  9. Synergistic Effect of H2O2 and NO2 in Cell Death Induced by Cold Atmospheric He Plasma

    PubMed Central

    Girard, Pierre-Marie; Arbabian, Atousa; Fleury, Michel; Bauville, Gérard; Puech, Vincent; Dutreix, Marie; Sousa, João Santos

    2016-01-01

    Cold atmospheric pressure plasmas (CAPPs) have emerged over the last decade as a new promising therapy to fight cancer. CAPPs’ antitumor activity is primarily due to the delivery of reactive oxygen and nitrogen species (RONS), but the precise determination of the constituents linked to this anticancer process remains to be done. In the present study, using a micro-plasma jet produced in helium (He), we demonstrate that the concentration of H2O2, NO2− and NO3− can fully account for the majority of RONS produced in plasma-activated buffer. The role of these species on the viability of normal and tumour cell lines was investigated. Although the degree of sensitivity to H2O2 is cell-type dependent, we show that H2O2 alone cannot account for the toxicity of He plasma. Indeed, NO2−, but not NO3−, acts in synergy with H2O2 to enhance cell death in normal and tumour cell lines to a level similar to that observed after plasma treatment. Our findings suggest that the efficiency of plasma treatment strongly depends on the combination of H2O2 and NO2− in determined concentrations. We also show that the interaction of the He plasma jet with the ambient air is required to generate NO2− and NO3− in solution. PMID:27364563

  10. CO2 Plasma-Treated TiO2 Film as an Effective Electron Transport Layer for High-Performance Planar Perovskite Solar Cells.

    PubMed

    Wang, Kang; Zhao, Wenjing; Liu, Jia; Niu, Jinzhi; Liu, Yucheng; Ren, Xiaodong; Feng, Jiangshan; Liu, Zhike; Sun, Jie; Wang, Dapeng; Liu, Shengzhong Frank

    2017-10-04

    Perovskite solar cells (PSCs) have received great attention because of their excellent photovoltaic properties especially for the comparable efficiency to silicon solar cells. The electron transport layer (ETL) is regarded as a crucial medium in transporting electrons and blocking holes for PSCs. In this study, CO 2 plasma generated by plasma-enhanced chemical vapor deposition (PECVD) was introduced to modify the TiO 2 ETL. The results indicated that the CO 2 plasma-treated compact TiO 2 layer exhibited better surface hydrophilicity, higher conductivity, and lower bulk defect state density in comparison with the pristine TiO 2 film. The quality of the stoichiometric TiO 2 structure was improved, and the concentration of oxygen-deficiency-induced defect sites was reduced significantly after CO 2 plasma treatment for 90 s. The PSCs with the TiO 2 film treated by CO 2 plasma for 90 s exhibited simultaneously improved short-circuit current (J SC ) and fill factor. As a result, the PSC-based TiO 2 ETL with CO 2 plasma treatment affords a power conversion efficiency of 15.39%, outperforming that based on pristine TiO 2 (13.54%). These results indicate that the plasma treatment by the PECVD method is an effective approach to modify the ETL for high-performance planar PSCs.

  11. Anti-adhesive characteristics of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma-modified silicon molds for nanoimprint lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Jaemin; Lee, Junmyung; Lee, Hyun Woo

    The anti-adhesive characteristics of a plasma-modified silicon mold surface for nanoimprint lithography are presented. Both CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} plasma were used to form an anti-adhesive layer on silicon mold surfaces. The gas mixing ratios of CHF{sub 3}/O{sub 2} and C{sub 4}F{sub 8}/O{sub 2} were experimentally changed between 0% and 80% to optimize the plasma conditions to obtain a low surface energy of the silicon mold. The plasma characteristics were examined by optical emission spectroscopy (OES). In order to investigate the changes in surface energy and surface chemistry of the anti-adhesive layer during repeated demolding cycles,more » contact angle measurements and X-ray photoelectron spectroscopy (XPS) were performed on the plasma-modified silicon mold surface. Simultaneously, the surface morphology of the demolded resists was evaluated by field-emission scanning electron microscope (FE-SEM) in order to examine the effect of the anti-adhesive layers on the duplicated patterns of the resists. It was observed that the anti-adhesive layer formed by CHF{sub 3}/O{sub 2} plasma treatment was worn out more easily during repeated demolding cycles than the film formed by C{sub 4}F{sub 8}/O{sub 2} plasma treatment, because CHF{sub 3}/O{sub 2} gas plasma formed a thinner plasma-polymerized film over the same plasma treatment time.« less

  12. S180 cell growth on low ion energy plasma treated TiO 2 thin films

    NASA Astrophysics Data System (ADS)

    Dhayal, Marshal; Cho, Su-In; Moon, Jun Young; Cho, Su-Jin; Zykova, Anna

    2008-03-01

    X-ray photoelectron spectroscopy (XPS) was used to characterise the effects of low energy (<2 eV) argon ion plasma surface modification of TiO 2 thin films deposited by radio frequency (RF) magnetron sputter system. The low energy argon ion plasma surface modification of TiO 2 in a two-stage hybrid system had increased the proportion of surface states of TiO 2 as Ti 3+. The proportion of carbon atoms as alcohol/ether (C sbnd OX) was decreased with increase the RF power and carbon atoms as carbonyl (C dbnd O) functionality had increased for low RF power treatment. The proportion of C( dbnd O)OX functionality at the surface was decreased at low power and further increase in power has showed an increase in its relive proportion at the surface. The growth of S180 cells was observed and it seems that cells are uniformly spreads on tissue culture polystyrene surface and untreated TiO 2 surfaces whereas small-localised cell free area can be seen on plasma treated TiO 2 surfaces which may be due to decrease in C( dbnd O)OX, increase in C dbnd O and active sites at the surface. A relatively large variation in the surface functionalities with no change in the surface roughness was achieved by different RF plasma treatments of TiO 2 surface whereas no significant change in S180 cell growth with different plasma treatments. This may be because cell growth on TiO 2 was mainly influenced by nano-surface characteristics of oxide films rather than surface chemistry.

  13. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    PubMed

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  14. Use of statistical design of experiments for surface modification of Kapton films by CF4sbnd O2 microwave plasma treatment

    NASA Astrophysics Data System (ADS)

    Grandoni, Andrea; Mannini, Giacomo; Glisenti, Antonella; Manariti, Antonella; Galli, Giancarlo

    2017-10-01

    A statistical design of experiments (DoE) was used to evaluate the effects of CF4sbnd O2 plasma on Kapton films in which the duration of treatment, volume ratio of plasma gases, and microwave power were selected as effective experimental factors for systematic investigation of surface modification. Static water contact angle (θW), polar component of surface free energy (γSp) and surface O/C atomic ratio were analyzed as response variables. A significant enhancement in wettability and polarity of the treated films compared to untreated Kapton films was observed; depending on the experimental conditions, θW very significantly decreased, showing full wettability, and γSp rose dramatically, up to ten times. Within the DoE the conditions of plasma treatment were identified that resulted in selected optimal values of θW, γSp and O/C responses. Surface chemical changes were detected by XPS and ATR-IR investigations that evidenced both the introduction of fluorinated groups and the opening of the imide ring in the plasma-treated films.

  15. Study of low resistivity and high work function ITO films prepared by oxygen flow rates and N2O plasma treatment for amorphous/crystalline silicon heterojunction solar cells.

    PubMed

    Hussain, Shahzada Qamar; Oh, Woong-Kyo; Kim, Sunbo; Ahn, Shihyun; Le, Anh Huy Tuan; Park, Hyeongsik; Lee, Youngseok; Dao, Vinh Ai; Velumani, S; Yi, Junsin

    2014-12-01

    Pulsed DC magnetron sputtered indium tin oxide (ITO) films deposited on glass substrates with lowest resistivity of 2.62 x 10(-4) Ω x cm and high transmittance of about 89% in the visible wavelength region. We report the enhancement of ITO work function (Φ(ITO)) by the variation of oxygen (O2) flow rate and N2O surface plasma treatment. The Φ(ITO) increased from 4.43 to 4.56 eV with the increase in O2 flow rate from 0 to 4 sccm while surface treatment of N2O plasma further enhanced the ITO work function to 4.65 eV. The crystallinity of the ITO films improved with increasing O2 flow rate, as revealed by XRD analysis. The ITO work function was increased by the interfacial dipole resulting from the surface rich in O- ions and by the dipole moment formed at the ITO surface during N2O plasma treatment. The ITO films with high work functions can be used to modify the front barrier height in heterojunction with intrinsic thin layer (HIT) solar cells.

  16. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring trapsmore » on the surface and bulk channel are presented.« less

  17. Experimental investigation of the contact resistance of Graphene/MoS2 interface treated with O2 plasma

    NASA Astrophysics Data System (ADS)

    Lu, Qin; Liu, Yan; Han, Genquan; Fang, Cizhe; Shao, Yao; Zhang, Jincheng; Hao, Yue

    2018-02-01

    High contact resistance has been a major bottleneck for MoS2 to achieve high performances among two-dimensional material based optoelectronic and electronic devices. In this study, we investigate the contact resistances of different layered graphene film with MoS2 film with Ti/Au electrodes under different O2 plasma treatment time using the circular transmission line model (CTLM). Annealing process followed O2 plasma process to reduce the oxygen element introduced. Raman and X-ray photoelectric spectroscopy were used to analyze the quality of the materials. Finally, the current and voltage curve indicates good linear characteristics. Under the optimized condition of the O2 plasma treatment, a relatively low contact resistance (∼35.7 Ohm mm) without back gate voltage in single-layer graphene/MoS2 structure at room temperature was achieved compared with the existing reports. This method of introducing graphene as electrodes for MoS2 film demonstrates a remarkable ability to improve the contact resistance, without additional channel doping for two-dimensional materials based devices, which paves the way for MoS2 to be a more promising channel material in optoelectronic and electronic integration.

  18. Improvement of ITO properties in green-light-emitting devices by using N2:O2 plasma treatment

    NASA Astrophysics Data System (ADS)

    Jeon, Hyeonseong; Kang, Seongjong; Oh, Hwansool

    2016-01-01

    Plasma treatment reduces the roughness of the indium-tin-oxide (ITO) interface in organic light emitting diodes (OLEDs). Oxygen gas is typically used in the plasma treatment of conventional OLED devices. However, in this study, nitrogen and oxygen gases were used for surface treatment to improve the properties of ITO. To investigate the improvements resulting from the use of nitrogen and oxygen plasma treatment, fabricated green OLED devices. The device's structure was ITO (600 Å) / α-NPD (500 Å) / Alq3:NKX1595 (400 Å:20 Å,5%) / LiF / Al:Li (10 Å:1000 Å). The plasma treatment was performed in a capacitive coupled plasma (CCP) type plasma treatment chamber similar to that used in the traditional oxygen plasma treatment. The results of this study show that the combined nitrogen/oxygen plasma treatment increases the lifetime, current density, and brightness of the fabricated OLED while decreasing the operating voltage relative to those of OLEDs fabricated using oxygen plasma treatment.

  19. Lu2O3-SiO2-ZrO2 Coatings for Environmental Barrier Application by Solution Precursor Plasma Spraying and Influence of Precursor Chemistry

    NASA Astrophysics Data System (ADS)

    Darthout, Émilien; Quet, Aurélie; Braidy, Nadi; Gitzhofer, François

    2014-02-01

    As environmental barrier coatings are subjected to thermal stress in gas turbine engines, the introduction of a secondary phase as zircon (ZrSiO4) is likely to increase the stress resistance of Lu2Si2O7 coatings generated by induction plasma spraying using liquid precursors. In a first step, precursor chemistry effect is investigated by the synthesis of ZrO2-SiO2 nanopowders by induction plasma nanopowder synthesis technique. Tetraethyl orthosilicate (TEOS) as silicon precursor and zirconium oxynitrate and zirconium ethoxide as zirconium precursors are mixed in ethanol and produce a mixture of tetragonal zirconia and amorphous silica nanoparticles. The use of zirconium ethoxide precursor results in zirconia particles with diameter below 50 nm because of exothermic thermal decomposition of the ethoxide and its high boiling point with respect to solvent, while larger particles are formed when zirconium oxynitrate is employed. The formation temperature of zircon from zirconia and silica oxides is found at 1425 °C. Second, coatings are synthesized in Lu2O3-ZrO2-SiO2 system. After heat treatment, the doping effect of lutetium on zirconia grains totally inhibits the zircon formation. Dense coatings are obtained with the use of zirconium ethoxide because denser particles with a homogeneous diameter distribution constitute the coating.

  20. Production of simplex RNS and ROS by nanosecond pulse N2/O2 plasma jets with homogeneous shielding gas for inducing myeloma cell apoptosis

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Xu, Dehui; Liu, Dingxin; Cui, Qingjie; Cai, Haifeng; Li, Qiaosong; Chen, Hailan; Kong, Michael G.

    2017-05-01

    In this paper, atmospheric pressure N2/O2 plasma jets with homogeneous shielding gas excited by nanosecond pulse are obtained to generate simplex reactive nitrogen species (RNS) and reactive oxygen species (ROS), respectively, for the purpose of studying the simplex RNS and ROS to induce the myeloma cell apoptosis with the same discharge power. The results reveal that the cell death rate by the N2 plasma jet with N2 shielding gas is about two times that of the O2 plasma jet with O2 shielding gas for the equivalent treatment time. By diagnosing the reactive species of ONOO-, H2O2, OH and \\text{O}2- in medium, our findings suggest the cell death rate after plasma jets treatment has a positive correlation with the concentration of ONOO-. Therefore, the ONOO- in medium is thought to play an important role in the process of inducing myeloma cell apoptosis.

  1. Argon-plasma-controlled optical reset in the SiO2/Cu filamentary resistive memory stack

    NASA Astrophysics Data System (ADS)

    Kawashima, T.; Yew, K. S.; Zhou, Y.; Ang, D. S.; Zhang, H. Z.; Kyuno, K.

    2018-05-01

    We show that resistive switching in the SiO2/Cu stack can be modified by a brief exposure of the oxide to an Ar plasma. The set voltage of the SiO2/Cu stack is reduced by 33%, while the breakdown voltage of the SiO2/Si stack (control) is almost unchanged. Besides, the Ar plasma treatment suppresses the negative photoconductivity or optical resistance reset effect, where the electrically formed filamentary conductive path consisting of Cu-ion and oxygen-vacancy clusters is disrupted by the recombination of the oxygen vacancies with nearby light-excited oxygen ions. From the enhanced O-H peak in the Fourier-transform infrared spectrum of the plasma-treated oxide, it is proposed that the Ar plasma has created more oxygen vacancies in the surface region of the oxide. These vacancies in turn adsorb water molecules, which act as counter anions (OH-) promoting the migration of Cu cations into the oxide and forming a more complete Cu filament that is less responsive to light. The finding points to the prospect of a control over the optical resistance reset effect by a simple surface treatment step.

  2. Optical characteristics of a RF DBD plasma jet in various {Ar}/ {O}_{2}Ar/O2 mixtures

    NASA Astrophysics Data System (ADS)

    Falahat, A.; Ganjovi, A.; Taraz, M.; Ravari, M. N. Rostami; Shahedi, A.

    2018-02-01

    In this paper, using the optical emission spectroscopy (OES) technique, the optical characteristics of a radiofrequency (RF) plasma jet are examined. The Ar/O2 mixture is taken as the operational gas and, the Ar percentage in the Ar/O2 mixture is varied from 70% to 95%. Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H_{β }. It is mostly seen that, the radiation intensity of Ar 4p→ 4s transitions at higher argon contributions in Ar/O2 mixture is higher. It is found that, at higher Ar percentages, the emission intensities from atomic oxygen (O) are higher and, the line intensities from the argon atoms and ions including O atoms linearly increase. It is observed that the quenching of Ar^{*} with O2 results in higher O species with respect to O2 molecules. In addition, at higher percentages of Ar in the Ar/O2 mixture, while the excitation temperature is decreased, the electron density is increased.

  3. Water plasma functionalized CNTs/MnO2 composites for supercapacitors.

    PubMed

    Hussain, Shahzad; Amade, Roger; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg(-1), for untreated CNTs, up to 750 Fg(-1), for water plasma-treated CNTs.

  4. Surface-wave-sustained plasma torch for water treatment

    NASA Astrophysics Data System (ADS)

    Marinova, P.; Benova, E.; Todorova, Y.; Topalova, Y.; Yotinov, I.; Atanasova, M.; Krcma, F.

    2018-02-01

    In this study the effects of water treatment by surface-wave-sustained plasma torch at 2.45 GHz are studied. Changes in two directions are obtained: (i) changes of the plasma characteristics during the interaction with the water; (ii) water physical and chemical characteristics modification as a result of the plasma treatment. In addition, deactivation of Gram positive and Gram negative bacteria in suspension are registered. A number of charged and excited particles from the plasma interact with the water. As a result the water chemical and physical characteristics such as the water conductivity, pH, H2O2 concentration are modified. It is observed that the effect depends on the treatment time, wave power, and volume of the treated liquid. At specific discharge conditions determined by the wave power, gas flow, discharge tube radius, thickness and permittivity, the surface-wave-sustained discharge (SWD) operating at atmospheric pressure in argon is strongly non-equilibrium with electron temperature T e much higher than the temperature of the heavy particles (gas temperature T g). It has been observed that SWD argon plasma with T g close to the room temperature is able to produce H2O2 in the water with high efficiency at short exposure times (less than 60 sec). The H2O2 decomposition is strongly dependant on the temperature thus the low operating gas temperature is crucial for the H2O2 production efficiency. After scaling up the device, the observed effects can be applied for the waste water treatment in different facilities. The innovation will be useful especially for the treatment of waters and materials for medical application.

  5. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  6. Manganese-cerium oxide catalysts prepared by non-thermal plasma for NO oxidation: Effect of O2 in discharge atmosphere

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zheng, Chenghang; Wu, Shenghao; Gao, Xiang; Ni, Mingjiang; Cen, Kefa

    2017-09-01

    Non-thermal plasma with different O2 concentration in discharge atmosphere was applied to synthesize manganese and cerium mixed-oxides catalysts, which were compared in NO oxidation activity. Discharge atmosphere displayed a crucial influence on the performance of the catalysts prepared by plasma. Relatively low O2 concentration in discharge atmosphere allows synthesizing manganese-cerium oxides catalysts in a moderate environment and therefore is favorable for better physicochemical properties which lead to superior catalytic behavior. The best catalyst was obtained by treatment with 10% O2/N2 plasma and presented over 80% NO conversion in the temperature range of 275-325 °C, whereas catalyst prepared in pure O2 discharge atmosphere had the same activity with a catalyst prepared by calcinations. A correlation between the surface properties of the plasma prepared catalysts and its catalytic activity in NO oxidation is proposed. The amount of the surface adsorbed oxygen has an obvious linear correlation with the amount of Ce3+, the H2 consumption at low temperatures and the catalytic performance. The superior catalytic performance is mainly attributed to the stronger interaction between manganese oxides and ceria, and the formation of poorly crystallized Mn-O-Ce phase in the catalyst which resulted from the slow decomposition of nitrates and organics during plasma treatment. Catalysts prepared in relatively low O2 concentration have large specific surface area and is abundant in Ce3+ species and active oxygen species. The study suggests that plasma treatment with proper discharge gas components is a promising method to prepare effective manganese- cerium oxides catalyst for NO oxidation.

  7. Water Plasma Functionalized CNTs/MnO2 Composites for Supercapacitors

    PubMed Central

    Hussain, Shahzad; Jover, Eric; Bertran, Enric

    2013-01-01

    A water plasma treatment applied to vertically-aligned multiwall carbon nanotubes (CNTs) synthesized by plasma enhanced chemical vapour deposition gives rise to surface functionalization and purification of the CNTs, along with an improvement of their electrochemical properties. Additional increase of their charge storage capability is achieved by anodic deposition of manganese dioxide lining the surface of plasma-treated nanotubes. The morphology (nanoflower, layer, or needle-like structure) and oxidation state of manganese oxide depend on the voltage window applied during charge-discharge measurements and are found to be key points for improved efficiency of capacitor devices. MnO2/CNTs nanocomposites exhibit an increase in their specific capacitance from 678 Fg−1, for untreated CNTs, up to 750 Fg−1, for water plasma-treated CNTs. PMID:24348189

  8. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    NASA Astrophysics Data System (ADS)

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-07-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications.

  9. Advanced nanoporous TiO2 photocatalysts by hydrogen plasma for efficient solar-light photocatalytic application

    PubMed Central

    An, Ha-Rim; Park, So Young; Kim, Hyeran; Lee, Che Yoon; Choi, Saehae; Lee, Soon Chang; Seo, Soonjoo; Park, Edmond Changkyun; Oh, You-Kwan; Song, Chan-Geun; Won, Jonghan; Kim, Youn Jung; Lee, Jouhahn; Lee, Hyun Uk; Lee, Young-Chul

    2016-01-01

    We report an effect involving hydrogen (H2)-plasma-treated nanoporous TiO2(H-TiO2) photocatalysts that improve photocatalytic performance under solar-light illumination. H-TiO2 photocatalysts were prepared by application of hydrogen plasma of assynthesized TiO2(a-TiO2) without annealing process. Compared with the a-TiO2, the H-TiO2 exhibited high anatase/brookite bicrystallinity and a porous structure. Our study demonstrated that H2 plasma is a simple strategy to fabricate H-TiO2 covering a large surface area that offers many active sites for the extension of the adsorption spectra from ultraviolet (UV) to visible range. Notably, the H-TiO2 showed strong ·OH free-radical generation on the TiO2 surface under both UV- and visible-light irradiation with a large responsive surface area, which enhanced photocatalytic efficiency. Under solar-light irradiation, the optimized H-TiO2 120(H2-plasma treatment time: 120 min) photocatalysts showed unprecedentedly excellent removal capability for phenol (Ph), reactive black 5(RB 5), rhodamine B (Rho B) and methylene blue (MB) — approximately four-times higher than those of the other photocatalysts (a-TiO2 and P25) — resulting in complete purification of the water. Such well-purified water (>90%) can utilize culturing of cervical cancer cells (HeLa), breast cancer cells (MCF-7), and keratinocyte cells (HaCaT) while showing minimal cytotoxicity. Significantly, H-TiO2 photocatalysts can be mass-produced and easily processed at room temperature. We believe this novel method can find important environmental and biomedical applications. PMID:27406992

  10. AC Glow Discharge Plasma in N2O

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yousif, F. B.; Martinez, H.; Robledo-Martinez, A.

    2006-12-04

    This paper considers the optical and electrical characterization of AC glow discharge plasma in the abnormal glow mode used for optical emission spectroscopy. The total discharge current and applied voltage are measured using conventional techniques. The electrical characteristics of the planer-cathode glow discharge confirmed that the plasma is operating at abnormal discharge mode characterized by the increases in the operating voltage as the current was raised under given pressure. Optical emission spectroscopy was used to determine the main emission lines of the glow discharge plasma of N2O at pressures between 0.5 and 4.0 Torr. It shows that the discharge emissionmore » range is mainly within 300-400 nm. The emission lines correspond to NO, O2, and O{sub 2}{sup +} are the dominant lines in the glow discharge plasma in the present study. Intensity of the emission lines show linear increase with the discharge current up to 0.4 A followed by saturation at higher currents. No emission lines were observed in this work corresponding to atomic oxygen or nitrogen.« less

  11. A comparative study on the activity of TiO2 in pulsed plasma under different discharge conditions

    NASA Astrophysics Data System (ADS)

    Lijuan, DUAN; Nan, JIANG; Na, LU; Kefeng, SHANG; Jie, LI; Yan, WU

    2018-05-01

    In the present study, a combination of pulsed discharge plasma and TiO2 (plasma/TiO2) has been developed in order to study the activity of TiO2 by varying the discharge conditions of pulsed voltage, discharge mode, air flow rate and solution conductivity. Phenol was used as the chemical probe to characterize the activity of TiO2 in a pulsed discharge system. The experimental results showed that the phenol removal efficiency could be improved by about 10% by increasing the applied voltage. The phenol removal efficiency for three discharge modes in the plasma-discharge-alone system was found to be highest in the spark mode, followed by the spark–streamer mode and finally the streamer mode. In the plasma/TiO2 system, the highest catalytic effect of TiO2 was observed in the spark–streamer discharge mode, which may be attributed to the favorable chemical and physical effects from the spark–streamer discharge mode, such as ultraviolet light, O3, H2O2, pyrolysis, shockwaves and high-energy electrons. Meanwhile, the optimal flow rate and conductivity were 0.05 m3 l‑1 and 10 μS cm‑1, respectively. The main phenolic intermediates were hydroquinone, catechol, and p-benzoquinone during the discharge treatment process. A different phenol degradation pathway was observed in the plasma/TiO2 system as compared to plasma alone. Analysis of the reaction intermediates demonstrated that p-benzoquinone reduction was selectively catalyzed on the TiO2 surface. The effective decomposition of phenol constant (D e) increased from 74.11% to 79.16% when TiO2 was added, indicating that higher phenol mineralization was achieved in the plasma/TiO2 system.

  12. Atmospheric plasma sprayed (APS) coatings of Al2O3-TiO2 system for photocatalytic application.

    PubMed

    Stengl, V; Ageorges, H; Ctibor, P; Murafa, N

    2009-05-01

    The goal of this study is to examine the photocatalytic ability of coatings produced by atmospheric plasma spraying (APS). The plasma gun used is a common gas-stabilized plasma gun (GSP) working with a d.c. current and a mixture of argon and hydrogen as plasma-forming gas. The TiO(2) powders are particles of about 100 nm which were agglomerated to a mean size of about 55 mum, suitable for spraying. Composition of the commercial powder is 13 wt% of TiO(2) in Al(2)O(3), whereas also in-house prepared powder with the same nominal composition but with agglomerated TiO(2) and conventional fused and crushed Al(2)O(3) was sprayed. The feedstock materials used for this purpose are alpha-alumina and anatase titanium dioxide. The coatings are analyzed by scanning electron microscopy (SEM), energy dispersion probe (EDS) and X-ray diffraction. Photocatalytic degradation of acetone is quantified for various coatings. All plasma sprayed coatings show a lamellar structure on cross section, as typical for this process. Anatase titania from feedstock powder is converted into rutile titania and alpha-alumina partly to gamma-alumina. Coatings are proven to catalyse the acetone decomposition when irradiated by UV rays.

  13. Time-Resolved Optical Emission Spectroscopy Diagnosis of CO2 Laser-Produced SnO2 Plasma

    NASA Astrophysics Data System (ADS)

    Lan, Hui; Wang, Xinbing; Zuo, Duluo

    2016-09-01

    The spectral emission and plasma parameters of SnO2 plasmas have been investigated. A planar ceramic SnO2 target was irradiated by a CO2 laser with a full width at half maximum of 80 ns. The temporal behavior of the specific emission lines from the SnO2 plasma was characterized. The intensities of Sn I and Sn II lines first increased, and then decreased with the delay time. The results also showed a faster decay of Sn I atoms than that of Sn II ionic species. The temporal evolutions of the SnO2 plasma parameters (electron temperature and density) were deduced. The measured temperature and density of SnO2 plasma are 4.38 eV to 0.5 eV and 11.38×1017 cm-3 to 1.1×1017 cm-3, for delay times between 0.1 μs and 2.2 μs. We also investigated the effect of the laser pulse energy on SnO2 plasma. supported by National Natural Science Foundation of China (No. 11304235) and the Director Fund of WNLO

  14. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    PubMed

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  15. Plasma sterilization of Geobacillus Stearothermophilus by O{mathsf2}:N{mathsf2} RF inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Kylián, O.; Sasaki, T.; Rossi, F.

    2006-05-01

    The aim of this work is to identify the main process responsible for sterilization of Geobacillus Stearothermophilus spores in O{2}:N{2} RF inductively coupled plasma. In order to meet this objective the sterilization efficiencies of discharges in mixtures differing in the initial O{2}/N{2} ratios are compared with plasma properties and with scanning electron microscopy images of treated spores. According to the obtained results it can be concluded that under our experimental conditions the time needed to reach complete sterilization is more related to O atom density than UV radiation intensity, i.e. complete sterilization is not related only to DNA damage as in UV sterilization but more likely to the etching of the spore.

  16. Study on plasma pre-functionalized PVC film grafted with TiO2/PVP to improve blood compatible and antibacterial properties

    NASA Astrophysics Data System (ADS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Serra Rodríguez, Carmen

    2017-04-01

    Research into the design of new biopolymers/polymer functionalized with nanoparticles is of tremendous interest to the medical sector, particularly with regard to blood-contacting devices. In this present study, a steady blood compatible and active antibacterial coating was fabricated by the grafting of titanium dioxide (TiO2)/polyvinylpyyrolidone (PVP) onto a polyvinyl chloride (PVC) film surface via the direct-current glow discharge plasma method. To enhance the chemical interaction between TiO2/PVP and PVC, the surfaces of the PVC films were functionalized by different plasmas (air, argon, and oxygen) before coating. In this study, the plasma parameters were varied, such as treatment time of about 5-20 min for a constant power of 100 W, potential 300 V, and a constant gas pressure of 2 Pa for air, argon, and oxygen gas environment. Then, the different plasma treatments on the PVC films, TiO2/PVP were grafted using a simple dip-coating method. In addition, the TiO2/PVP-grafted PVC films were characterized by contact angle, attenuated total reflectance Fourier transform infrared spectroscopy, field-emission scanning electron microscope, and x-ray photo electron spectroscopy. Importantly, TiO2/PVP is grafted onto the PVC surface due to the plasma-based retained functionality and demonstrates adhesive efficiency, which was observed by XPS. The bio-stability of the TiO2/PVP-modified PVC film was evaluated by in vitro platelet activation analysis and protein adsorption analysis. Then, the antibacterial properties were evaluated by the agar diffusion method against Escherichia coli. The result reveals that the grafting of TiO2/PVP was slightly higher for the 15 min oxygen plasma-functionalized PVC, which significantly decreases the platelet adhesion and protein adsorption. Moreover, the antibacterial properties of the 15 min oxygen plasma-functionalized PVC with TiO2/PVP-grafted film is also greatly improved compared with an air- and argon-functionalized surface

  17. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  18. Effects of O 2 plasma and UV-O 3 assisted surface activation on high sensitivity metal oxide functionalized multiwalled carbon nanotube CH 4 sensors

    DOE PAGES

    Humayun, Md Tanim; Sainato, Michela; Divan, Ralu; ...

    2017-07-28

    We present a comparative analysis of UV-O 3 (UVO) and O 2 plasma-based surface activation processes of multi-walled carbon nanotubes (MWCNTs) enabling highly effective functionalization with metal oxide nanocrystals (MONCs). Experimental results from transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy show that by forming COOH (carboxyl), C-OH (hydroxyl), and C=O (carbonyl) groups on the MWCNT surface that act as active nucleation sites, O 2 plasma and UVO-based dry pre-treatment techniques greatly enhance the affinity between MWCNT surface and the functionalizing MONCs. MONCs, such as ZnO and SnO 2, deposited by atomic layermore » deposition (ALD) technique, were implemented as the functionalizing material following UVO and O 2 plasma activation of MWCNTs. In conclusion, a comparative study on the relative resistance changes of O 2 plasma and UVO activated MWCNT functionalized with MONC in the presence of 10 ppm methane (CH 4) in air, is presented as well.« less

  19. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR <- 3.5 V), both of the two samples show good agreement with the surface leakage mechanism. The leakage current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  20. Preparation of YBa2Cu3O7 High Tc Superconducting Coatings by Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Danroc, J.; Lacombe, J.

    The following sections are included: * INTRODUCTION * THE COMPOUND YBa2Cu3O7-δ * Structure * Critical temperature * Critical current density * Phase equilibria in the YBaCuO system * PREPARATION OF YBa2Cu3O7 COATINGS * General organisation of the preparation process * The powder * Hot plasma spraying of YBa2Cu3O7 * The post-spraying thermal treatment * CHARACTERISTICS OF THE YBa2Cu3O7-δ COATINGS * Chemical composition * Crystalline structure * Morphology of the coatings * Electrical and magnetic characteristics * Conclusion * REFERENCES

  1. Enhanced Dispersion of TiO2 Nanoparticles in a TiO2/PEDOT:PSS Hybrid Nanocomposite via Plasma-Liquid Interactions

    NASA Astrophysics Data System (ADS)

    Liu, Yazi; Sun, Dan; Askari, Sadegh; Patel, Jenish; Macias-Montero, Manuel; Mitra, Somak; Zhang, Richao; Lin, Wen-Feng; Mariotti, Davide; Maguire, Paul

    2015-10-01

    A facile method to synthesize a TiO2/PEDOT:PSS hybrid nanocomposite material in aqueous solution through direct current (DC) plasma processing at atmospheric pressure and room temperature has been demonstrated. The dispersion of the TiO2 nanoparticles is enhanced and TiO2/polymer hybrid nanoparticles with a distinct core shell structure have been obtained. Increased electrical conductivity was observed for the plasma treated TiO2/PEDOT:PSS nanocomposite. The improvement in nanocomposite properties is due to the enhanced dispersion and stability in liquid polymer of microplasma treated TiO2 nanoparticles. Both plasma induced surface charge and nanoparticle surface termination with specific plasma chemical species are proposed to provide an enhanced barrier to nanoparticle agglomeration and promote nanoparticle-polymer binding.

  2. Effects of O 2 and N 2/H 2 plasma treatments on the neuronal cell growth on single-walled carbon nanotube paper scaffolds

    NASA Astrophysics Data System (ADS)

    Yoon, Ok Ja; Lee, Hyun Jung; Jang, Yeong Mi; Kim, Hyun Woo; Lee, Won Bok; Kim, Sung Su; Lee, Nae-Eung

    2011-08-01

    The O 2 and N 2/H 2 plasma treatments of single-walled carbon nanotube (SWCNT) papers as scaffolds for enhanced neuronal cell growth were conducted to functionalize their surfaces with different functional groups and to roughen their surfaces. To evaluate the effects of the surface roughness and functionalization modifications of the SWCNT papers, we investigated the neuronal morphology, mitochondrial membrane potential, and acetylcholine/acetylcholinesterase levels of human neuroblastoma during SH-SY5Y cell growth on the treated SWCNT papers. Our results demonstrated that the plasma-chemical functionalization caused changes in the surface charge states with functional groups with negative and positive charges and then the increased surface roughness enhanced neuronal cell adhesion, mitochondrial membrane potential, and the level of neurotransmitter in vitro. The cell adhesion and mitochondrial membrane potential on the negatively charged SWCNT papers were improved more than on the positively charged SWCNT papers. Also, measurements of the neurotransmitter level showed an enhanced acetylcholine level on the negatively charged SWCNT papers compared to the positively charged SWCNT papers.

  3. Long and short term effects of plasma treatment on meristematic plant cells

    NASA Astrophysics Data System (ADS)

    Puač, N.; Živković, S.; Selaković, N.; Milutinović, M.; Boljević, J.; Malović, G.; Petrović, Z. Lj.

    2014-05-01

    In this paper, we will present results of plasma treatments of meristematic cells of Daucus carota. Plasma needle was used as an atmospheric pressure/gas composition source of non-equilibrium plasma in all treatments. Activity of antioxidant enzymes superoxide dismutase and catalase was measured immediately after plasma treatment and after two weeks following the treatment. Superoxide dismutase activity was increased in samples immediately after the plasma treatment. On the other hand, catalase activity was much higher in treated samples when measured two weeks after plasma treatment. These results show that there is a direct proof of the triggering of signal transduction in the cells by two reactive oxygen species H2O2 and O2-, causing enzyme activity and short and long term effects even during the growth of calli, where the information is passed to newborn cells over the period of two weeks.

  4. Highly efficient low-temperature plasma-assisted modification of TiO2 nanosheets with exposed {001} facets for enhanced visible-light photocatalytic activity.

    PubMed

    Li, Beibei; Zhao, Zongbin; Zhou, Quan; Meng, Bo; Meng, Xiangtong; Qiu, Jieshan

    2014-11-03

    Anatase TiO2 nanosheets with exposed {001} facets have been controllably modified under non-thermal dielectric barrier discharge (DBD) plasma with various working gas, including Ar, H2 , and NH3 . The obtained TiO2 nanosheets possess a unique crystalline core/amorphous shell structure (TiO2 @TiO2-x ), which exhibit the improved visible and near-infrared light absorption. The types of dopants (oxygen vacancy/surface Ti(3+) /substituted N) in oxygen-deficient TiO2 can be tuned by controlling the working gases during plasma discharge. Both surface Ti(3+) and substituted N were doped into the lattice of TiO2 through NH3 plasma discharge, whereas the oxygen vacancy or Ti(3+) (along with the oxygen vacancy) was obtained after Ar or H2 plasma treatment. The TiO2 @TiO2-x from NH3 plasma with a green color shows the highest photocatalytic activity under visible-light irradiation compared with the products from Ar plasma or H2 plasma due to the synergistic effect of reduction and simultaneous nitridation in the NH3 plasma. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-12-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency.

  6. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yong Seob; Kim, Eungkwon; Hong, Byungyou

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{submore » 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.« less

  7. Mechanical Properties of Plasma-Sprayed ZrO2-8 wt% Y2O3 Thermal Barrier Coatings

    NASA Technical Reports Server (NTRS)

    Choi, Sung R.; Zhu, Dongming; Miller, Robert A.

    2004-01-01

    Mechanical behavior of free standing, plasma-sprayed ZrO2-8 wt% Y2O3 thermal barrier coatings, including strength, fracture toughness, fatigue, constitutive relation, elastic modulus, and directionality, has been determined under various loading-specimen configurations. This report presents and describes a summary of mechanical properties of the plasma-sprayed coating material to provide them as a design database.

  8. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment.

    PubMed

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-15

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C; this method uses CH 4 /H 2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO 2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm 2 V -1 s -1 and 460 cm 2 V -1 s -1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  9. High degree reduction and restoration of graphene oxide on SiO2 at low temperature via remote Cu-assisted plasma treatment

    NASA Astrophysics Data System (ADS)

    Obata, Seiji; Sato, Minoru; Akada, Keishi; Saiki, Koichiro

    2018-06-01

    A high throughput synthesis method of graphene has been required for a long time to apply graphene to industrial applications. Of the various synthesis methods, the chemical exfoliation of graphite via graphene oxide (GO) is advantageous as far as productivity is concerned; however, the quality of the graphene produced by this method is far inferior to that synthesized by other methods, such as chemical vapor deposition on metals. Developing an effective reduction and restoration method for GO on dielectric substrates has been therefore a key issue. Here, we present a method for changing GO deposited on a dielectric substrate into high crystallinity graphene at 550 °C this method uses CH4/H2 plasma and a Cu catalyst. We found that Cu remotely catalyzed the high degree reduction and restoration of GO on SiO2 and the effect ranged over at least 8 mm. With this method, field-effect transistor devices can be fabricated without any post treatment such as a transfer process. This plasma treatment increased electron and hole mobilities of GO to 480 cm2 V‑1 s‑1 and 460 cm2 V‑1 s‑1 respectively; these values were more than 50 times greater than that of conventional reduced GO. Furthermore, the on-site conversion ensured that the shape of the GO sheets remained unchanged after the treatment. This plasma treatment realizes the high throughput synthesis of a desired shaped graphene on any substrate without any residue and damage being caused by the transfer process; as such, it expands the potential applicability of graphene.

  10. Rapid destruction of the rhodamine B using TiO2 photocatalyst in the liquid phase plasma

    PubMed Central

    2013-01-01

    Background Rhodamine B (RhB) is widely used as a colorant in textiles and food stuffs, and is also a well-known water tracer fluorescent. It is harmful to human beings and animals, and causes irritation of the skin, eyes and respiratory tract. The carcinogenicity, reproductive and developmental toxicity, neurotoxicity and chronic toxicity toward humans and animals have been experimentally proven. RhB cannot be effectively removed by biological treatment due to the slow kinetics. Therefore, RhB is chosen as a model pollutant for liquid phase plasma (LPP) treatment in the present investigation. Results This paper presents experimental results for the bleaching of RhB from aqueous solutions in the presence of TiO2 photocatalyst with LPP system. Properties of generated plasma were investigated by optical emission spectroscopy methods. The results of electrical-discharge degradation of RhB showed that the decomposition rate increased with the applied voltage, pulse width, and frequency. The oxygen gas addition to reactant solution increases the degradation rate by active oxygen species. The RhB decomposition rate was shown to increase with the TiO2 particle dosage. Conclusion This work presents the conclusions on the photocatalytic oxidation of RhB, as a function of plasma conditions, oxygen gas bubbling as well as TiO2 particle dosage. We knew that using the liquid phase plasma system with TiO2 photocatalyst at high speed we could remove the organic matter in the water. PMID:24041151

  11. Patterned Well-Aligned ZnO Nanorods Assisted with Polystyrene Monolayer by Oxygen Plasma Treatment.

    PubMed

    Choi, Hyun Ji; Lee, Yong-Min; Yu, Jung-Hoon; Hwang, Ki-Hwan; Boo, Jin-Hyo

    2016-08-05

    Zinc oxide is known as a promising material for sensing devices due to its piezoelectric properties. In particular, the alignment of ZnO nanostructures into ordered nanoarrays is expected to improve the device sensitivity due to the large surface area which can be utilized to capture significant quantities of gas particles. However, ZnO nanorods are difficult to grow on the quartz substrate with well-ordered shape. So, we investigated nanostructures by adjusting the interval distance of the arranged ZnO nanorods using polystyrene (PS) spheres of various sizes (800 nm, 1300 nm and 1600 nm). In addition, oxygen plasma treatment was used to specify the nucleation site of round, patterned ZnO nanorod growth. Therefore, ZnO nanorods were grown on a quartz substrate with a patterned polystyrene monolayer by the hydrothermal method after oxygen plasma treatment. The obtained ZnO nanostructures were characterized by X-ray diffraction (XRD) and field-emission scanning electron microscope (FE-SEM).

  12. Electrochemical Characterization of O2 Plasma Functionalized Multi-Walled Carbon Nanotube Electrode for Legionella pneumophila DNA Sensor

    NASA Astrophysics Data System (ADS)

    Park, Eun Jin; Lee, Jun-Yong; Hyup Kim, Jun; Kug Kim, Sun; Lee, Cheol Jin; Min, Nam Ki

    2010-08-01

    An electrochemical DNA sensor for Legionella pneumophila detection was constructed using O2 plasma functionalized multi-walled carbon nanotube (MWCNT) film as a working electrode (WE). The cyclic voltammetry (CV) results revealed that the electrocatalytic activity of plasma functionalized MWCNT (pf-MWCNT) significantly changed depending on O2 plasma treatment time due to some oxygen containing functional groups on the pf-MWCNT surface. Scanning electron microscope (SEM) images and X-ray photoelectron spectroscopy (XPS) spectra were also presented the changes of their surface morphologies and oxygen composition before and after plasma treatment. From a comparison study, it was found that the pf-MWCNT WEs had higher electrocatalytic activity and more capability of probe DNA immobilization: therefore, electrochemical signal changes by probe DNA immobilization and hybridization on pf-MWCNT WEs were larger than on Au WEs. The pf-MWCNT based DNA sensor was able to detect a concentration range of 10 pM-100 nM of target DNA to detect L. pneumophila.

  13. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  14. Conversion of CH4/CO2 to syngas over Ni-Co/Al2O3-ZrO2 nanocatalyst synthesized via plasma assisted co-impregnation method: Surface properties and catalytic performance

    NASA Astrophysics Data System (ADS)

    Rahemi, Nader; Haghighi, Mohammad; Akbar Babaluo, Ali; Fallah Jafari, Mahdi; Khorram, Sirous

    2013-09-01

    Ni/Al2O3 catalyst promoted by Co and ZrO2 was prepared by co-impregnation method and treated with glow discharge plasma. The catalytic activity of the synthesized nanocatalysts has been tested toward conversion of CH4/CO2 to syngas. The physicochemical characterizations like XRD, EDX, FESEM, TEM, BET, FTIR, and XPS show that plasma treatment results in smaller particle size, more surface concentration, and uniform morphology. The dispersion of nickel in plasma-treated nanocatalyst was also significantly improved, which was helpful for controlling the ensemble size of active phase atoms on the support surface. Improved physicochemical properties caused 20%-30% enhancement in activity of plasma-treated nanocatalyst that means to achieve the same H2 or CO yield, the plasma-treated nanocatalyst needed about 100 °C lower reaction temperature. The H2/CO ratio got closer to 1 at higher temperatures and finally at 850 °C H2/CO = 1 is attained for plasma-treated nanocatalyst. Plasma-treated nanocatalyst due to smaller Ni particles and strong interaction between active phase and support has lower tendency to keep carbon species on its structure and hence excellent stability can be observed for this catalyst.

  15. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  16. Surface tailoring of newly developed amorphous Znsbnd Sisbnd O thin films as electron injection/transport layer by plasma treatment: Application to inverted OLEDs and hybrid solar cells

    NASA Astrophysics Data System (ADS)

    Yang, Hongsheng; Kim, Junghwan; Yamamoto, Koji; Xing, Xing; Hosono, Hideo

    2018-03-01

    We report a unique amorphous oxide semiconductor Znsbnd Sisbnd O (a-ZSO) which has a small work function of 3.4 eV for as-deposited films. The surface modification of a-ZSO thin films by plasma treatments is examined to apply it to the electron injection/transport layer of organic devices. It turns out that the energy alignment and exciton dissociation efficiency at a-ZSO/organic semiconductor interface significantly changes by choosing different gas (oxygen or argon) for plasma treatments (after a-ZSO was exposed to atmospheric environment for 5 days). In situ ultraviolet photoelectron spectroscopy (UPS) measurement reveals that the work function of a-ZSO is increased to 4.0 eV after an O2-plasma treatment, while the work function of 3.5 eV is recovered after an Ar-plasma treatment which indicates this treatment is effective for surface cleaning. To study the effects of surface treatments to device performance, OLEDs and hybrid polymer solar cells with O2-plasma or Ar-plasma treated a-ZSO are compared. Effects of these surface treatments on performance of inverted OLEDs and hybrid polymer solar cells are examined. Ar-plasma treated a-ZSO works well as the electron injection layer in inverted OLEDs (Alq3/a-ZSO) because the injection barrier is small (∼ 0.1 eV). On the other hands, O2-plasma treated a-ZSO is more suitable for application to hybrid solar cells which is benefiting from higher exciton dissociation efficiency at polymer (P3HT)/ZSO interface.

  17. Synergistic Effect of Atmospheric-pressure Plasma and TiO2 Photocatalysis on Inactivation of Escherichia coli Cells in Aqueous Media

    PubMed Central

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Li, Jiangwei; Wang, Xingquan; Chen, Qiang; Yang, Size; Chen, Zhong; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-01-01

    Atmospheric-pressure plasma and TiO2 photocatalysis have been widely investigated separately for the management and reduction of microorganisms in aqueous solutions. In this paper, the two methods were combined in order to achieve a more profound understanding of their interactions in disinfection of water contaminated by Escherichia coli. Under water discharges carried out by microplasma jet arrays can result in a rapid inactivation of E. coli cells. The inactivation efficiency is largely dependent on the feed gases used, the plasma treatment time, and the discharge power. Compared to atmospheric-pressure N2, He and air microplasma arrays, O2 microplasma had the highest activity against E. coli cells in aqueous solution, and showed >99.9% bacterial inactivation efficiency within 4 min. Addition of TiO2 photocatalytic film to the plasma discharge reactor significantly enhanced the inactivation efficiency of the O2 microplasma system, decreasing the time required to achieve 99.9% killing of E. coli cells to 1 min. This may be attributed to the enhancement of ROS generation due to high catalytic activity and stability of the TiO2 photocatalyst in the combined plasma-TiO2 systems. Present work demonstrated the synergistic effect of the two agents, which can be correlated in order to maximize treatment efficiency. PMID:28004829

  18. Influence of Feedstock Powder Modification by Heat Treatments on the Properties of APS-Sprayed Al2O3-40% TiO2 Coatings

    NASA Astrophysics Data System (ADS)

    Berger, Lutz-Michael; Sempf, Kerstin; Sohn, Yoo Jung; Vaßen, Robert

    2018-04-01

    The formation and decomposition of aluminum titanate (Al2TiO5, tialite) in feedstock powders and coatings of the binary Al2O3-TiO2 system are so far poorly understood. A commercial fused and crushed Al2O3-40%TiO2 powder was selected as the feedstock for the experimental series presented in this paper, as the composition is close to that of Al2TiO5. Part of that powder was heat-treated in air at 1150 and 1500 °C in order to modify the phase composition, while not influencing the particle size distribution and processability. The powders were analyzed by thermal analysis, XRD and FESEM including EDS of metallographically prepared cross sections. Only a maximum content of about 45 wt.% Al2TiO5 was possible to obtain with the heat treatment at 1500 °C due to inhomogeneous distribution of Al and Ti in the original powder. Coatings were prepared by plasma spraying using a TriplexPro-210 (Oerlikon Metco) with Ar-H2 and Ar-He plasma gas mixtures at plasma power levels of 41 and 48 kW. Coatings were studied by XRD, SEM including EDS linescans of metallographically prepared cross sections, and microhardness HV1. With the exception of the powder heat-treated at 1500 °C an Al2TiO5-Ti3O5 (tialite-anosovite) solid solution Al2- x Ti1+ x O5 instead of Al2TiO5 existed in the initial powder and the coatings.

  19. Atomic scale simulation of H2O2 permeation through aquaporin: toward the understanding of plasma cancer treatment

    NASA Astrophysics Data System (ADS)

    Yusupov, Maksudbek; Yan, Dayun; Cordeiro, Rodrigo M.; Bogaerts, Annemie

    2018-03-01

    Experiments have demonstrated the potential selective anticancer capacity of cold atmospheric plasmas (CAPs), but the underlying mechanisms remain unclear. Using computer simulations, we try to shed light on the mechanism of selectivity, based on aquaporins (AQPs), i.e. transmembrane protein channels transferring external H2O2 and other reactive oxygen species, created e.g. by CAPs, to the cell interior. Specifically, we perform molecular dynamics simulations for the permeation of H2O2 through AQP1 (one of the members of the AQP family) and the palmitoyl-oleoyl-phosphatidylcholine (POPC) phospholipid bilayer (PLB). The free energy barrier of H2O2 across AQP1 is lower than for the POPC PLB, while the permeability coefficient, calculated using the free energy and diffusion rate profiles, is two orders of magnitude higher. This indicates that the delivery of H2O2 into the cell interior should be through AQP. Our study gives a better insight into the role of AQPs in the selectivity of CAPs for treating cancer cells.

  20. The synergistic effect of TiO2 nanoporous modification and platelet-rich plasma treatment on titanium-implant stability in ovariectomized rats.

    PubMed

    Jiang, Nan; Du, Pinggong; Qu, Weidong; Li, Lin; Liu, Zhonghao; Zhu, Songsong

    For several decades, titanium and its alloys have been commonly utilized for endosseous implantable materials, because of their good mechanical properties, chemical resistance, and biocompatibility. But associated low bone mass, wear and loss characteristics, and high coefficients of friction have limited their long-term stable performance, especially in certain abnormal bone-metabolism conditions, such as postmenopausal osteoporosis. In this study, we investigated the effects of platelet-rich plasma (PRP) treatment and TiO 2 nanoporous modification on the stability of titanium implants in osteoporotic bone. After surface morphology, topographical structure, and chemical changes of implant surface had been detected by scanning electron microscopy (SEM), atomic force microscopy, contact-angle measurement, and X-ray diffraction, we firstly assessed in vivo the effect of PRP treatment on osseointegration of TiO 2 -modified implants in ovariectomized rats by microcomputed tomography examinations, histology, biomechanical testing, and SEM observation. Meanwhile, the potential molecular mechanism involved in peri-implant osseous enhancement was also determined by quantitative real-time polymerase chain reaction. The results showed that this TiO 2 -modified surface was able to lead to improve bone implant contact, while PRP treatment was able to increase the implant surrounding bone mass. The synergistic effect of both was able to enhance the terminal force of implants drastically in biomechanical testing. Compared with surface modification, PRP treatment promoted earlier osteogenesis with increased expression of the RUNX2 and COL1 genes and suppressed osteoclastogenesis with increased expression of OPG and decreased levels of RANKL. These promising results show that PRP treatment combined with a TiO 2 -nanomodified surface can improve titanium-implant biomechanical stability in ovariectomized rats, suggesting a beneficial effect to support the success of implants in

  1. The synergistic effect of TiO2 nanoporous modification and platelet-rich plasma treatment on titanium-implant stability in ovariectomized rats

    PubMed Central

    Jiang, Nan; Du, Pinggong; Qu, Weidong; Li, Lin; Liu, Zhonghao; Zhu, Songsong

    2016-01-01

    For several decades, titanium and its alloys have been commonly utilized for endosseous implantable materials, because of their good mechanical properties, chemical resistance, and biocompatibility. But associated low bone mass, wear and loss characteristics, and high coefficients of friction have limited their long-term stable performance, especially in certain abnormal bone-metabolism conditions, such as postmenopausal osteoporosis. In this study, we investigated the effects of platelet-rich plasma (PRP) treatment and TiO2 nanoporous modification on the stability of titanium implants in osteoporotic bone. After surface morphology, topographical structure, and chemical changes of implant surface had been detected by scanning electron microscopy (SEM), atomic force microscopy, contact-angle measurement, and X-ray diffraction, we firstly assessed in vivo the effect of PRP treatment on osseointegration of TiO2-modified implants in ovariectomized rats by microcomputed tomography examinations, histology, biomechanical testing, and SEM observation. Meanwhile, the potential molecular mechanism involved in peri-implant osseous enhancement was also determined by quantitative real-time polymerase chain reaction. The results showed that this TiO2-modified surface was able to lead to improve bone implant contact, while PRP treatment was able to increase the implant surrounding bone mass. The synergistic effect of both was able to enhance the terminal force of implants drastically in biomechanical testing. Compared with surface modification, PRP treatment promoted earlier osteogenesis with increased expression of the RUNX2 and COL1 genes and suppressed osteoclastogenesis with increased expression of OPG and decreased levels of RANKL. These promising results show that PRP treatment combined with a TiO2-nanomodified surface can improve titanium-implant biomechanical stability in ovariectomized rats, suggesting a beneficial effect to support the success of implants in

  2. Sterilization of Fusarium oxysporum by treatment of non-thermalequilibrium plasma in nutrient solution

    NASA Astrophysics Data System (ADS)

    Yasui, Shinji; Seki, Satoshi; Yoshida, Ryohei; Shoji, Kazuhiro; Terazoe, Hitoshi

    2016-01-01

    Fusarium wilt of spinach due to F. oxysporum infection is one of the most destructive root diseases in hydroponics in factories using the nutrient film technique. We investigated new technologies for the sterilization of microconidia of F. oxysporum by using a non-thermalequilibrium plasma treatment method in nutrient solution. Specifically, we investigated the sterilization capabilities of five types of gas (air, O2, N2, He, and Ar) used for plasma generation. The highest sterilization capability was achieved by using O2 plasma. However, ozone, which causes growth inhibition, was then generated and released into the atmosphere. The sterilization capability was lower when N2 or air plasma was used in the nutrient solution. It was confirmed that sterilization can be achieved by plasma treatment using inert gases that do not generate ozone; therefore, we determined that Ar plasma is the most preferable. In addition, we investigated the sterilization capabilities of other factors associated with Ar plasma generation, without direct plasma treatment. However, none of these other factors, which included Ar bubbling, pH reduction, increased temperature, hydrogen peroxide concentration, and UV radiation, could completely reproduce the results of direct plasma treatment. We assume that radicals such as O or OH may contribute significantly to the sterilization of microconidia of F. oxysporum in a nutrient solution.

  3. Parametric study of plasma-mediated thermoluminescence produced by Al2O3 sub-micron powders

    NASA Astrophysics Data System (ADS)

    Morávek, T.; Ambrico, P. F.; Ambrico, M.; Schiavulli, L.; Ráheľ, J.

    2017-10-01

    Sub-micron Al2O3 powders with a surface activated by dielectric barrier discharge exhibit improved performance in wet deposition of ceramic layers. In addressing the possible mechanisms responsible for the observed improvement, a comprehensive thermoluminescence (TL) study of plasma-activated powders was performed. TL offers the unique possibility of exploring the population of intrinsic electrons/holes in the charge trapping states. This study covers a wide range of experimental conditions affecting the TL of powders: treatment time, plasma working gas composition, change of discharge configuration, step-annealing of powder, exposure to laser irradiation and aging time. Deconvoluted TL spectra were followed for the changes in their relative contributions. The TL spectra of all tested gases (air, Ar, N2 and 5% He in N2) consist of the well-known main dosimetric peak at 450 K and a peak of similar magnitude at higher temperatures, centered between 700 and 800 K depending on the working gas used. N2 plasma treatment gave rise to a new specific TL peak at 510 K, which exhibited several peculiarities. Initial thermal annealing of Al2O3 powders led to its significant amplification (unlike the other peaks); the peak was insensitive to optical bleaching, and it exhibited slow gradual growth during the long-term aging test. Besides its relevance to the ceramic processing studies, a comprehensive set of data is presented that provides a useful and unconventional view on plasma-mediated material changes.

  4. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    PubMed

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Magnesium Oxide (MgO) pH-sensitive Sensing Membrane in Electrolyte-Insulator-Semiconductor Structures with CF4 Plasma Treatment.

    PubMed

    Kao, Chyuan-Haur; Chang, Chia Lung; Su, Wei Ming; Chen, Yu Tzu; Lu, Chien Cheng; Lee, Yu Shan; Hong, Chen Hao; Lin, Chan-Yu; Chen, Hsiang

    2017-08-03

    Magnesium oxide (MgO) sensing membranes in pH-sensitive electrolyte-insulator-semiconductor structures were fabricated on silicon substrate. To optimize the sensing capability of the membrane, CF 4 plasma was incorporated to improve the material quality of MgO films. Multiple material analyses including FESEM, XRD, AFM, and SIMS indicate that plasma treatment might enhance the crystallization and increase the grain size. Therefore, the sensing behaviors in terms of sensitivity, linearity, hysteresis effects, and drift rates might be improved. MgO-based EIS membranes with CF 4 plasma treatment show promise for future industrial biosensing applications.

  6. Characteristics of surface-wave plasma with air-simulated N2 O2 gas mixture for low-temperature sterilization

    NASA Astrophysics Data System (ADS)

    Xu, L.; Nonaka, H.; Zhou, H. Y.; Ogino, A.; Nagata, T.; Koide, Y.; Nanko, S.; Kurawaki, I.; Nagatsu, M.

    2007-02-01

    Sterilization experiments using low-pressure air discharge plasma sustained by the 2.45 GHz surface-wave have been carried out. Geobacillus stearothermoplilus spores having a population of 3.0 × 106 were sterilized for only 3 min using air-simulated N2-O2 mixture gas discharge plasma, faster than the cases of pure O2 or pure N2 discharge plasmas. From the SEM analysis of plasma-irradiated spores and optical emission spectroscopy measurements of the plasmas, it has been found that the possible sterilization mechanisms of air-simulated plasma are the chemical etching effect due to the oxygen radicals and UV emission from the N2 molecules and NO radicals in the wavelength range 200-400 nm. Experiment suggested that UV emission in the wavelength range less than 200 nm might not be significant in the sterilization. The UV intensity at 237.0 nm originated from the NO γ system (A 2Σ+ → X 2Π) in N2-O2 plasma as a function of the O2 percentage added to N2-O2 mixture gas has been investigated. It achieved its maximum value when the O2 percentage was roughly 10-20%. This result suggests that air can be used as a discharge gas for sterilization, and indeed we have confirmed a rapid sterilization with the actual air discharge at a sample temperature of less than 65 °C.

  7. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    PubMed

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  8. Chemical Stability and Biological Properties of Plasma-Sprayed CaO-SiO2-ZrO2 Coatings

    NASA Astrophysics Data System (ADS)

    Liang, Ying; Xie, Youtao; Ji, Heng; Huang, Liping; Zheng, Xuebin

    2010-12-01

    In this work, calcia-stabilized zirconia powders were coated by silica derived from tetraethoxysilane (TEOS) hydrolysis. After calcining at 1400 °C, decalcification of calcia-stabilized zirconia by silica occurred and powders composed of Ca2SiO4, ZrO2, and CaZrO3 were prepared. We produced three kinds of powders with different Ca2SiO4 contents [20 wt.% (denoted as CZS2), 40 wt.% (denoted as CZS4), and 60 wt.% (denoted as CZS6)]. The obtained powders were sprayed onto Ti-6Al-4V substrates using atmospheric plasma spraying. The microstructure of the powders and coatings were analyzed. The dissolution rates of the coatings were assessed by monitoring the ions release and mass losses after immersion in Tris-HCl buffer solution. Results showed that the chemical stability of the coatings were significantly improved compared with pure calcium silicate coatings, and increased with the increase of Zr contents. The CZS4 coating showed not only good apatite-formation ability in simulated body fluid, but also well attachment and proliferation capability for the canine bone marrow stem cells. Results presented here indicate that plasma-sprayed CZS4 coating has medium dissolution rate and good biological properties, suggesting its potential use as bone implants.

  9. Spectral and ion emission features of laser-produced Sn and SnO2 plasmas

    NASA Astrophysics Data System (ADS)

    Hui, Lan; Xin-Bing, Wang; Du-Luo, Zuo

    2016-03-01

    We have made a detailed comparison of the atomic and ionic debris, as well as the emission features of Sn and SnO2 plasmas under identical experimental conditions. Planar slabs of pure metal Sn and ceramic SnO2 are irradiated with 1.06 μm, 8 ns Nd:YAG laser pulses. Fast photography employing an intensified charge coupled device (ICCD), optical emission spectroscopy (OES), and optical time of flight emission spectroscopy are used as diagnostic tools. Our results show that the Sn plasma provides a higher extreme ultraviolet (EUV) conversion efficiency (CE) than the SnO2 plasma. However, the kinetic energies of Sn ions are relatively low compared with those of SnO2. OES studies show that the Sn plasma parameters (electron temperature and density) are lower compared to those of the SnO2 plasma. Furthermore, we also give the effects of the vacuum degree and the laser pulse energy on the plasma parameters. Project supported by the National Natural Science Foundation of China (Grant No. 11304235) and the Director Fund of WNLO, China.

  10. Studies on corrosion resistance and bio-activity of plasma spray deposited hydroxylapatite (HA) based TiO2 and ZrO2 dispersed composite coatings on titanium alloy (Ti-6Al-4V) and the same after post spray heat treatment

    NASA Astrophysics Data System (ADS)

    Kumari, Renu; Majumdar, Jyotsna Dutta

    2017-10-01

    In the present study, the effect of plasma spray deposited hydroxylapatite (HA) based TiO2 dispersed (HA + 50 wt.% TiO2), coating and post spray heat treatment to be referred as HA-TiO2 (heat treated at 650 °C for 2 h) and ZrO2 dispersed (HA + 10 wt.% ZrO2), to be referred as HA-ZrO2 coating (heat treated at 750 °C for 2 h) on corrosion resistance and bioactivity of Ti-6Al-4V substrate has been undertaken. There is partial decomposition of HA to tri-calcium-phosphate (Ca3(PO4)2) and formation of CaTiO3 phase in HA-TiO2 coating and CaZrO3 phase in the HA-ZrO2 coating. Corrosion study in Hank's solution shows that there is shifting of corrosion potential (Ecorr) towards active potential (-1.1 V(SCE) for as-sprayed and post spray heat treated HA-TiO2 coating, -1.1 V(SCE) for as-sprayed HA-ZrO2 coating and -1 V(SCE) for HA-ZO2 coating after post spray heat treatment), and deterioration in pitting corrosion (Epit) resistance in as-sprayed coatings and the same after heat treatment (-0.7 V(SCE) for both HA-TiO2 and HA-ZrO2 coating as compared to as received substrate (-0.3 V(SCE)). The corrosion rate was increased for both the coatings with a maximum increase in HA-ZrO2 coating. Bioactivity test shows a higher degree of apatite deposition in as-sprayed coating and the same after heat treatment as compared to as received Ti-6Al-4V though the as-sprayed one showed a superior behavior.

  11. UV-vis spectroscopy study of plasma-activated water: Dependence of the chemical composition on plasma exposure time and treatment distance

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Szili, Endre J.; Ogawa, Kotaro; Short, Robert D.; Ito, Masafumi; Furuta, Hiroshi; Hatta, Akimitsu

    2018-01-01

    Plasma-activated water (PAW) is receiving much attention in biomedical applications because of its reported potent bactericidal properties. Reactive oxygen and nitrogen species (RONS) that are generated in water upon plasma exposure are thought to be the key components in PAW that destroy bacterial and cancer cells. In addition to developing applications for PAW, it is also necessary to better understand the RONS chemistry in PAW in order to tailor PAW to achieve a specific biological response. With this in mind, we previously developed a UV-vis spectroscopy method using an automated curve fitting routine to quantify the changes in H2O2, NO2 -, NO3 - (the major long-lived RONS in PAW), and O2 concentrations. A major advantage of UV-vis is that it can take multiple measurements during plasma activation. We used the UV-vis procedure to accurately quantify the changes in the concentrations of these RONS and O2 in PAW. However, we have not yet provided an in-depth commentary of how we perform the curve fitting procedure or its implications. Therefore, in this study, we provide greater detail of how we use the curve fitting routine to derive the RONS and O2 concentrations in PAW. PAW was generated by treatment with a helium plasma jet. In addition, we employ UV-vis to study how the plasma jet exposure time and treatment distance affect the RONS chemistry and amount of O2 dissolved in PAW. We show that the plasma jet exposure time principally affects the total RONS concentration, but not the relative ratios of RONS, whereas the treatment distance affects both the total RONS concentration and the relative RONS concentrations.

  12. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  13. Parametric computational study of sheaths in multicomponent Ar/O2 plasma

    NASA Astrophysics Data System (ADS)

    Hromadka, J.; Ibehej, T.; Hrach, R.

    2018-02-01

    Our study is devoted to sheath structures emerging in Ar/O2 plasma. By means of two dimensional PIC/MCC computer model two configurations were investigated - sheath structure in the vicinity of a cylindrical Langmuir probe for two different biases and changes of the sheath structure when a cylindrical probe passes into a semi-planar probe. It was shown that O+ ions play important role in shielding out negative bias of a solid immersed in Ar/O2 plasma and edge effects of a semi-planar probe on its sheath structure were evaluated.

  14. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  15. Al2O3-ZrO2 Finely Structured Multilayer Architectures from Suspension Plasma Spraying

    NASA Astrophysics Data System (ADS)

    Tingaud, Olivier; Montavon, Ghislain; Denoirjean, Alain; Coudert, Jean-François; Rat, Vincent; Fauchais, Pierre

    2010-01-01

    Suspension plasma spraying (SPS) is an alternative to conventional atmospheric plasma spraying (APS) aiming at manufacturing thinner layers (i.e., 10-100 μm) due to the specific size of the feedstock particles, from a few tens of nanometers to a few micrometers. The staking of lamellae and particles, which present a diameter ranging from 0.1 to 2.0 μm and an average thickness from 20 to 300 nm, permits to manufacture finely structured layers. Moreover, it appears as a versatile process able to manufacture different coating architectures according to the operating parameters (suspension properties, injection configuration, plasma properties, spray distance, torch scan velocity, scanning step, etc.). However, the different parameters controlling the properties of the coating, and their interdependences, are not yet fully identified. Thus, the aim of this paper is, on the one hand, to better understand the influence of operating parameters on the coating manufacturing mechanisms (in particular, the plasma gas mixture effect) and, on the other hand, to produce Al2O3-ZrO2 finely structured layers with large varieties of architectures. For this purpose, a simple theoretical model was used to describe the plasma torch operating conditions at the nozzle exit, based on experimental data (mass enthalpy, arc current intensity, thermophysical properties of plasma forming gases, etc.) and the influences of the spray parameters were determined by mean of the study of sizes and shapes of spray beads. The results enabled then to reach a better understanding of involved phenomena and their interactions on the final coating architectures permitting to manufacture several types of microstructures.

  16. Understanding the mechanisms of interfacial reactions during TiO{sub 2} layer growth on RuO{sub 2} by atomic layer deposition with O{sub 2} plasma or H{sub 2}O as oxygen source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaker, A.; Szkutnik, P. D.; Pointet, J.

    2016-08-28

    In this paper, TiO{sub 2} layers grown on RuO{sub 2} by atomic layer deposition (ALD) using tetrakis (dimethyla-mino) titanium (TDMAT) and either oxygen plasma or H{sub 2}O as oxygen source were analyzed using X-ray diffraction (XRD), Raman spectroscopy, and depth-resolved X-ray Photoelectron spectroscopy (XPS). The main objective is to investigate the surface chemical reactions mechanisms and their influence on the TiO{sub 2} film properties. The experimental results using XRD show that ALD deposition using H{sub 2}O leads to anatase TiO{sub 2} whereas a rutile TiO{sub 2} is obtained when oxygen-plasma is used as oxygen source. Depth-resolved XPS analysis allows tomore » determine the reaction mechanisms at the RuO{sub 2} substrate surface after growth of thin TiO{sub 2} layers. Indeed, the XPS analysis shows that when H{sub 2}O assisted ALD process is used, intermediate Ti{sub 2}O{sub 3} layer is obtained and RuO{sub 2} is reduced into Ru as evidenced by high resolution transmission electron microscopy. In this case, there is no possibility to re-oxidize the Ru surface into RuO{sub 2} due to the weak oxidation character of H{sub 2}O and an anatase TiO{sub 2} layer is therefore grown on Ti{sub 2}O{sub 3}. In contrast, when oxygen plasma is used in the ALD process, its strong oxidation character leads to the re-oxidation of the partially reduced RuO{sub 2} following the first Ti deposition step. Consequently, the RuO{sub 2} surface is regenerated, allowing the growth of rutile TiO{sub 2}. A surface chemical reaction scheme is proposed that well accounts for the observed experimental results.« less

  17. Treatment of enterococcus faecalis bacteria by a helium atmospheric cold plasma brush with oxygen addition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen Wei; Huang Jun; Wang Xingquan

    2012-07-01

    An atmospheric cold plasma brush suitable for large area and low-temperature plasma-based sterilization is designed. Results demonstrate that the He/O{sub 2} plasma more effectively kills Enterococcus faecalis than the pure He plasma. In addition, the sterilization efficiency values of the He/O{sub 2} plasma depend on the oxygen fraction in Helium gas. The atmospheric cold plasma brush using a proper ratio of He/O{sub 2} (2.5%) reaches the optimum sterilization efficiency. After plasma treatment, the cell structure and morphology changes can be observed by the scanning electron microscopy. Optical emission measurements indicate that reactive species such as O and OH play amore » significant role in the sterilization process.« less

  18. Influence of Ar/O2/H2O Feed Gas and N2/O2/H2O Environment on the Interaction of Time Modulated MHz Atmospheric Pressure Plasma Jet (APPJ) with Model Polymers

    NASA Astrophysics Data System (ADS)

    Oehrlein, Gottlieb; Luan, Pingshan; Knoll, Andrew; Kondeti, Santosh; Bruggeman, Peter

    2016-09-01

    An Ar/O2/H2O fed time modulated MHz atmospheric pressure plasma jet (APPJ) in a sealed chamber was used to study plasma interaction with model polymers (polystyrene, poly-methyl methacrylate, etc.). The amount of H2O in the feed gas and/or present in the N2, O2, or N2/O2 environment was controlled. Short lived species such as O atoms and OH radicals play a crucial role in polymer etching and surface modifications (obtained from X-ray photoelectron spectroscopy of treated polymers without additional atmospheric exposure). Polymer etching depth for Ar/air fed APPJ mirrors the decay of gas phase O atoms with distance from the APPJ nozzle in air and is consistent with the estimated O atom flux at the polymer surface. Furthermore, whereas separate O2 or H2O admixture to Ar enhances polymer etching, simultaneous addition of O2 and H2O to Ar quenches polymer etching. This can be explained by the mutual quenching of O with OH, H and HO2 in the gas phase. Results where O2 and/or H2O in the environment were varied are consistent with these mechanisms. All results will be compared with measured and simulated species densities reported in the literature. We gratefully acknowledge funding from US Department of Energy (DE-SC0001939) and National Science Foundation (PHY-1415353).

  19. Air Plasma-Sprayed La2Zr2O7-SrZrO3 Composite Thermal Barrier Coating Subjected to CaO-MgO-Al2O3-SiO2 (CMAS)

    NASA Astrophysics Data System (ADS)

    Cai, Lili; Ma, Wen; Ma, Bole; Guo, Feng; Chen, Weidong; Dong, Hongying; Shuang, Yingchai

    2017-08-01

    La2Zr2O7-SrZrO3 composite thermal barrier coatings (TBCs) were prepared by air plasma spray (APS). The La2Zr2O7-SrZrO3 composite TBCs covered with calcium-magnesium-aluminum-silicate (CMAS) powder, as well as the powder mixture of CMAS and spray-dried La2Zr2O7-SrZrO3 composite powder, were heat-treated at 1250 °C in air for 1, 4, 8, and 12 h. The phase constituents and microstructures of the reaction products were characterized by x-ray diffraction, scanning electron microscopy, and energy-dispersive spectroscopy. Experimental results showed that the La2Zr2O7-SrZrO3 composite TBCs had higher CMAS resistance than 8YSZ coating. A dense new layer developed between CMAS and La2Zr2O7-SrZrO3 composite TBCs during interaction, and this new layer consisted mostly of apatite (Ca2La8(SiO4)6O2) and c-ZrO2. The newly developed layer effectively protected the La2Zr2O7-SrZrO3 composite TBCs from further CMAS attack.

  20. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  1. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    PubMed

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  2. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  3. Energy balance in the core of the Saturn plasma sheet: H2O chemistry

    NASA Astrophysics Data System (ADS)

    Shemansky, D. E.; Yoshii, J.; Liu, X.

    2011-10-01

    A model of the weakly ionized plasma at Saturn has been developed to investigate the properties of the system. Energy balance is a critical consideration. The present model is based on two sources of mass, H2O, and HI. H2O is a variable. HI is a significant volume of gas flowing through the plasma imposed by the source at Saturn [1,2,3]. The energy sources are solar radiation and heterogeneous magnetosphere electrons. The model calculations produce energy rates, species partitioning, and relaxation lifetimes. For the first time the state of the ambient plasma sheet electrons is directly connected to the energy forcing functions. Within limits of knowledge, the predicted state of the core region of the plasma sheet in neutral and ionized gas corresponds satisfactorily to observation. The dominant ions in these calculations are H2O+ and H3O+ with lifetimes of several days. The lifetime of H2O is roughly 60 days. In calculations carried out so far the predicted source rate for H2O is lower than the rates quoted from the Enceladus encounters.

  4. DLTS Analysis and Interface Engineering of Solution Route Fabricated Zirconia Based MIS Devices Using Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Kumar, Arvind; Mondal, Sandip; Koteswara Rao, K. S. R.

    2018-02-01

    In this work, we have fabricated low-temperature sol-gel spin-coated and oxygen (O2) plasma treated ZrO2 thin film-based metal-insulator-semiconductor devices. To understand the impact of plasma treatment on the Si/ZrO2 interface, deep level transient spectroscopy measurements were performed. It is reported that the interface state density ( D it) comes down to 7.1 × 1010 eV-1 cm-2 from 4 × 1011 eV-1 cm-2, after plasma treatment. The reduction in D it is around five times and can be attributed to the passivation of oxygen vacancies near the Si/ZrO2 interface, as they try to relocate near the interface. The energy level position ( E T) of interfacial traps is estimated to be 0.36 eV below the conduction band edge. The untreated ZrO2 film displayed poor leakage behavior due to the presence of several traps within the film and at the interface; O2 plasma treated films show improved leakage current density as they have been reduced from 5.4 × 10-8 A/cm2 to 1.98 × 10-9 A/cm2 for gate injection mode and 6.4 × 10-8 A/cm2 to 6.3 × 10-10 A/cm2 for substrate injection mode at 1 V. Hence, we suggest that plasma treatment might be useful in future device fabrication technology.

  5. Measurement of tritium with plastic scintillator surface improvement with plasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshihara, Y.; Furuta, E.; Ohyama, R.I.

    2015-03-15

    Tritium is usually measured by using a liquid scintillation counter. However, liquid scintillator used for measurement will become radioactive waste fluid. To solve this issue, we have developed a method of measuring tritium samples with plasma-treated plastic scintillator (PS)sheets (Plasma method). The radioactive sample is held between 2 PS sheets and the whole is enclosed in a a low-potassium glass vial. With the Plasma method of 2-min plasma treatment, we have obtained measurement efficiency of 48 ± 2 % for 2 min measurement of tritium except for tritiated water. The plasma treatment makes the PS surface rough and hydrophilic whichmore » contributes to improve the contact between tritium and PS. On the other hand, it needed almost 6 hours to obtain constant measurement efficiency. The reason was that the dry-up handling in the vial needed longer time to vaporize H{sub 2}O molecules than in the air. We tried putting silica gel beads into vials to remove H{sub 2}O molecules from PS sheet surface quickly. The silica gel beads worked well and we got constant measurement efficiency within 1-3 hours. Also, we tried using other kinds of PS treated with plasma to obtain higher measurement efficiencies of tritium samples.« less

  6. Cold atmospheric plasma treatment inhibits growth in colorectal cancer cells.

    PubMed

    Schneider, Christin; Arndt, Stephanie; Zimmermann, Julia L; Li, Yangfang; Karrer, Sigrid; Bosserhoff, Anja-Katrin

    2018-06-01

    Plasma oncology is a relatively new field of research. Recent developments have indicated that cold atmospheric plasma (CAP) technology is an interesting new therapeutic approach to cancer treatment. In this study, p53 wildtype (LoVo) and human p53 mutated (HT29 and SW480) colorectal cancer cells were treated with the miniFlatPlaSter - a device particularly developed for the treatment of tumor cells - that uses the Surface Micro Discharge (SMD) technology for plasma production in air. The present study analyzed the effects of plasma on colorectal cancer cells in vitro and on normal colon tissue ex vivo. Plasma treatment had strong effects on colon cancer cells, such as inhibition of cell proliferation, induction of cell death, and modulation of p21 expression. In contrast, CAP treatment of murine colon tissue ex vivo for up to 2 min did not show any toxic effect on normal colon cells compared to H2O2 positive control. In summary, these results suggest that the miniFlatPlaSter plasma device is able to kill colorectal cancer cells independent of their p53 mutation status. Thus, this device presents a promising new approach in colon cancer therapy.

  7. Investigation of hybrid plasma-catalytic removal of acetone over CuO/γ-Al2O3 catalysts using response surface method.

    PubMed

    Zhu, Xinbo; Tu, Xin; Mei, Danhua; Zheng, Chenghang; Zhou, Jinsong; Gao, Xiang; Luo, Zhongyang; Ni, Mingjiang; Cen, Kefa

    2016-07-01

    In this work, plasma-catalytic removal of low concentrations of acetone over CuO/γ-Al2O3 catalysts was carried out in a cylindrical dielectric barrier discharge (DBD) reactor. The combination of plasma and the CuO/γ-Al2O3 catalysts significantly enhanced the removal efficiency of acetone compared to the plasma process using the pure γ-Al2O3 support, with the 5.0 wt% CuO/γ-Al2O3 catalyst exhibiting the best acetone removal efficiency of 67.9%. Catalyst characterization was carried out to understand the effect the catalyst properties had on the activity of the CuO/γ-Al2O3 catalysts in the plasma-catalytic reaction. The results indicated that the formation of surface oxygen species on the surface of the catalysts was crucial for the oxidation of acetone in the plasma-catalytic reaction. The effects that various operating parameters (discharge power, flow rate and initial concentration of acetone) and the interactions between these parameters had on the performance of the plasma-catalytic removal of acetone over the 5.0 wt% CuO/γ-Al2O3 catalyst were investigated using central composite design (CCD). The significance of the independent variables and their interactions were evaluated by means of the Analysis of Variance (ANOVA). The results showed that the gas flow rate was the most significant factor affecting the removal efficiency of acetone, whilst the initial concentration of acetone played the most important role in determining the energy efficiency of the plasma-catalytic process. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    NASA Astrophysics Data System (ADS)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  9. Reaction pathways in remote plasma nitridation of ultrathin SiO2 films

    NASA Astrophysics Data System (ADS)

    Niimi, Hiro; Khandelwal, Amit; Lamb, H. Henry; Lucovsky, Gerald

    2002-01-01

    Low-temperature nitridation of 3 nm SiO2 films using He/N2 and N2 remote radio frequency (rf) plasmas was investigated. On-line Auger electron spectroscopy and angle-resolved x-ray photoelectron spectroscopy (ARXPS) were employed to determine the concentration, spatial distribution, and local chemical bonding of nitrogen in the resultant films. Experiments were performed using a substrate temperature of 300 °C and 30 W rf power. Nitridation using an upstream He/N2 remote plasma at 0.1 Torr incorporates nitrogen at the top surface of the SiO2 film. In contrast, a lower concentration of nitrogen distributed throughout the film is obtained when the process pressure is increased to 0.3 Torr. ARXPS indicates a N-Si3 local bonding configuration, irrespective of the spatial distribution of N atoms. Slightly more nitrogen is incorporated using a downstream He/N2 plasma at each process pressure. By comparison, nitridation of SiO2 films using a N2 remote plasma at 0.1 Torr is very slow. Optical emission spectroscopy indicates that He dilution enhances the generation of N2+(B 2Σu+) species by altering the plasma electron energy distribution and by providing an additional kinetic pathway (Penning ionization). Changing the He/N2 remote plasma configuration from upstream to downstream (at 0.1 and 0.3 Torr) also enhances N2+(B 2Σu+) generation. For upstream He/N2 remote plasmas, the intensity of N2 first positive emission from N2(B 3Πg) states increases with pressure, whereas the N2+ first negative emission from N2+(B 2Σu+) states decreases. We infer from these observations that N2+ species are primarily responsible for top surface nitridation at 0.1 Torr, and that neutral species [N2(A 3Σu+) metastables and N atoms] are associated with sub-surface nitrogen incorporation.

  10. The effect of VUV radiation from Ar/O2 plasmas on low-k SiOCH films

    NASA Astrophysics Data System (ADS)

    Lee, J.; Graves, D. B.

    2011-08-01

    The degradation of porous low-k materials, like SiOCH, under plasma processing continues to be a problem in the next generation of integrated-circuit fabrication. Due to the exposure of the film to many species during plasma treatment, such as photons, ions, radicals, etc, it is difficult to identify the mechanisms responsible for plasma-induced damage. Using a vacuum beam apparatus with a calibrated Xe vacuum ultraviolet (VUV) lamp, we show that 147 nm VUV photons and molecular O2 alone can damage these low-k materials. Using Fourier-transform infrared (FTIR) spectroscopy, we show that VUV/O2 exposure causes a loss of methylated species, resulting in a hydrophilic, SiOx-like layer that is susceptible to H2O absorption, leading to an increased dielectric constant. The effect of VUV radiation on chemical modification of porous SiOCH films in the vacuum beam apparatus and in Ar and O2 plasma exposure was found to be a significant contributor to dielectric damage. Measurements of dielectric constant change using a mercury probe are consistent with chemical modification inferred from FTIR analysis. Furthermore, the extent of chemical modification appears to be limited by the penetration depth of the VUV photons, which is dependent on wavelength of radiation. The creation of a SiOx-like layer near the surface of the material, which grows deeper as more methyl is extracted, introduces a dynamic change of VUV absorption throughout the material over time. As a result, the rate of methyl loss is continuously changing during the exposure. We present a model that attempts to capture this dynamic behaviour and compare the model predictions to experimental data through a fitting parameter that represents the effective photo-induced methyl removal. While this model accurately simulates the methyl loss through VUV exposure by the Xe lamp and Ar plasma, the methyl loss from VUV photons in O2 plasma are only accurately depicted at longer exposure times. We conclude that other

  11. Interface studies of N2 plasma-treated ZnSnO nanowire transistors using low-frequency noise measurements.

    PubMed

    Kim, Seongmin; Kim, Hwansoo; Janes, David B; Ju, Sanghyun

    2013-08-02

    Due to the large surface-to-volume ratio of nanowires, the quality of nanowire-insulator interfaces as well as the nanowire surface characteristics significantly influence the electrical characteristics of nanowire transistors (NWTs). To improve the electrical characteristics by doping or post-processing, it is important to evaluate the interface characteristics and stability of NWTs. In this study, we have synthesized ZnSnO (ZTO) nanowires using the chemical vapor deposition method, characterized the composition of ZTO nanowires using x-ray photoelectron spectroscopy, and fabricated ZTO NWTs. We have characterized the current-voltage characteristics and low-frequency noise of ZTO NWTs in order to investigate the effects of interface states on subthreshold slope (SS) and the noise before and after N2 plasma treatments. The as-fabricated device exhibited a SS of 0.29 V/dec and Hooge parameter of ~1.20 × 10(-2). Upon N2 plasma treatment with N2 gas flow rate of 40 sccm (20 sccm), the SS improved to 0.12 V/dec (0.21 V/dec) and the Hooge parameter decreased to ~4.99 × 10(-3) (8.14 × 10(-3)). The interface trap densities inferred from both SS and low-frequency noise decrease upon plasma treatment, with the highest flow rate yielding the smallest trap density. These results demonstrate that the N2 plasma treatment decreases the interface trap states and defects on ZTO nanowires, thereby enabling the fabrication of high-quality nanowire interfaces.

  12. Decomposition of acetaminophen in water by a gas phase dielectric barrier discharge plasma combined with TiO2-rGO nanocomposite: Mechanism and degradation pathway.

    PubMed

    Zhang, Guyu; Sun, Yabing; Zhang, Chunxiao; Yu, Zhongqing

    2017-02-05

    Acetaminophen (APAP) served as the model pollutant to evaluate the feasibility of pollutant removal by gas phase dielectric barrier discharge plasma combined with the titanium dioxide-reduced Graphene Oxide (TiO 2 -rGO) nanocomposite. TiO 2 -rGO nanocomposite was prepared using the modified hydrothermal method and characterized by TEM and XPS before and after plasma process. The results indicated that the APAP degradation efficiency was significantly improved to 92% after 18min of discharge plasma treatment coupling 0.25gL -1 TiO 2 -rGO 5%wt at 18kV, compared with the plasma alone and plasma combined with P25 TiO 2 . The degradation mechanism for APAP in this system was studied by investigating the effects of the operational variables (e.g. discharge voltage and pH value) and the amount of the generated active species; and the results showed that O 3 and H 2 O 2 yields were influenced notably by adding TiO 2 -rGO. Also, it was observed that, compared with unused TiO 2 -rGO, the photocatalytic performance of used TiO 2 -rGO declined after several recirculation times due to the further reduction of Graphene Oxide in plasma system. Finally, intermediate products were analyzed by UV-vis spectrometry and HPLC/MS, and possible transformation pathways were identified with the support of theoretically calculating the frontier electron density of APAP. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Study of the physical discharge properties of a Ar/O2 DC plasma jet

    NASA Astrophysics Data System (ADS)

    Barkhordari, A.; Ganjovi, A.; Mirzaei, I.; Falahat, A.

    2018-03-01

    In this paper, the physical properties of plasma discharge in a manufactured DC plasma jet operating with the Ar/O2 gaseous mixture are studied. Moreover, the optical emission spectroscopy technique is used to perform the experimental measurements. The obtained emission spectra are analyzed and, the plasma density, rotational, vibrational and electronic temperature are calculated. The NO emission lines from {NO }γ( A2 Σ^{+} \\to {X}2 Πr ) electronic transition are observed. It is seen that, at the higher argon contributions in Ar/O2 gaseous mixture, the emission intensities from argon ions will increase. Moreover, while the vibrational and excitation temperatures are increased at the higher input DC currents, they will decrease at the higher Ar percentages in the Ar/O2 gaseous mixture. Furthermore, at the higher DC currents and Ar contributions, both the plasma electron density and dissociation fraction of oxygen atoms are increased.

  14. Effect of Seed Treatment by Cold Plasma on the Resistance of Tomato to Ralstonia solanacearum (Bacterial Wilt)

    PubMed Central

    Jiang, Jiafeng; Lu, Yufang; Li, Jiangang; Li, Ling; He, Xin; Shao, Hanliang; Dong, Yuanhua

    2014-01-01

    This study investigated the effect of cold plasma seed treatment on tomato bacterial wilt, caused by Ralstonia solanacearum (R. solanacearum), and the regulation of resistance mechanisms. The effect of cold plasma of 80W on seed germination, plant growth, nutrient uptake, disease severity, hydrogen peroxide (H2O2) concentration and activities of peroxidase (POD; EC 1.11.1.7), polyphenol oxidase (PPO; EC 1.10.3.2) and phenylalanine ammonia lyase (PAL; EC 4.3.1.5) were examined in tomato plants. Plasma treatment increased tomato resistance to R. solanacearum with an efficacy of 25.0%. Plasma treatment significantly increased both germination and plant growth in comparison with the control treatment, and plasma-treated plants absorbed more calcium and boron than the controls. In addition, H2O2 levels in treated plants rose faster and reached a higher peak, at 2.579 µM gFW−1, 140% greater than that of the control. Activities of POD (421.3 U gFW−1), PPO (508.8 U gFW−1) and PAL (707.3 U gFW−1) were also greater in the treated plants than in the controls (103.0 U gFW−1, 166.0 U gFW−1 and 309.4 U gFW−1, respectively). These results suggest that plasma treatment affects the regulation of plant growth, H2O2 concentration, and POD, PPO and PAL activity in tomato, resulting in an improved resistance to R. solanacearum. Consequently, cold plasma seed treatment has the potential to control tomato bacterial wilt caused by R. solanacearum. PMID:24840508

  15. Nitrogen-doping of bulk and nanotubular TiO2 photocatalysts by plasma-assisted atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Creatore, Mariadriana; Ma, Quan-Bao; El Boukili, Aishah; Gao, Lu; Verheijen, Marcel A.; Verhoeven, M. W. G. M. (Tiny); Hensen, Emiel. J. M.

    2015-03-01

    Plasma-assisted atomic layer deposition (PA-ALD) was adopted to deposit TiO2-xNx ultrathin layers on Si wafers, calcined Ti foils and nanotubular TiO2 arrays. A range of N content and chemical bond configurations were obtained by varying the background gas (O2 or N2) during the Ti precursor exposure, while the N2/H2-fed inductively coupled plasma exposure time was varied between 2 and 20 s. On calcined Ti foils, a positive effect from N doping on photocurrent density was observed when O2 was the background gas with a short plasma exposure time (5 and 10 s). This correlates with the presence of interstitial N states in the TiO2 with a binding energy of 400 eV (Ninterst) as measured by X-ray photoelectron spectroscopy. A longer plasma time or the use of N2 as background gas results in formation of N state with a binding energy of 396 eV (Nsubst) and very low photocurrents. These Nsubst are linked to the presence of Ti3+, which act as detrimental recombination center for photo-generated electron-hole pairs. On contrary, PA-ALD treated nanotubular TiO2 arrays show no variation of photocurrent density (with respect to the pristine nanotubes) upon different plasma exposure times and when the O2 recipe was adopted. This is attributed to constant N content in the PA-ALD TiO2-xNx, regardless of the adopted recipe.

  16. Optical emission spectroscopy of magnetically confined laser induced vanadium pentoxide (V2O5) plasma

    NASA Astrophysics Data System (ADS)

    Amin, Saba; Bashir, Shazia; Anjum, Safia; Akram, Mahreen; Hayat, Asma; Waheed, Sadia; Iftikhar, Hina; Dawood, Assadullah; Mahmood, Khaliq

    2017-08-01

    Optical emission spectra of a laser induced plasma of vanadium pentoxide (V2O5) using a Nd:YAG laser (1064 nm, 10 ns) in the presence and absence of the magnetic field of 0.45 T have been investigated. The effect of the magnetic field (B) on the V2O5 plasma at various laser irradiances ranging from 0.64 GW cm-2 to 2.56 GW cm-2 is investigated while keeping the pressure of environmental gases of Ar and Ne constant at 100 Torr. The magnetic field effect on plasma parameters of V2O5 is also explored at different delay times ranging from 0 μs to 10 μs for both environmental gases of Ar and Ne at the laser irradiance of 1.28 GW cm-2. It is revealed that both the emission intensity and electron temperature of the vanadium pentoxide plasma initially increase with increasing irradiance due to the enhanced energy deposition and mass ablation rate. After achieving a certain maximum, both exhibit a decreasing trend or saturation which is attributable to the plasma shielding effect. However, the electron density shows a decreasing trend with increasing laser irradiance. This trend remains the same for both cases, i.e., in the presence and in the absence of magnetic field and for both background gases of Ar and Ne. However, it is revealed that both the electron temperature and electron density of the V2O5 plasma are significantly enhanced in the presence of the magnetic field for both environments at all laser irradiances and delay times, and more pronounced effects are observed at higher irradiances. The enhancement in plasma parameters is attributed to the confinement as well as Joule heating effects caused by magnetic field employment. The confinement of the plasma is also confirmed by the analytically calculated value of magnetic pressure β, which is smaller than plasma pressure at all irradiances and delay times, and therefore confirms the validity of magnetic confinement of the V2O5 plasma.

  17. Highly effective fungal inactivation in He+O2 atmospheric-pressure nonequilibrium plasmas

    NASA Astrophysics Data System (ADS)

    Xiong, Z.; Lu, X. P.; Feng, A.; Pan, Y.; Ostrikov, K.

    2010-12-01

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O2 gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and after the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.

  18. Plasma treatment of p-GaN/n-ZnO nanorod light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Leung, Yu Hang; Ng, Alan M. C.; Djurišic, Aleksandra B.; Chan, Wai Kin; Fong, Patrick W. K.; Lui, Hsien Fai; Surya, Charles

    2014-03-01

    Zinc oxide (ZnO) is a material of great interest for short-wavelength optoelectronic applications due to its wide band gap (3.37 eV) and high exciton binding energy (60 meV). Due to the difficulty in stable p-type doping of ZnO, other p-type materials such as gallium nitride (GaN) have been used to form heterojunctions with ZnO. p-GaN/n-ZnO heterojunction devices, in particular light-emitting diodes (LED) have been extensively studied. There was a huge variety of electronic properties and emission colors on the reported devices. It is due to the different energy alignment at the interface caused by different properties of the GaN layer and ZnO counterpart in the junction. Attempts have been made on modifying the heterojunction by various methods, such as introducing a dielectric interlayer and post-growth surface treatment, and changing the growth methods of ZnO. In this study, heterojunction LED devices with p-GaN and ZnO nanorods array are demonstrated. The ZnO nanorods were grown by a solution method. The ZnO nanorods were exposed to different kinds of plasma treatments (such as nitrogen and oxygen) after the growth. It was found that the treatment could cause significant change on the optical properties of the ZnO nanorods, as well as the electronic properties and light emissions of the resultant LED devices.

  19. Electrical and structural characterization of plasma polymerized polyaniline/TiO2 heterostructure diode: a comparative study of single and bilayer TiO2 thin film electrode.

    PubMed

    Ameen, Sadia; Akhtar, M Shaheer; Kimi, Young Soon; Yang, O-Bong; Shin, Hyung-Shik

    2011-04-01

    A heterostructure was fabricated using p-type plasma polymerized polyaniline (PANI) and n-type (single and bilayer) titanium dioxide (TiO2) thin film on FTO glass. The deposition of single and bilayer TiO2 thin film on FTO substrate was achieved through doctor blade followed by dip coating technique before subjected to plasma enhanced polymerization. To fabricate p-n heterostructure, a plasma polymerization of aniline was conducted using RF plasma at 13.5 MHz and at the power of 120 W on the single and bilayer TiO2 thin film electrodes. The morphological, optical and the structural characterizations revealed the formation of p-n heterostructures between PANI and TiO2 thin film. The PANI/bilayer TiO2 heterostructure showed the improved current-voltage (I-V) characteristics due to the substantial deposition of PANI molecules into the bilayer TiO2 thin film which provided good conducting pathway and reduced the degree of excitons recombination. The change of linear I-V behavior of PANI/TiO2 heterostructure to non linear behavior with top Pt contact layer confirmed the formation of Schottky contact at the interfaces of Pt layer and PANI/TiO2 thin film layers.

  20. Plasma treatment of polymer dielectric films to improve capacitive energy storage

    NASA Technical Reports Server (NTRS)

    Yializis, A.; Binder, M.; Mammone, R. J.

    1994-01-01

    Demand for compact instrumentation, portable field equipment, and new electromagnetic weapons is creating a need for new dielectric materials with higher energy storage capabilities. Recognizing the need for higher energy storage capacitors, the Army Research Lab at Fort Monmouth, NJ, initiated a program a year ago to investigate potential methods for increasing the dielectric strength of polyvinylidene difluoride (PVDF) film, which is the highest energy density material commercially available today. Treatment of small area PVDF films in a CF4/O2 plasma showed that the dielectric strength of PVDF films can be increased by as much as 20 percent when treated in a 96 percent CF4/4 percent O2 plasma. This 44 percent increase in energy storage of a PVDF capacitor is significant considering that the treatment can be implemented in a conventional metallizing chamber, with minimum capital investment. The data shows that improved breakdown strength may be unique to PVDF film and the particular CF4/O2 gas mixture, because PVDF film treated with 100 percent CF4, 100 percent O2, Ar gas plasma, and electron irradiation shows no improvement in breakdown strength. Other data presented includes dissipation factor, dielectric constant, and surface tension measurements.

  1. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    NASA Astrophysics Data System (ADS)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  2. Core-shell iron oxide-layered double hydroxide: High electrochemical sensing performance of H2O2 biomarker in live cancer cells with plasma therapeutics.

    PubMed

    Asif, Muhammad; Liu, Hongwei; Aziz, Ayesha; Wang, Haitao; Wang, Zhengyun; Ajmal, Muhammad; Xiao, Fei; Liu, Hongfang

    2017-11-15

    In this work, we develop a new type of multifunctional core-shell nanomaterial by controllable integration of CuAl layered double hydroxides (LDHs) over the surface of iron oxides (Fe 3 O 4 ) nanospheres (NSs) to fabricate (Fe 3 O 4 @CuAl NSs) hybrid material with interior tunability of LDH phase and explore its practical application in ultrasensitive detection of emerging biomarker, i.e., H 2 O 2 as cancer diagnostic probe. In addition, atmospheric pressure plasmas (APPs) have also been used as potential therapeutic approach for cancer treatment. Due to the synergistic combination of p-type semiconductive channels of LDHs with multi-functional properties, unique morphology and abundant surface active sites, the Fe 3 O 4 @CuAl NSs modified electrode exhibited attractive electrocatalytic activity towards H 2 O 2 reduction. Under the optimized conditions, the proposed biosensor demonstrated striking electrochemical sensing performances to H 2 O 2 including linear range as broad as 8 orders of magnitude, low real detection limit of 1nM (S/N = 3), high sensitivity, good reproducibility and long-term stability. Arising from the superb efficiency, the electrochemical biosensor has been used for in vitro determination of H 2 O 2 concentrations in human urine and serum samples prior to and following the intake of coffee, and real-time monitoring of H 2 O 2 efflux from different cancer cell lines in normal state and after plasma treatment. We believe that this novel nano-platform of structurally integrated core-shell nanohybrid materials combined with APPs will enhance diagnostic as well as therapeutic window for cancer diseases. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Potential Alternatives for Advanced Energy Material Processing in High Performance Li-ion Batteries (LIBs) via Atmospheric Pressure Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Duh, Jenq-Gong; Chuang, Shang-I.; Lan, Chun-Kai; Yang, Hao; Chen, Hsien-Wei

    2015-09-01

    A new processing technique by atmospheric pressure plasma (APP) jet treatment of LIBs was introduced. Ar/N2 plasma enhanced the high-rate anode performance of Li4Ti5O12. Oxygen vacancies were discovered and nitrogen doping were achieved by the surface reaction between pristine Li4Ti5O12 and plasma reactive species (N* and N2+). Electrochemical impedance spectra confirm that plasma modification increases Li ions diffusivity and reduces internal charge-transfer resistance, leading to a superior capacity (132 mAh/g) and excellent stability with negligible capacity decay over 100 cycles under 10C rate. Besides 2D material surface treatment, a specially designed APP generator that are feasible to modify 3D TiO2 powders is proposed. The rate capacity of 20 min plasma treated TiO2 exhibited 20% increment. Plasma diagnosis revealed that excited Ar and N2 was contributed to TiO2 surface reduction as companied by formation of oxygen vacancy. A higher amount of oxygen vacancy increased the chance for excited nitrogen doped onto surface of TiO2 particle. These findings promote the understanding of APP on processing anode materials in high performance LIBs.

  4. Spectroscopic studies of MW plasmas containing HMDSO, O2 and N2

    NASA Astrophysics Data System (ADS)

    Nave, Andy; Roepcke, Juergen; Mitschker, Felix; Awakowicz, Peter

    2015-09-01

    The deposition of SiOx layers based on organosilicon plasmas is used to implement advantageous mechanical, electrical, and/or optical properties on various substrates. The development of such coating processes resulting in a wide range of chemical and physical film properties, using hexamethyldisiloxane (HMDSO) as a precursor, has been in the center of interest of various studies. In plasma, the dissociation of HMDSO into a large amount of fragments is a complex chemical phenomenon. The monitoring of the precursor and of formed species is very valuable to understand the plasma chemistry. Infrared absorption spectroscopy based on lead salt lasers and EC Quantum Cascade Laser have been used to monitor the concentrations of HMDSO, and of the reaction products CH4, C2H2, C2H4,C2H6, CO, CO2 and CH3 as a function of the HMDSO/O2 mixture ratio, and the power at various pressures in a MW plasma deposition reactor. Optical emission spectroscopy has been applied as complementary diagnostics to evaluate electron density and electron temperature. Supported by the German Research Foundation within SFB-TR24 and SFB-TR87.

  5. Tunable bandgap energy of fluorinated nanocrystals for flash memory applications produced by low-damage plasma treatment.

    PubMed

    Huang, Chi-Hsien; Lin, Chih-Ting; Wang, Jer-Chyi; Chou, Chien; Ye, Yu-Ren; Cheng, Bing-Ming; Lai, Chao-Sung

    2012-11-30

    A plasma system with a complementary filter to shield samples from damage during tetrafluoromethane (CF(4)) plasma treatment was proposed in order to incorporate fluorine atoms into gadolinium oxide nanocrystals (Gd(2)O(3)-NCs) for flash memory applications. X-ray photoelectron spectroscopy confirmed that fluorine atoms were successfully introduced into the Gd(2)O(3)-NCs despite the use of a filter in the plasma-enhanced chemical vapour deposition system to shield against several potentially damaging species. The number of incorporated fluorine atoms can be controlled by varying the treatment time. The optimized memory window of the resulting flash memory devices was twice that of devices treated by a filterless system because more fluorine atoms were incorporated into the Gd(2)O(3)-NCs film with very little damage. This enlarged the bandgap energy from 5.48 to 6.83 eV, as observed by ultraviolet absorption measurements. This bandgap expansion can provide a large built-in electric field that allows more charges to be stored in the Gd(2)O(3)-NCs. The maximum improvement in the retention characteristic was >60%. Because plasma damage during treatment is minimal, maximum fluorination can be achieved. The concept of simply adding a filter to a plasma system to prevent plasma damage exhibits great promise for functionalization or modification of nanomaterials for advanced nanoelectronics while introducing minimal defects.

  6. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    NASA Astrophysics Data System (ADS)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  7. Mass spectrometric studies of SiO2 deposition in an indirect plasma enhanced LPCVD system

    NASA Technical Reports Server (NTRS)

    Iyer, R.; Lile, D. L.; Mcconica, C. M.

    1993-01-01

    Reaction pathways for the low temperature deposition of SiO2 from silane and indirect plasma-excited oxygen-nitrogen mixtures are proposed based on experimental evidence gained from mass spectrometry in an indirect plasma enhanced chemical vapor deposition chamber. It was observed that about 80-85 percent of the silane was oxidized to byproduct hydrogen and only about 15-20 percent to water. Such conversion levels have led us to interpret that silanol (SiH3OH) could be the precursor for SiO2 film deposition, rather than siloxane /(SiH3)2O/ which has generally been cited in the literature. From mass spectrometry, we have also shown the effects of the plasma, and of mixing small amounts of N2 with the oxygen flow, in increasing the deposition rate of SiO2. Free radical reaction of nitric oxide, synthesized from the reaction of oxygen and nitrogen in the plasma chamber, and an *ncrease in atomic oxygen concentration, are believed to be the reasons for these SiO2 deposition rate increases. Through mass spectrometry we have, in addition, been able to identify products, presumably originating from terminating reactions, among a sequence of chemical reactions proposed for the deposition of SiO2.

  8. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    PubMed

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x < 2. These films were stable in normal laboratory ambients with no evidence for reaction with atmospheric water. Films deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  9. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  10. In vitro antimicrobial effects and mechanism of atmospheric-pressure He/O2 plasma jet on Staphylococcus aureus biofilm

    NASA Astrophysics Data System (ADS)

    Xu, Zimu; Shen, Jie; Cheng, Cheng; Hu, Shuheng; Lan, Yan; Chu, Paul K.

    2017-03-01

    The antimicrobial effects and associated mechanism of inactivation of Staphylococcus aureus (S. aureus) NCTC-8325 biofilms induced by a He/O2 atmospheric-pressure plasma jet (APPJ) are investigated in vitro. According to CFU (colony forming units) counting and the resazurin-based assay, the 10 min He/O2 (0.5%) APPJ treatment produces the optimal inactivation efficacy (>5 log10 ml-1) against the S. aureus biofilm and 5% of the bacteria enter a viable but non-culturable (VBNC) state. Meanwhile, 94% of the bacteria suffer from membrane damage according to SYTO 9/PI counterstaining. Scanning electron microscopy (SEM) reveals that plasma exposure erodes the extracellular polymeric substances (EPS) and then the cellular structure. The H2DCFDA-stained biofilms show larger concentrations of intracellular reactive oxygen species (ROS) in membrane-intact bacteria with increasing plasma dose. The admixture of oxygen in the working gas highly contributes to the deactivation efficacy of the APPJ against S. aureus and the plasma-induced endogenous ROS may work together with the discharge-generated ROS to continuously damage the bacterial membrane structure leading to deactivation of the biofilm microbes.

  11. Improved mechanical performance of PBO fiber-reinforced bismaleimide composite using mixed O2/Ar plasma

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Chen, Ping; Yu, Qi; Ma, Keming; Ding, Zhenfeng

    2014-06-01

    The mixed O2/Ar plasma was employed to enhance mechanical properties of the PBO/bismaleimide composite. The interlaminar shear strength was improved to 61.6 MPa or by 38.1%, but the composite brittleness increased. The plasma gas compositions exhibited notable effects on the interfacial adhesion strength. XPS results suggested that the mixed plasma presented higher activation effects on the surface chemical compositions than pure gas plasmas and a larger number of oxygen atoms and hydrophilic groups were introduced on the fiber surface due to the synergy effect, but the synergy effect was considerably performed only within the O2 percentage range of 40-60%. The fibers surface was increasingly etched with growing the O2 contents in the plasma, deteriorating the fibers tensile strength. SEM micrographs demonstrated that the composite shear fracture changed from debonding to cohesive failure in the matrices, and the improving mechanisms were discussed.

  12. Electrical, optical, and photoluminescence properties of ZnO films subjected to thermal annealing and treatment in hydrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abdullin, Kh. A.; Gabdullin, M. T.; Gritsenko, L. V.

    The photoluminescence and optical absorption spectra and electrical properties of ZnO films grown by the metal–organic chemical vapor deposition and hydrothermal techniques, subjected to heat treatments and plasma treatment in a hydrogen atmosphere, are studied. It is shown that the adsorption of oxygen at grain boundaries upon annealing in an oxidizing atmosphere determines the electrical properties of the films. Vacuum annealing improves the electrical properties of the samples after degradation induced by annealing in air. Treatment in hydrogen plasma passivates surface states at the grain boundaries. The intrinsic photoluminescence intensity after plasma treatment is higher in the case of increasedmore » amounts of oxygen adsorbed at grain surfaces upon annealing in air. Surface states involving oxygen and hydrogen atoms are responsible for the high-intensity intrinsic photoluminescence band.« less

  13. Plasma Spray Synthesis Of Nanostructured V2O5 Films For Electrical Energy Storage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nanda, Jagjit

    We demonstrate for the first time, the synthesis of nanostructured vanadium pentoxide (V2O5) films and coatings using plasma spray technique. V2O5 has been used in several applications such as catalysts, super-capacitors and also as an electrode material in lithium ion batteries. In the present studies, V2O5 films were synthesized using liquid precursors (vanadium oxychloride and ammonium metavanadate) and powder suspension. In our approach, the precursors were atomized and injected radially into the plasma gun for deposition on the substrates. During the flight towards the substrate, the high temperature of the plasma plume pyrolyzes the precursor particles resulting into the desiredmore » film coatings. These coatings were then characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), Transmission electron microscopy (TEM) and Differential Scanning Calorimetry (DSC). Among the precursors, vanadium oxychloride gave the best results in terms of nanocrystalline and monophasic films. Spraying of commercial powder suspension yielded multi-phasic mixture in the films. Our approach enables deposition of large area coatings of high quality nanocrystalline films of V2O5 with controllable particle morphology. This has been optimized by means of control over precursor composition and plasma spray conditions. Initial electrochemical studies of V2O5 film electrodes show potential for energy storage studies.« less

  14. Friction and wear behaviour of plasma sprayed Cr2O3-TiO2 coating

    NASA Astrophysics Data System (ADS)

    Bagde, Pranay; Sapate, S. G.; Khatirkar, R. K.; Vashishtha, Nitesh; Tailor, Satish

    2018-02-01

    Cr2O3-25TiO2 coating was deposited by atmospheric plasma spray (APS) coating technique. Effect of load (5-30 N) and sliding velocity (0.25, 0.75 m s-1) on friction coefficient and abrasive wear behaviour of the Cr2O3-25TiO2 coating was studied. Mechanical and microstructural characterization of the Cr2O3-25TiO2 coating was carried out. With an increase in sliding velocity, abrasive wear rate and friction coefficient (COF) decreased while wear rate and friction coefficient showed an increasing trend with the load. The worn out surfaces were analyzed by SEM, EDS and XRD. At lower sliding velocity, XRD analysis revealed peaks of Ti2O3, Ti3O5, CrO2 and CrO3. In addition, peak of Ti4O7 was also detected at higher sliding velocity and at 30 N load. At higher sliding velocity medium to severe tribo oxidation was observed. XPS analysis of worn surfaces at both the sliding velocities, showed surface film of oxides of titanium and chromium along with Cr(OH)3. Magneli phase titanium oxides with sub stoichiometric composition, along with surface films of chromium oxides and hydroxides altered the friction and wear behaviour of the coating. The decrease in friction coefficient with an increase in sliding velocity was attributed to tribo oxides and tribochemical reaction films having lower shear strength with good lubricating properties. The mechanism of material removal involved plastic deformation at lower load whereas inter-granular and trans-granular fracture, delamination cracking and splat fracture was observed with an increase load from 10 N to 30 N.

  15. Thermal plasma treatment of stormwater sediments: comparison between DC non-transferred and partially transferred arc plasma.

    PubMed

    Li, O L; Guo, Y; Chang, J S; Saito, N

    2015-01-01

    The disposal of enormous amount of stormwater sediments becomes an emerging worldwide problem. Stormwater sediments are contaminated by heavy metals, phosphorus, trace organic and hydrocarbons, and cannot be disposed without treatment. Thermal plasma decontamination technology offers a high decomposition rate in a wide range of toxic organic compound and immobilization of heavy metal. In this study, we compared the treatment results between two different modes of thermal plasma: (1) a non-transferred direct current (DC) mode and (2) a partial DC-transferred mode. The reductions of total organic carbon (TOC) were, respectively, 25% and 80% for non-transferred and partially transferred plasma, respectively. Most of the toxic organic compounds were converted majorly to CxHy. In the gaseous emission, the accumulated CxHy, CO, NO and H2S were significantly higher in partially transferred mode than in non-transferred mode. The solid analysis demonstrated that the concentrations of Ca and Fe were enriched by 500% and 40%, respectively. New chemical compositions such as KAlSi3O8, Fe3O4, NaCl and CaSO4 were formed after treatment in partially DC-transferred mode. The power inputs were 1 and 10 kW, respectively, for non-transferred DC mode and a partially DC-transferred mode. With a lower energy input, non-transferred plasma treatment can be used for decontamination of sediments with low TOC and metal concentration. Meanwhile, partially transferred thermal plasma with higher energy input is suitable for treating sediments with high TOC percentage and volatile metal concentration. The organic compounds are converted into valuable gaseous products which can be recycled as an energy source.

  16. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  17. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE PAGES

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram; ...

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  18. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO 2-x

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Hwasoo; Han, Su Jung; Seshadri, Ramachandran Chidambaram

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultantmore » deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3 S m -1, relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. Lastly, the results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.« less

  19. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2-x.

    PubMed

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-11-04

    The thermoelectric properties of sub-stoichiometric TiO 2-x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO 2-x deposits are formed through in-situ reaction of the TiO 1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO 2-x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of -230 μV K -1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 10 3  S m -1 , relatively low thermal conductivity in the range of 1.5 to 2 W m -1 K -1 resulting in power factor of 2.9 μW cm -1 K -2 . The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures.

  20. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  1. Plasma membrane damage to Candida albicans caused by chlorine dioxide (ClO2).

    PubMed

    Wei, M-K; Wu, Q-P; Huang, Q; Wu, J-L; Zhang, J-M

    2008-08-01

    To investigate the plasma membrane damage of chlorine dioxide (ClO(2)) to Candida albicans ATCC10231 at or below the minimal fungicidal concentration (MFC). ClO(2) at MFC or below was adopted to treat the cell suspensions of C. albicans ATCC10231. Using transmission electron microscopy, no visible physiological alteration of cell shape and plasma membrane occurred. Potassium (K(+)) leakages were significant; likewise, it showed time- and dose-dependent increases. However, adenosine triphosphate (ATP) leakages were very slight. Research shows that when 99% of the cells were inactivated, the leakage was measured at 0.04% of total ATP. Compared with the mortality-specific fluorescent dye of DiBAC(4)(3), majority of the inactivated cells were poorly stained by propidium iodide, another mortality-specific fluorescent dye which can be traced by flow cytometry. At or below MFC, ClO(2) damages the plasma membranes of C. albicans mainly by permeabilization, rather than by the disruption of their integrity. K(+) leakage and the concomitant depolarization of the cell membrane are some of the critical events. These insights into membrane damages are helpful in understanding the action mode of ClO(2).

  2. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Choi, Hagyoung

    2013-11-07

    In the present study, we investigated the gas and moisture permeation barrier properties of Al{sub 2}O{sub 3} films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH{sub 3}){sub 3}] as the Al source and O{sub 2} plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al{sub 2}O{sub 3} at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradationmore » test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10{sup −4} gm{sup −2}day{sup −1} and 1.2 × 10{sup −3} gm{sup −2}day{sup −1}, respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O{sub 2} plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties.« less

  3. Inductively-Coupled RF Powered O2 Plasma as a Sterilization Source

    NASA Technical Reports Server (NTRS)

    Sharma, S. P.; Rao, M. V. V. S.; Cruden, B. A.; Meyyappan, M.; Mogul, R.; Khare, B.; Chan, S. L.; Arnold, James O. (Technical Monitor)

    2001-01-01

    Low-temperature or cold plasmas have been shown to be effective for the sterilization of sensitive medical devices and electronic equipment. Low-temperature plasma sterilization procedures possess certain advantages over other protocols such as ethylene oxide, gamma radiation, and heat due to the use of inexpensive reagents, the insignificant environmental impacts and the low energy requirements. In addition, plasmas may also be more efficacious in the removal of robust microorganisms due to their higher chemical reactivity. Together, these attributes render cold plasma sterilization as ideal for the surface decontamination requirements for NASA Planetary Protection. Hence, the work described in this study involves the construction, characterization, and application of an inductively-coupled, RF powered oxygen (O2) plasma.

  4. H2O(+) structures in the inner plasma tail of comet Austin

    NASA Technical Reports Server (NTRS)

    Jockers, Klaus; Bonev, T.; Geyer, E. H.

    1992-01-01

    We present images of comet Austin 1989c1 in the light of H2O(+) from which the contribution of the dust continuum and the gas coma was completely removed. We describe the behavior of the H2O(+) plasma in the inner coma where it is reliably observed for the first time.

  5. Numerical and experimental study on the dynamics of a μs helium plasma gun with various amounts of O2 admixture

    NASA Astrophysics Data System (ADS)

    Viegas, Pedro; Damany, Xavier; Iseni, Sylvain; Pouvesle, Jean-Michel; Robert, Eric; Bourdon, Anne

    2016-09-01

    The use of admixtures (mostly O2 and N2) to a helium buffer has been studied recently to tailor the generation of reactive species in plasma jets for biomedical applications. So far, most experiments have been dedicated to the study of the plasma plume. For endoscopic treatments, it is also important to better understand and optimize the propagation of discharges in long dielectric tubes as catheters. In this work, we present an experimental and numerical study on the dynamics of a μs helium plasma discharge with O2 admixture in a long dielectric tube. In simulations, a 2D fluid model is used. For comparison purposes, the geometries of the set-ups used for simulations and experiments are as close as possible. We compare experiments and simulations for different amounts of O2 admixture added to the buffer gas and present results on the velocity of the discharge front for the various amounts of O2 and different applied voltages. In order to study the influence of different amounts of O2 admixture on the helium discharge dynamics, detailed kinetic schemes have been used. The influence of Penning and charge exchange reactions on the discharge structure and dynamics are studied, as well as the role of negative ions. P.V. is supported by an EDOM fellowship, and X.D. by an INEL/Region Centre-Val de Loire fellowship.

  6. Development of Al2O3 electrospun fibers prepared by conventional sintering method or plasma assisted surface calcination

    NASA Astrophysics Data System (ADS)

    Mudra, E.; Streckova, M.; Pavlinak, D.; Medvecka, V.; Kovacik, D.; Kovalcikova, A.; Zubko, P.; Girman, V.; Dankova, Z.; Koval, V.; Duzsa, J.

    2017-09-01

    In this paper, the electrospinning method was used for preparation of α-Al2O3 microfibers from PAN/Al(NO3)3 precursor solution. The precursor fibers were thermally treated by conventional method in furnace or low-temperature plasma induced surface sintering method in ambient air. The four different temperatures of PAN/Al(NO3)3 precursors were chosen for formation of α-Al2O3 phase by conventional sintering way according to the transition features observed in the TG/DSC analysis. In comparison, the low-temperature plasma treatment at atmospheric pressure was used as an alternative sintering method at the exposure times of 5, 10 and 30 min. FTIR analysis was used for evaluation of residual polymer after plasma induced calcination and for studying the mechanism of polymer degradation. The polycrystalline alumina fibers arranged with the nanoparticles was created continuously throughout the whole volume of the sample. On the other side the low temperature approach, high density of reactive species and high power density of plasma generated at atmospheric pressure by used plasma source allowed rapid removal of polymer in preference from the surface of fibers leading to the formation of composite ceramic/polymer fibers. This plasma induced sintering of PAN/Al(NO3)3 can have obvious importance in industrial applications where the ceramic character of surface with higher toughness of the fibers are required.

  7. Collision cross sections and transport coefficients of O-, O2 -, O3 - and O4 - negative ions in O2, N2 and dry air for non-thermal plasmas modelling

    NASA Astrophysics Data System (ADS)

    Hennad, Ali; Yousfi, Mohammed

    2018-02-01

    The ions interaction data such as interaction potential parameters, elastic and inelastic collision cross sections and the transport coefficients (reduced mobility and diffusion coefficients) have been determined and analyzed in the case of the main negative oxygen ions (O-, O2 -, O3 - and O4 -) present in low temperature plasma at atmospheric pressure when colliding O2, N2 and dry air. The ion transport has been determined from an optimized Monte Carlo simulation using calculated elastic and experimentally fitted inelastic collision cross sections. The elastic momentum transfer collision cross sections have been calculated from a semi-classical JWKB approximation based on a ( n-4) rigid core interaction potential model. The cross sections sets involving elastic and inelastic processes were then validated using measured reduced mobility data and also diffusion coefficient whenever available in the literature. From the sets of elastic and inelastic collision cross sections thus obtained for the first time for O3-/O2, O2 -/N2, O3 -/N2, and O4 -/N2 systems, the ion transport coefficients were calculated in pure gases and dry air over a wide range of the density reduced electric field E/N.

  8. Propagation characteristics of atmospheric-pressure He+O{sub 2} plasmas inside a simulated endoscope channel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, S.; Chen, Z. Y.; Wang, X. H., E-mail: xhw@mail.xjtu.edu.cn

    2015-11-28

    Cold atmospheric-pressure plasmas have potential to be used for endoscope sterilization. In this study, a long quartz tube was used as the simulated endoscope channel, and an array of electrodes was warped one by one along the tube. Plasmas were generated in the inner channel of the tube, and their propagation characteristics in He+O{sub 2} feedstock gases were studied as a function of the oxygen concentration. It is found that each of the plasmas originates at the edge of an instantaneous cathode, and then it propagates bidirectionally. Interestingly, a plasma head with bright spots is formed in the hollow instantaneousmore » cathode and moves towards its center part, and a plasma tail expands through the electrode gap and then forms a swallow tail in the instantaneous anode. The plasmas are in good axisymmetry when [O{sub 2}] ≤ 0.3%, but not for [O{sub 2}] ≥ 1%, and even behave in a stochastic manner when [O{sub 2}] = 3%. The antibacterial agents are charged species and reactive oxygen species, so their wall fluxes represent the “plasma dosage” for the sterilization. Such fluxes mainly act on the inner wall in the hollow electrode rather than that in the electrode gap, and they get to the maximum efficiency when the oxygen concentration is around 0.3%. It is estimated that one can reduce the electrode gap and enlarge the electrode width to achieve more homogenous and efficient antibacterial effect, which have benefits for sterilization applications.« less

  9. Enhancing electronic and optoelectronic performances of tungsten diselenide by plasma treatment.

    PubMed

    Xie, Yuan; Wu, Enxiu; Hu, Ruixue; Qian, Shuangbei; Feng, Zhihong; Chen, Xuejiao; Zhang, Hao; Xu, Linyan; Hu, Xiaodong; Liu, Jing; Zhang, Daihua

    2018-06-21

    Transition metal dichalcogenides (TMDCs) have recently become spotlighted as nanomaterials for future electronic and optoelectronic devices. In this work, we develop an effective approach to enhance the electronic and optoelectronic performances of WSe2-based devices by N2O plasma treatment. The hole mobility and sheet density increase by 2 and 5 orders of magnitude, reaching 110 cm2 V-1 s-1 and 2.2 × 1012 cm-2, respectively, after the treatment. At the same time, the contact resistance (Rc) between WSe2 and its metal electrode drop by 5 orders of magnitude from 1.0 GΩ μm to 28.4 kΩ μm. The WSe2 photoconductor exhibits superior performance with high responsivity (1.5 × 105 A W-1), short response time (<2 ms), high detectivity (3.6 × 1013 Jones) and very large photoconductive gain (>106). We have also built a lateral p-n junction on a single piece of WSe2 flake by selective plasma exposure. The junction reaches an exceedingly high rectifying ratio of 106, an excellent photoresponsivity of 2.49 A W-1 and a fast response of 8 ms. The enhanced optoelectronic performance is attributed to band-engineering through the N2O plasma treatment, which can potentially serve as an effective and versatile approach for device engineering and optimization in a wide range of electronic and optoelectronic devices based on 2D materials.

  10. Effect of source frequency and pulsing on the SiO2 etching characteristics of dual-frequency capacitive coupled plasma

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Jun; Jeon, Min Hwan; Mishra, Anurag Kumar; Kim, In Jun; Sin, Tae Ho; Yeom, Geun Young

    2015-01-01

    A SiO2 layer masked with an amorphous carbon layer (ACL) has been etched in an Ar/C4F8 gas mixture with dual frequency capacitively coupled plasmas under variable frequency (13.56-60 MHz)/pulsed rf source power and 2 MHz continuous wave (CW) rf bias power, the effects of the frequency and pulsing of the source rf power on the SiO2 etch characteristics were investigated. By pulsing the rf power, an increased SiO2 etch selectivity was observed with decreasing SiO2 etch rate. However, when the rf power frequency was increased, not only a higher SiO2 etch rate but also higher SiO2 etch selectivity was observed for both CW and pulse modes. A higher CF2/F ratio and lower electron temperature were observed for both a higher source frequency mode and a pulsed plasma mode. Therefore, when the C 1s binding states of the etched SiO2 surfaces were investigated using X-ray photoelectron spectroscopy (XPS), the increase of C-Fx bonding on the SiO2 surface was observed for a higher source frequency operation similar to a pulsed plasma condition indicating the increase of SiO2 etch selectivity over the ACL. The increase of the SiO2 etch rate with increasing etch selectivity for the higher source frequency operation appears to be related to the increase of the total plasma density with increasing CF2/F ratio in the plasma. The SiO2 etch profile was also improved not only by using the pulsed plasma but also by increasing the source frequency.

  11. Highly effective fungal inactivation in He+O{sub 2} atmospheric-pressure nonequilibrium plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xiong, Z.; Lu, X. P.; Pan, Y.

    2010-12-15

    Highly effective (more than 99.9%) inactivation of a pathogenic fungus Candida albicans commonly found in oral, respiratory, digestive, and reproduction systems of a human body using atmospheric-pressure plasma jets sustained in He+O{sub 2} gas mixtures is reported. The inactivation is demonstrated in two fungal culture configurations with open (Petri dish without a cover) and restricted access to the atmosphere (Petri dish with a cover) under specific experimental conditions. It is shown that the fungal inactivation is remarkably more effective in the second configuration. This observation is supported by the scanning and transmission electron microscopy of the fungi before and aftermore » the plasma treatment. The inactivation mechanism explains the experimental observations under different experimental conditions and is consistent with the reports by other authors. The results are promising for the development of advanced health care applications.« less

  12. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreasedmore » sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.« less

  13. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  14. Thermoelectric properties of in-situ plasma spray synthesized sub-stoichiometry TiO2−x

    PubMed Central

    Lee, Hwasoo; Han, Su Jung; Chidambaram Seshadri, Ramachandran; Sampath, Sanjay

    2016-01-01

    The thermoelectric properties of sub-stoichiometric TiO2−x deposits produced by cascaded-plasma spray process are investigated from room-temperature to 750 K. Sub-stoichiometric TiO2−x deposits are formed through in-situ reaction of the TiO1.9 within the high temperature plasma flame and manipulated through introduction of varying amounts of hydrogen in the plasma. Although the TiO2−x particles experience reduction within plasma, it can also re-oxidize through interaction with the surrounding ambient atmosphere, resulting in a complex interplay between process conditions and stoichiometry. The deposits predominantly contain rutile phase with presence of Magneli phases especially under significantly reducing plasma conditions. The resultant deposits show sensitivity to thermoelectric properties and under certain optimal conditions repeatedly show Seebeck coefficients reaching values of −230 μV K−1 at temperatures of 750 K while providing an electrical conductivity of 5.48 × 103 S m−1, relatively low thermal conductivity in the range of 1.5 to 2 W m−1 K−1 resulting in power factor of 2.9 μW cm−1 K−2. The resultant maximum thermoelectric figure of merit value reached 0.132 under these optimal conditions. The results point to a potential pathway for a large-scale fabrication of low-cost oxide based thermoelectric with potential applicability at moderate to high temperatures. PMID:27811954

  15. Comparison of Erosion Behavior and Particle Contamination in Mass-Production CF4/O2 Plasma Chambers Using Y2O3 and YF3 Protective Coatings

    PubMed Central

    Lin, Tzu-Ken; Wang, Wei-Kai; Huang, Shih-Yung; Tasi, Chi-Tsung

    2017-01-01

    Yttrium fluoride (YF3) and yttrium oxide (Y2O3) protective coatings prepared using an atmospheric plasma spraying technique were used to investigate the relationship between surface erosion behaviors and their nanoparticle generation under high-density plasma (1012–1013 cm−3) etching. As examined by transmission electron microscopy, the Y2O3 and YF3 coatings become oxyfluorinated after exposure to the plasma, wherein the yttrium oxyfluoride film formation was observed on the surface with a thickness of 5.2 and 6.8 nm, respectively. The difference in the oxyfluorination of Y2O3 and YF3 coatings could be attributed to Y–F and Y–O bonding energies. X-ray photoelectron spectroscopy analyses revealed that a strongly fluorinated bonding (Y–F bond) was obtained on the etched surface of the YF3 coating. Scanning electron microscopy and energy dispersive X-ray diffraction analysis revealed that the nanoparticles on the 12-inch wafer are composed of etchant gases and Y2O3. These results indicate that the YF3 coating is a more erosion-resistant material, resulting in fewer contamination particles compared with the Y2O3 coating. PMID:28708079

  16. OH and O radicals production in atmospheric pressure air/Ar/H2O gliding arc discharge plasma jet

    NASA Astrophysics Data System (ADS)

    N, C. ROY; M, R. TALUKDER; A, N. CHOWDHURY

    2017-12-01

    Atmospheric pressure air/Ar/H2O gliding arc discharge plasma is produced by a pulsed dc power supply. An optical emission spectroscopic (OES) diagnostic technique is used for the characterization of plasmas and for identifications of {{OH}} and {{O}} radicals along with other species in the plasmas. The OES diagnostic technique reveals the excitation T x ≈ 5550-9000 K, rotational T r ≈ 1350-2700 K and gas T g ≈ 850-1600 K temperatures, and electron density {n}{{e}}≈ ({1.1-1.9})× {10}14 {{{cm}}}-3 under different experimental conditions. The production and destruction of {{OH}} and {{O}} radicals are investigated as functions of applied voltage and air flow rate. Relative intensities of {{OH}} and {{O}} radicals indicate that their production rates are increased with increasing {{Ar}} content in the gas mixture and applied voltage. {n}{{e}} reveals that the higher densities of {{OH}} and {{O}} radicals are produced in the discharge due to more effective electron impact dissociation of {{{H}}}2{{O}} and {{{O}}}2 molecules caused by higher kinetic energies as gained by electrons from the enhanced electric field as well as by enhanced {n}{{e}}. The productions of {{OH}} and {{O}} are decreasing with increasing air flow rate due to removal of Joule heat from the discharge region but enhanced air flow rate significantly modifies discharge maintenance properties. Besides, {T}{{g}} significantly reduces with the enhanced air flow rate. This investigation reveals that {{Ar}} plays a significant role in the production of {{OH}} and {{O}} radicals.

  17. Room-temperature aqueous plasma electrolyzing Al2O3 nano-coating on carbon fiber

    NASA Astrophysics Data System (ADS)

    Zhang, Yuping; Meng, Yang; Shen, Yonghua; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2017-10-01

    A novel room-temperature aqueous plasma electrolysis technique has been developed in order to prepared Al2O3 nano-coating on each fiber within a carbon fiber bundle. The microstructure and formation mechanism of the Al2O3 nano-coating were systematically investigated. The oxidation resistance and tensile strength of the Al2O3-coated carbon fiber was measured at elevated temperatures. It showed that the dense Al2O3 nano-coating was relatively uniformly deposited with 80-120 nm in thickness. The Al2O3 nano-coating effectively protected the carbon fiber, evidenced by the slower oxidation rate and significant increase of the burn-out temperature from 800 °C to 950 °C. Although the bare carbon fiber remained ∼25 wt.% after oxidation at 700 °C for 20 min, a full destruction was observed, evidenced by the ∼0 GPa of the tensile strength, compared to ∼1.3 GPa of the Al2O3-coated carbon fiber due to the effective protection from the Al2O3 nano-coating. The formation mechanism of the Al2O3 nano-coating on carbon fiber was schematically established mainly based on the physic-chemical effect in the cathodic plasma arc zone.

  18. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    PubMed

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  19. Atmospheric pressure plasma jet treatment of Salmonella Enteritidis inoculated eggshells.

    PubMed

    Moritz, Maike; Wiacek, Claudia; Koethe, Martin; Braun, Peggy G

    2017-03-20

    Contamination of eggshells with Salmonella Enteritidis remains a food safety concern. In many cases human salmonellosis within the EU can be traced back to raw or undercooked eggs and egg products. Atmospheric pressure plasma is a novel decontamination method that can reduce a wide range of pathogens. The aim of this work was to evaluate the possibility of using an effective short time cold plasma treatment to inactivate Salmonella Enteritidis on the eggshell. Therefore, artificially contaminated eggshells were treated with an atmospheric pressure plasma jet under different experimental settings with various exposure times (15-300s), distances from the plasma jet nozzle to the eggshell surface (5, 8 or 12mm), feed gas compositions (Ar, Ar with 0.2, 0.5 or 1.0% O 2 ), gas flow rates (5 and 7slm) and different inoculations of Salmonella Enteritidis (10 1 -10 6 CFU/cm 2 ). Atmospheric pressure plasma could reduce Salmonella Enteritidis on eggshells significantly. Reduction factors ranged between 0.22 and 2.27 log CFU (colony-forming units). Exposure time and, particularly at 10 4 CFU/cm 2 inoculation, feed gas had a major impact on Salmonella reduction. Precisely, longer exposure times led to higher reductions and Ar as feed gas was more effective than ArO 2 mixtures. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Bacteria Adherence Properties of Nitrogen-Doped TiO2 Coatings by Plasma Surface Alloying Technique

    NASA Astrophysics Data System (ADS)

    Wang, Hefeng; Tang, Bin; Li, Xiuyan; Fan, Ailan

    Titanium nitride coatings on 316L stainless steel (S. S) were obtained by plasma surface alloying technique. Nitrogen-doped titanium dioxide (TiO2-xNx) was synthesized by oxidative annealing the resulted TiNx coatings in air. The reference TiO2 samples were also prepared by oxidation of sputtered Ti coatings. The as-prepared coatings were characterized by X-ray diffraction, glow discharge optical emission spectrometer (GDOES), scanning electron microscopy, X-ray hotoelectron spectroscopy and UV-Vis spectrophotometry, respectively. The bacteria adherence property of the TiO2-xNx coatings on stainless steel on the oral bacteria Streptococcus Mutans was investigated and compared with that of stainless steel by fluorescence microscopy. The mechanism of the bacteria adherence was discussed. The results show that the TiO2-xNx coatings are composed of anatase crystalline structure. SEM measurement indicates a rough surface morphology with three-dimensional homogenous protuberances after annealing treatment. Optical properties reveal an extended tailing of the absorption edge toward the visible region due to nitrogen presence. The band gap of the N-doped sample is reduced from 2.29 eV to 1.90 eV compared with the pure TiO2 one. Because of the different roughness and microstructure, the TiO2-xNx coatings inhibit the bacteria adherence.

  1. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  2. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    NASA Astrophysics Data System (ADS)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  3. TiO2 Nanoparticle-Induced Oxidation of the Plasma Membrane: Importance of the Protein Corona.

    PubMed

    Runa, Sabiha; Lakadamyali, Melike; Kemp, Melissa L; Payne, Christine K

    2017-09-21

    Titanium dioxide (TiO 2 ) nanoparticles, used as pigments and photocatalysts, are widely present in modern society. Inhalation or ingestion of these nanoparticles can lead to cellular-level interactions. We examined the very first step in this cellular interaction, the effect of TiO 2 nanoparticles on the lipids of the plasma membrane. Within 12 h of TiO 2 nanoparticle exposure, the lipids of the plasma membrane were oxidized, determined with a malondialdehyde assay. Lipid peroxidation was inhibited by surface passivation of the TiO 2 nanoparticles, incubation with an antioxidant (Trolox), and the presence of serum proteins in solution. Subsequent experiments determined that serum proteins adsorbed on the surface of the TiO 2 nanoparticles, forming a protein corona, inhibit lipid peroxidation. Super-resolution fluorescence microscopy showed that these serum proteins were clustered on the nanoparticle surface. These protein clusters slow lipid peroxidation, but by 24 h, the level of lipid peroxidation is similar, independent of the protein corona or free serum proteins. Additionally, over 24 h, this corona of proteins was displaced from the nanoparticle surface by free proteins in solution. Overall, these experiments provide the first mechanistic investigation of plasma membrane oxidation by TiO 2 nanoparticles, in the absence of UV light and as a function of the protein corona, approximating a physiological environment.

  4. SnO2/CNT nanocomposite supercapacitors fabricated using scanning atmospheric-pressure plasma jets

    NASA Astrophysics Data System (ADS)

    Xu, Chang-Han; Chiu, Yi-Fan; Yeh, Po-Wei; Chen, Jian-Zhang

    2016-08-01

    SnO2/CNT electrodes for supercapacitors are fabricated by first screen-printing pastes containing SnO2 nanoparticles and CNTs on carbon cloth, following which nitrogen atmospheric pressure plasma jet (APPJ) sintering is performed at various APPJ scan rates. The APPJ scan rates change the time intervals for which the reactive plasma species and the heat of the nitrogen APPJs influence the designated sintering spot on the carbon cloth, resulting in APPJ-sintered SnO2/CNT nanocomposites with different properties. The water contact angle decreases with the APPJ scan rate. The improved wettability can facilitate the penetration of the electrolyte into the nanopores of the SnO2/CNT nanocomposites, thereby improving the charge storage and specific capacitance of the supercapacitors. Among the three tested APPJ scan rates, 1.5, 3, and 6 mm s-1, the SnO2/CNT supercapacitor sintered by APPJ under the lowest APPJ scan rate of 1.5 mm s-1 shows the best specific capacitance of ˜90 F g-1 as evaluated by cyclic voltammetry under a potential scan rate of 2 mV s-1. A high APPJ scan rate may result in low degree of materials activation and sintering, leading to poorer performance of SnO2/CNT supercapacitors. The results suggest the feasibility of an APPJ roll-to-roll process for the fabrication of SnO2/CNT nanocomposite supercapacitors.

  5. Effects of MgO and SiO2 on Plasma-Sprayed Hydroxyapatite Coating: An in Vivo Study in Rat Distal Femoral Defects.

    PubMed

    Ke, Dongxu; Robertson, Samuel F; Dernell, William S; Bandyopadhyay, Amit; Bose, Susmita

    2017-08-09

    Plasma-sprayed hydroxyapatite (HA)-coated titanium implants have been widely used in orthopedic applications due to their inheritance of an excellent mechanical property from titanium and great osteoconductivity from HA. However, the lack of osteoinductivity limits their further applications. In this study, 1 wt % MgO and 0.5 wt % SiO 2 were mixed with HA for making plasma-sprayed coatings on titanium implants. Plasma-sprayed HA- and MgO/SiO 2 -HA-coated titanium implants showed adhesive bond strengths of 25.73 ± 1.92 and 23.44 ± 2.89 MPa, respectively. The presence of MgO and SiO 2 significantly increased the osteogenesis, osseointegration, and bone mineralization of HA-coated titanium implants by the evaluation of their histomorphology after 6, 10, and 14 weeks of implantation in rat distal femoral defects. Implant pushout tests also showed a shear modulus of 149.83 ± 3.69 MPa for MgO/SiO 2 -HA-coated implants after 14 weeks of implantation, compared to 52.68 ± 10.41 MPa for uncoated implants and 83.92 ± 3.68 MPa for pure HA-coated implants; These are differences in the shear modulus of 96% and 56.4%, respectively. This study assesses for the first time the quality of the bone-implant interface of induction plasma-sprayed MgO and SiO 2 binary-doped HA coatings on load-bearing implants compared to bare titanium and pure HA coatings in a quantitative manner. Relating the osseointegration and interface shear modulus to the quality of implant fixation is critical to the advancement and implementation of HA-coated orthopedic implants.

  6. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.

  7. Plasma treatment of onychomycosis

    NASA Astrophysics Data System (ADS)

    Xiong, Zilan; Roe, Jeff; Grammer, Tim; Him, Yeon-Ho; Graves, David B.

    2015-09-01

    Onychomycosis or fungal infection of the toenail or fingernail is a common affliction. Approximately 10% of the world's adult population is estimated to suffer from onychomycosis. Current treatment options such as topical creams, oral drugs, or laser treatments are generally limited by a variety of problems. We present results for an alternative onychomycosis treatment scheme using atmospheric pressure cold air plasmas. Using thinned cow hoof as a model nail material, we tested the ability of various plasma sources to act through the model nail to eradicate either bacteria or fungus deposited on the opposite side. Following 20 minute exposure to a surface microdischarge (SMD) device operating in room air, we observed a ~ 2 log reduction of E. coli. A similar result was obtained against T. rubrum after 45 min plasma treatment. NOx species concentration penetrating through the model nail as well as uptake into the nail were measured as a function of nail thickness. We propose that these plasma-generated species, or perhaps their reaction products, are responsible for at least part of the observed anti-microbial effect. We also explore the use of ultraviolet light acting in synergy with plasma-generated chemical species.

  8. Characterization of plasma-enhanced atomic layer deposition of Al{sub 2}O{sub 3} using dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Jialing; Eller, Brianna S.; Nemanich, Robert J.

    2014-03-15

    In this research, Al{sub 2}O{sub 3} films were grown by remote plasma-enhanced atomic layer deposition using a nonpyrophoric precursor, dimethylaluminum isopropoxide (DMAI), and oxygen plasma. After optimization, the growth rate was determined to be ∼1.5 Å/cycle within a growth window of 25–220 °C; the higher growth rate than reported for thermal atomic layer deposition was ascribed to the higher reactivity of the plasma species compared with H{sub 2}O and the adsorption of active oxygen at the surface, which was residual from the oxygen plasma exposure. Both effects enhance DMAI chemisorption and increase the saturation density. In addition, a longer oxygen plasma timemore » was required at room temperature to complete the reaction and decrease the carbon contamination below the detection limit of x-ray photoemission spectroscopy. The properties of the subsequent Al{sub 2}O{sub 3} films were measured for different temperatures. When deposited at 25 °C and 200 °C, the Al{sub 2}O{sub 3} films demonstrated a single Al-O bonding state as measured by x-ray photoemission spectroscopy, a similar band gap of 6.8±0.2 eV as determined by energy loss spectroscopy, a similar index of refraction of 1.62±0.02 as determined by spectroscopic ellipsometry, and uniform growth with a similar surface roughness before and after growth as confirmed by atomic force microscopy. However, the room temperature deposited Al{sub 2}O{sub 3} films had a lower mass density (2.7 g/cm{sup 3} compared with 3.0 g/cm{sup 3}) and a higher atomic ratio of O to Al (2.1 compared with 1.6) as indicated by x-ray reflectivity and Rutherford backscattering spectroscopy, respectively.« less

  9. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  10. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  11. Cold atmospheric plasma as a potential tool for multiple myeloma treatment.

    PubMed

    Xu, Dehui; Xu, Yujing; Cui, Qingjie; Liu, Dingxin; Liu, Zhijie; Wang, Xiaohua; Yang, Yanjie; Feng, Miaojuan; Liang, Rong; Chen, Hailan; Ye, Kai; Kong, Michael G

    2018-04-06

    Multiple myeloma (MM) is a fatal and incurable hematological malignancy thus new therapy need to be developed. Cold atmospheric plasma, a new technology that could generate various active species, could efficiently induce various tumor cells apoptosis. More details about the interaction of plasma and tumor cells need to be addressed before the application of gas plasma in clinical cancer treatment. In this study, we demonstrate that He+O 2 plasma could efficiently induce myeloma cell apoptosis through the activation of CD95 and downstream caspase cascades. Extracellular and intracellular reactive oxygen species (ROS) accumulation is essential for CD95-mediated cell apoptosis in response to plasma treatment. Furthermore, p53 is shown to be a key transcription factor in activating CD95 and caspase cascades. More importantly, we demonstrate that CD95 expression is higher in tumor cells than in normal cells in both MM cell lines and MM clinical samples, which suggests that CD95 could be a favorable target for plasma treatment as it could selectively inactivate myeloma tumor cells. Our results illustrate the molecular details of plasma induced myeloma cell apoptosis and it shows that gas plasma could be a potential tool for myeloma therapy in the future.

  12. Cold atmospheric plasma as a potential tool for multiple myeloma treatment

    PubMed Central

    Cui, Qingjie; Liu, Dingxin; Liu, Zhijie; Wang, Xiaohua; Yang, Yanjie; Feng, Miaojuan; Liang, Rong; Chen, Hailan; Ye, Kai; Kong, Michael G.

    2018-01-01

    Multiple myeloma (MM) is a fatal and incurable hematological malignancy thus new therapy need to be developed. Cold atmospheric plasma, a new technology that could generate various active species, could efficiently induce various tumor cells apoptosis. More details about the interaction of plasma and tumor cells need to be addressed before the application of gas plasma in clinical cancer treatment. In this study, we demonstrate that He+O2 plasma could efficiently induce myeloma cell apoptosis through the activation of CD95 and downstream caspase cascades. Extracellular and intracellular reactive oxygen species (ROS) accumulation is essential for CD95-mediated cell apoptosis in response to plasma treatment. Furthermore, p53 is shown to be a key transcription factor in activating CD95 and caspase cascades. More importantly, we demonstrate that CD95 expression is higher in tumor cells than in normal cells in both MM cell lines and MM clinical samples, which suggests that CD95 could be a favorable target for plasma treatment as it could selectively inactivate myeloma tumor cells. Our results illustrate the molecular details of plasma induced myeloma cell apoptosis and it shows that gas plasma could be a potential tool for myeloma therapy in the future. PMID:29719586

  13. Development of plasma-on-chip: Plasma treatment for individual cells cultured in media

    NASA Astrophysics Data System (ADS)

    Kumagai, Shinya; Chang, Chun-Yao; Jeong, Jonghyeon; Kobayashi, Mime; Shimizu, Tetsuji; Sasaki, Minoru

    2016-01-01

    A device consisting of Si microwells and microplasma sources has been fabricated for plasma treatment of individual cells cultured in media. We named the device plasma-on-chip. The microwells have through-holes at the bottom where gas-liquid interfaces form when they are filled with media containing biological samples. The microplasma sources, which supply reactive species, are located on the back of each microwell. Through the gas-liquid interface, the reactive species are supplied to the cells. Chlorella cells were used to demonstrate the feasibility of the device and after three minutes of plasma treatment, the fluorescence intensity of Chlorella cells appeared to be decreased. Optical emission spectroscopy identified O and OH radicals in the plasma, which can affect the cells. In the analysis of biological samples such as human cells or tissues, this device raises the possibility of revealing the mechanisms of plasma medicine in more detail.

  14. Study on deposition of Al2O3 films by plasma-assisted atomic layer with different plasma sources

    NASA Astrophysics Data System (ADS)

    Haiying, WEI; Hongge, GUO; Lijun, SANG; Xingcun, LI; Qiang, CHEN

    2018-04-01

    In this paper, Al2O3 thin films are deposited on a hydrogen-terminated Si substrate by using two home-built electron cyclotron resonance (ECR) and magnetic field enhanced radio frequency plasma-assisted atomic layer deposition (PA-ALD) devices with Al(CH3)3 (trimethylaluminum, TMA) and oxygen plasma used as precursor and oxidant, respectively. The thickness, chemical composition, surface morphology and group reactions are characterized by in situ spectroscopic ellipsometer, x-ray photoelectric spectroscopy, atomic force microscopy, scanning electron microscopy, a high-resolution transmission electron microscope and in situ mass spectrometry (MS), respectively. We obtain that both ECR PA-ALD and the magnetic field enhanced PA-ALD can deposit thin films with high density, high purity, and uniformity at a high deposition rate. MS analysis reveals that the Al2O3 deposition reactions are not simple reactions between TMA and oxygen plasma to produce alumina, water and carbon dioxide. In fact, acetylene, carbon monoxide and some other by-products also appear in the exhaustion gas. In addition, the presence of bias voltage has a certain effect on the deposition rate and surface morphology of films, which may be attributed to the presence of bias voltage controlling the plasma energy and density. We conclude that both plasma sources have a different deposition mechanism, which is much more complicated than expected.

  15. Atomic layer deposition of TiO2 on surface modified nanoporous low-k films.

    PubMed

    Levrau, Elisabeth; Devloo-Casier, Kilian; Dendooven, Jolien; Ludwig, Karl F; Verdonck, Patrick; Meersschaut, Johan; Baklanov, Mikhail R; Detavernier, Christophe

    2013-10-01

    This paper explores the effects of different plasma treatments on low dielectric constant (low-k) materials and the consequences for the growth behavior of atomic layer deposition (ALD) on these modified substrates. An O2 and a He/H2 plasma treatment were performed on SiCOH low-k films to modify their chemical surface groups. Transmission FTIR and water contact angle (WCA) analysis showed that the O2 plasma changed the hydrophobic surface completely into a hydrophilic surface, while the He/H2 plasma changed it only partially. In a next step, in situ X-ray fluorescence (XRF), ellipsometric porosimetry (EP), and Rutherford backscattering spectroscopy (RBS) were used to characterize ALD growth of TiO2 on these substrates. The initial growth of TiO2 was found to be inhibited in the original low-k film containing only Si-CH3 surface groups, while immediate growth was observed in the hydrophilic O2 plasma treated film. The latter film was uniformly filled with TiO2 after 8 ALD cycles, while pore filling was delayed to 17 ALD cycles in the hydrophobic film. For the He/H2 plasma treated film, containing both Si-OH and Si-CH3 groups, the in situ XRF data showed that TiO2 could no longer be deposited in the He/H2 plasma treated film after 8 ALD cycles, while EP measurements revealed a remaining porosity. This can be explained by the faster deposition of TiO2 in the hydrophilic top part of the film than in the hydrophobic bulk which leaves the bulk porous, as confirmed by RBS depth profiling. The outcome of this research is not only of interest for the development of advanced interconnects in ULSI technology, but also demonstrates that ALD combined with RBS analysis is a handy approach to analyze the modifications induced by a plasma treatment on a nanoporous thin film.

  16. Influence of an O2 background gas on the composition and kinetic energies of species in laser induced La0.4Ca0.6MnO3 plasmas

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Stender, Dieter; Bator, Matthias; Schneider, Christof W.; Lippert, Thomas; Wokaun, Alexander

    2013-08-01

    Oxygen is one of the most commonly used background gases for pulsed laser deposition of oxide thin films. In this work the properties of a 308 nm laser-induced La0.4Ca0.6MnO3 plasma were analyzed using a quadrupole mass spectrometer combined with an energy analyzer, to investigate the interaction between the various plasma species and the background gas. The composition and kinetic energies of the plasma species were compared in vacuum and an O2 background gas at different pressures. It has been observed that the O2 background gas decreases the kinetic energy of the positively charged atomic plasma species. In addition, the interaction with the O2 background gas causes the generation of positive diatomic oxide species of LaO+, CaO+ and MnO+. The amount of negatively charged diatomic or tri-atomic oxide species decreases in the O2 background compared to vacuum, while the amount of O2- increases strongly.

  17. Photocatalytic quartz fiber felts with carbon-connected TiO2 nanoparticles for capillarity-driven continuous-flow water treatment

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaofei; Su, Xiaowen; Gao, Wenqiang; Wang, Fulei; Liu, Zhihe; Zhan, Jie; Liu, Baishan; Wang, Ruosong; Liu, Hong; Sang, Yuanhua

    2018-06-01

    Immobility of photocatalysts on substrates is a vital factor for the practical application of photocatalysis in polluted water/air treatment. In this study, TiO2 homogenously loaded quartz fiber felt was prepared by assembling of carboxyl-contained organic molecules functionalized TiO2 nanoparticles on the surface of amino group-modified quartz fiber by electrostatic adsorption between them and followed by an anneal process. The immobilization of TiO2 nanoparticles overcomes one main obstacle of the photocatalysts recycling in photocatalysis application. In addition, a plasma treatment endowed the hybrid photocatalyst a high hydrophilic property. Due to the homogeneous distribution of TiO2, charge carriers' separation by carbon, and full contact between water and the photocatalyst derived from the high hydrophilia, the TiO2/quartz fiber felt shows excellent photocatalytic performance. Based on the stable loading and the capillarity effect of the contacted fibers photocatalyst, a demo capillarity-driven continuous-flow water treatment photocatalysis reactor was designed and built up. The TiO2 nanoparticle/quartz fiber hybrid photocatalyst can disposal organic contaminants in actual industrial waste water from a dyeing factory in the continuous-flow reactor. The chemical oxygen demand (COD) of the industrial waste water was decreased from 104 to 45 mg/L, overcoming the problem of deep water treatment which is difficult to solve by other methods. This study provides a new photocatalyst and reaction mode for the continuous-flow photocatalysis application.

  18. Recombination reduction at the c-Si/RCA oxide interface through Ar-H2 plasma treatment

    NASA Astrophysics Data System (ADS)

    Landheer, Kees; Bronsveld, Paula C. P.; Poulios, Ioannis; Tichelaar, Frans D.; Kaiser, Monja; Schropp, Ruud E. I.; Rath, Jatin K.

    2017-02-01

    An Ar-H2 plasma treatment was applied on an ultrathin RCA oxide to create well-passivated silicon wafers with symmetric c-Si/SiOx:H/a-Si:H passivation layer stacks. The effective lifetime of these samples increased from 10 μs to 4 ms after annealing at 200 °C through Ar-H2 plasma treatment of the oxide. The results indicate that the plasma treatment can modify the RCA oxide and this enables atomic hydrogen diffusion at low annealing temperature, leading to a well passivated c-Si/SiOx:H interface. This might provide new possibilities to use wet chemical oxides in c-Si solar cells, for example as tunnel contacts.

  19. Role of Y2O3, CaO, MgO additives on structural and microstructural behavior of zirconia/mullite aggregates

    NASA Astrophysics Data System (ADS)

    Mishra, D. K.; Prusty, Sasmita; Mohapatra, B. K.; Singh, S. K.; Behera, S. N.

    2012-07-01

    Zirconia mullite (MUZ), Y2O3-MUZ, CaO-MUZ and MgO-MUZ composites, synthesized through plasma fusion technique, are becoming important due to their commercial scale of production within five minutes of plasma treatment from sillimanite, zircon and alumina mixture. The X-ray diffraction studies reveal the monoclinic zirconia phase in MUZ composite whereas mixed monoclinic, tetragonal and cubic phases of zirconia have been observed in Y2O3, CaO, MgO added MUZ composites. The Y2O3, CaO and MgO additives act as sintering aids to favour the transformation and stabilisation of tetragonal and cubic zirconia phases at room temperature. These additives also play a key role in the development of various forms of microstructure to achieve dense MUZ composites.

  20. Effects of Atmospheric-Pressure N2, He, Air, and O2 Microplasmas on Mung Bean Seed Germination and Seedling Growth

    NASA Astrophysics Data System (ADS)

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Zhuang, Jinxing; Yang, Size; Bazaka, Kateryna; (Ken) Ostrikov, Kostya

    2016-09-01

    Atmospheric-pressure N2, He, air, and O2 microplasma arrays have been used to investigate the effects of plasma treatment on seed germination and seedling growth of mung bean in aqueous solution. Seed germination and growth of mung bean were found to strongly depend on the feed gases used to generate plasma and plasma treatment time. Compared to the treatment with atmospheric-pressure O2, N2 and He microplasma arrays, treatment with air microplasma arrays was shown to be more efficient in improving both the seed germination rate and seedling growth, the effect attributed to solution acidification and interactions with plasma-generated reactive oxygen and nitrogen species. Acidic environment caused by air discharge in water may promote leathering of seed chaps, thus enhancing the germination rate of mung bean, and stimulating the growth of hypocotyl and radicle. The interactions between plasma-generated reactive species, such as hydrogen peroxide (H2O2) and nitrogen compounds, and seeds led to a significant acceleration of seed germination and an increase in seedling length of mung bean. Electrolyte leakage rate of mung bean seeds soaked in solution activated using air microplasma was the lowest, while the catalase activity of thus-treated mung bean seeds was the highest compared to other types of microplasma.

  1. Effects of Atmospheric-Pressure N2, He, Air, and O2 Microplasmas on Mung Bean Seed Germination and Seedling Growth.

    PubMed

    Zhou, Renwu; Zhou, Rusen; Zhang, Xianhui; Zhuang, Jinxing; Yang, Size; Bazaka, Kateryna; Ken Ostrikov, Kostya

    2016-09-01

    Atmospheric-pressure N2, He, air, and O2 microplasma arrays have been used to investigate the effects of plasma treatment on seed germination and seedling growth of mung bean in aqueous solution. Seed germination and growth of mung bean were found to strongly depend on the feed gases used to generate plasma and plasma treatment time. Compared to the treatment with atmospheric-pressure O2, N2 and He microplasma arrays, treatment with air microplasma arrays was shown to be more efficient in improving both the seed germination rate and seedling growth, the effect attributed to solution acidification and interactions with plasma-generated reactive oxygen and nitrogen species. Acidic environment caused by air discharge in water may promote leathering of seed chaps, thus enhancing the germination rate of mung bean, and stimulating the growth of hypocotyl and radicle. The interactions between plasma-generated reactive species, such as hydrogen peroxide (H2O2) and nitrogen compounds, and seeds led to a significant acceleration of seed germination and an increase in seedling length of mung bean. Electrolyte leakage rate of mung bean seeds soaked in solution activated using air microplasma was the lowest, while the catalase activity of thus-treated mung bean seeds was the highest compared to other types of microplasma.

  2. Apatite-forming PEEK with TiO2 surface layer coating.

    PubMed

    Kizuki, Takashi; Matsushita, Tomiharu; Kokubo, Tadashi

    2015-01-01

    Polyetheretherketone (PEEK) is widely used in orthopedic implants, such as spinal fusion devices, because of its moderate elastic modulus, as well as relatively high mechanical strength. However, it does not bond to living bone, and hence it needs autograft to be fixed to the bone. In this study, we attempted to add bone-bonding properties to PEEK by coating with TiO2 synthesized by the sol-gel process. When a TiO2 sol solution consisting of titanium isopropoxide, water, ethanol, and nitric acid was deposited on a PEEK substrate without any pretreatment, the formed TiO2 gel layer was easily peeled off after subsequent treatments. However, when the same solution was deposited on PEEK that was preliminarily subjected to UV or O2 plasma treatment, the deposited TiO2 gel layer strongly adhered to the substrate even after subsequent treatments. The strong adhesion was attributed to the interaction among the C-O, C=O, and O-C=O groups on the PEEK owing to the UV or O2 plasma treatment and the Ti-O bond of the TiO2 gel. Apatite did not form on the as-formed TiO2 gel layer in a simulated body fluid (SBF) even within 3 days; however, apatite formed after soaking in 0.1 M HCl solution at 80 °C for 24 h. This apatite formation was attributed to positive surface charge of the TiO2 gel layer induced by the acid treatment. The PEEK with the TiO2 gel layer coating formed by the proposed process is expected to bond to living bone, because a positively charged titanium oxide which facilitates the formation of apatite in SBF within a short period is known to bond to living bone.

  3. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment.

    PubMed

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-15

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (10 19 cm -3 ) are distributed in the initial state, which exist in the forms of Si 2 N≡Si·, SiO 2 ≡Si·, O 3 ≡Si·, and N 3 ≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H + and O 2- . Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  4. Forming-free performance of a-SiN x :H-based resistive switching memory obtained by oxygen plasma treatment

    NASA Astrophysics Data System (ADS)

    Zhang, Xinxin; Ma, Zhongyuan; Zhang, Hui; Liu, Jian; Yang, Huafeng; Sun, Yang; Tan, Dinwen; Li, Wei; Xu, Ling; Chen, Kuiji; Feng, Duan

    2018-06-01

    An a-SiN x -based resistive random access memory (RRAM) device with a forming-free characteristic has significant potentials for the industrialization of the next-generation memories. We demonstrate that a forming-free a-SiN x O y RRAM device can be achieved by an oxygen plasma treatment of ultra-thin a-SiN x :H films. Electron spin resonance spectroscopy reveals that Si dangling bonds with a high density (1019 cm‑3) are distributed in the initial state, which exist in the forms of Si2N≡Si·, SiO2≡Si·, O3≡Si·, and N3≡Si·. X-ray photoelectron spectroscopy and temperature-dependent current analyses reveal that the silicon dangling bonds induced by the oxygen plasma treatment and external electric field contribute to the low resistance state (LRS). For the high resistance state (HRS), the rupture of the silicon dangling bond pathway is attributed to the partial passivation of Si dangling bonds by H+ and O2‑. Both LRS and HRS transmissions obey the hopping conduction model. The proposed oxygen plasma treatment, introduced to generate a high density of Si dangling bonds in the SiN x O y :H films, provides a new approach to forming-free RRAM devices.

  5. Prereduction of Metal Oxides via Carbon Plasma Treatment for Efficient and Stable Electrocatalytic Hydrogen Evolution.

    PubMed

    Zhang, Yongqi; Ouyang, Bo; Xu, Kun; Xia, Xinhui; Zhang, Zheng; Rawat, Rajdeep Singh; Fan, Hong Jin

    2018-04-01

    Prereduction of transition metal oxides is a feasible and efficient strategy to enhance their catalytic activity for hydrogen evolution. Unfortunately, the prereduction via the common H 2 annealing method is unstable for nanomaterials during the hydrogen evolution process. Here, using NiMoO 4 nanowire arrays as the example, it is demonstrated that carbon plasma (C-plasma) treatment can greatly enhance both the catalytic activity and the long-term stability of transition metal oxides for hydrogen evolution. The C-plasma treatment has two functions at the same time: it induces partial surface reduction of the NiMoO 4 nanowire to form Ni 4 Mo nanoclusters, and simultaneously deposits a thin graphitic carbon shell. As a result, the C-plasma treated NiMoO 4 can maintain its array morphology, chemical composition, and catalytic activity during long-term intermittent hydrogen evolution process. This work may pave a new way for simultaneous activation and stabilization of transition metal oxide-based electrocatalysts. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Realization of write-once-read-many-times memory device with O{sub 2} plasma-treated indium gallium zinc oxide thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.

    2014-01-20

    A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying amore » voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.« less

  7. Ar + CO2 and He + CO2 Plasmas in ASTRAL

    NASA Astrophysics Data System (ADS)

    Boivin, R. F.; Gardner, A.; Munoz, J.; Kamar, O.; Loch, S.

    2007-11-01

    Spectroscopy study of the ASTRAL helicon plasma source running Ar + CO2 and He + CO2 gas mixes is presented. ASTRAL produces plasmas with the following parameters: ne = 10^10 - 10^13 cm-3, Te = 2 - 10 eV and Ti = 0.03 - 0.5 eV, B-field <= 1.3 kGauss, rf power <= 2 kWatt. A 0.33 m scanning monochromator is used for this study. Using Ar + CO2 gas mixes, very different plasmas are observed as the concentration of CO2 is changed. At low CO2 concentration, the bluish plasma is essentially atomic and argon transitions dominate the spectra. Weak C I and O I lines are present in the 750 - 1000 nm range. At higher CO2 concentration, the plasma becomes essentially molecular and is characterized by intense, white plasma columns. Here, spectra are filled with molecular bands (CO2, CO2^+, CO and CO^+). Limited molecular dissociative excitation processes associated with the production of C I and O I emission are also observed. On the other hand, He + CO2 plasmas are different. Here, rf matches are only possible at low CO2 concentration. Under these conditions, the spectra are characterized by strong C I and O I transitions with little or no molecular bands. Strong dissociative processes observed in these plasmas can be link to the high Te associated with He plasmas. An analysis of the spectra with possible scientific and industrial applications will be presented.

  8. Collisional radiative model for Ar-O2 mixture plasma with fully relativistic fine structure cross sections

    NASA Astrophysics Data System (ADS)

    Priti, Gangwar, Reetesh Kumar; Srivastava, Rajesh

    2018-04-01

    A collisional radiative (C-R) model has been developed to diagnose the rf generated Ar-O2 (0%-5%) mixture plasma at low temperatures. Since in such plasmas the most dominant process is an electron impact excitation process, we considered several electron impact fine structure transitions in an argon atom from its ground as well as excited states. The cross-sections for these transitions have been obtained using the reliable fully relativistic distorted wave theory. Processes which account for the coupling of argon with the oxygen molecules have been further added to the model. We couple our model to the optical spectroscopic measurements reported by Jogi et al. [J. Phys. D: Appl. Phys. 47, 335206 (2014)]. The plasma parameters, viz. the electron density (ne) and the electron temperature (Te) as a function of O2 concentration have been obtained using thirteen intense emission lines out of 3p54p → 3p54s transitions observed in their spectroscopic measurements. It is found that as the content of O2 in Ar increases from 0%-5%, Te increases in the range 0.85-1.7 eV, while the electron density decreases from 2.76 × 1012-2.34 × 1011 cm-3. The Ar-3p54s (1si) fine-structure level populations at our extracted plasma parameters are found to be in very good agreement with those obtained from the measurements. Furthermore, we have estimated the individual contributions coming from the ground state, 1si manifolds and cascade contributions to the population of the radiating Ar-3p54p (2pi) states as a function of a trace amount of O2. Such information is very useful to understand the importance of various processes occurring in the plasma.

  9. Air-water ‘tornado’-type microwave plasmas applied for sugarcane biomass treatment

    NASA Astrophysics Data System (ADS)

    Bundaleska, N.; Tatarova, E.; Dias, F. M.; Lino da Silva, M.; Ferreira, C. M.; Amorim, J.

    2014-02-01

    The production of cellulosic ethanol from sugarcane biomass is an attractive alternative to the use of fossil fuels. Pretreatment is needed to separate the cellulosic material, which is packed with hemicellulose and lignin in cell wall of sugarcane biomass. A microwave ‘tornado’-type air-water plasma source operating at 2.45 GHz and atmospheric pressure has been applied for this purpose. Samples of dry and wet biomass (˜2 g) have been exposed to the late afterglow plasma stream. The experiments demonstrate that the air-water highly reactive plasma environment provides a number of long-lived active species able to destroy the cellulosic wrapping. Scanning electron microscopy has been applied to analyse the morphological changes occurring due to plasma treatment. The effluent gas streams have been analysed by Fourier-transform infrared spectroscopy (FT-IR). Optical emission spectroscopy and FT-IR have been applied to determine the gas temperature in the discharge and late afterglow plasma zones, respectively. The optimal range of the operational parameters is discussed along with the main active species involved in the treatment process. Synergistic effects can result from the action of singlet O2(a 1Δg) oxygen, NO2, nitrous acid HNO2 and OH hydroxyl radical.

  10. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  11. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    NASA Astrophysics Data System (ADS)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  12. Equations for O2 and CO2 solubilities in saline and plasma: combining temperature and density dependences.

    PubMed

    Christmas, Kevin M; Bassingthwaighte, James B

    2017-05-01

    Solubilities of respiratory gasses in water, saline, and plasma decrease with rising temperatures and solute concentrations. Henry's Law, C = α·P, states that the equilibrium concentration of a dissolved gas is solubility times partial pressure. Solubilities in the water of a solution depend on temperature and the content of other solutes. Blood temperatures may differ more than 20°C between skin and heart, and an erythrocyte will undergo that range as blood circulates. The concentrations of O 2 and CO 2 are the driving forces for diffusion, exchanges, and for reactions. We provide an equation for O 2 and CO 2 solubilities, α, that allows for continuous changes in temperature, T, and solution density, ρ, in dynamically changing states:[Formula: see text]This two-exponential expression with a density scalar γ, and a density exponent β, accounts for solubility changes due to density changes of an aqueous solution. It fits experimental data on solubilities in water, saline, and plasma over temperatures from 20 to 40°C, and for plasma densities, ρ sol up to 1.020 g/ml with ~0.3% error. The amounts of additional bound O 2 (to Hb) and CO 2 (bicarbonate and carbamino) depend on the concentrations in the local water space and the reaction parameters. During exercise, solubility changes are large; both ρ sol and T change rapidly with spatial position and with time. In exercise hemoconcentration plasma, ρ sol exceeds 1.02, whereas T may range over 20°C. The six parameters for O 2 and the six for CO 2 are constants, so solubilities are calculable continuously as T and ρ sol change. NEW & NOTEWORTHY Solubilities for oxygen and carbon dioxide are dependent on the density of the solution, on temperature, and on the partial pressure. We provide a brief equation suitable for hand calculators or mathematical modeling, accounting for these factors over a wide range of temperatures and solution densities for use in rapidly changing conditions, such as extreme exercise or

  13. Vibrational excitation in O2and Cl2inductively-coupled plasmas and DC discharges

    NASA Astrophysics Data System (ADS)

    Booth, Jean-Paul; Marinov, Daniil; Foucher, Mickael; Annusova, Adriana; Guerra, Vasco

    2016-09-01

    Low-energy electrons can interact with molecules via resonances to cause vibrational excitation with large cross-sections. Such processes can absorb significant energy from the plasma electrons, affecting the electron energy distribution and potentially (via vibration-translation (VT) energy transfer) causing substantial gas heating. The presence of vibrationally excited molecules may significant increase the rates of collisional processes, including electron dissociative attachment and electron impact dissociation into neutral atoms. However, the cross-sections of these processes are often poorly known since they are extremely difficult to measure directly, and reliable theoretical calculations are only now appearing for simple diatomic molecules. We have measured the vibrational distributions in discharges in pure O2 and pure Cl2, using high-sensitivity ultra-broadband ultraviolet absorption spectroscopy. In O2 plasmas significant vibrational excitation is observed, up to v'' =18, with a tail temperature of around 8000K. In Cl2 excitation is only observed up to v'' =3, and the distribution appears to be in local equilibrium with the gas translational temperature (up to 1500K). We are developing a detailed self-consistent 0D global model of these systems including vibrational excitation. Work performed in the LABEX Plas@par project, with financial state aid (ANR-11-IDEX-0004-02 and ANR-13-BS09-0019).

  14. Inactivation of Shiga toxin-producing Escherichia coli O104:H4 using cold atmospheric pressure plasma.

    PubMed

    Baier, Matthias; Janssen, Traute; Wieler, Lothar H; Ehlbeck, Jörg; Knorr, Dietrich; Schlüter, Oliver

    2015-09-01

    From cultivation to the end of the post-harvest chain, heat-sensitive fresh produce is exposed to a variety of sources of pathogenic microorganisms. If contaminated, effective gentle means of sanitation are necessary to reduce bacterial pathogen load below their infective dose. The occurrence of rare or new serotypes raises the question of their tenacity to inactivation processes. In this study the antibacterial efficiency of cold plasma by an atmospheric pressure plasma-jet was examined against the Shiga toxin-producing outbreak strain Escherichia coli O104:H4. Argon was transformed into non-thermal plasma at a power input of 8 W and a gas flow of 5 L min(-1). Basic tests were performed on polysaccharide gel discs, including the more common E. coli O157:H7 and non-pathogenic E. coli DSM 1116. At 5 mm treatment distance and 10(5) cfu cm(-2) initial bacterial count, plasma reduced E. coli O104:H4 after 60 s by 4.6 ± 0.6 log, E. coli O157:H7 after 45 s by 4.5 ± 0.6 log, and E. coli DSM 1116 after 30 s by 4.4 ± 1.1 log. On the surface of corn salad leaves, gentle plasma application at 17 mm reduced 10(4) cfu cm(-2) of E. coli O104:H4 by 3.3 ± 1.1 log after 2 min, whereas E. coli O157:H7 was inactivated by 3.2 ± 1.1 log after 60 s. In conclusion, plasma treatment has the potential to reduce pathogens such as E. coli O104:H4 on the surface of fresh produce. However, a serotype-specific adaptation of the process parameters is required. Copyright © 2015 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  15. Noradrenaline treatment of rats stimulates H2O2 generation in liver mitochondria.

    PubMed Central

    Swaroop, A; Patole, M S; Puranam, R S; Ramasarma, T

    1983-01-01

    Treatment of rats with noradrenaline stimulated H2O2 generation in liver mitochondria using succinate, choline or glycerol 1-phosphate as substrate. The dehydrogenase activity with either succinate or choline as substrate showed no change, whereas that with glycerol 1-phosphate increased. The effect was obtained with noradrenaline, but not with dihydroxyphenylserine. Phenoxybenzamine and yohimbine, but not propranolol, prevented the response to noradrenaline treatment. Phenylephrine could stimulate H2O2 generation, whereas isoprenaline had only a marginal effect. Theophylline treatment slightly decreased the generation of H2O2 in liver mitochondria, but treatment with pargyline, Ro4-1284 and dibutyryl cyclic AMP had little effect. These studies showed that noradrenaline might possibly be acting through the alpha 2-adrenergic system. PMID:6312963

  16. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  17. Enhancing Cold Atmospheric Plasma Treatment Efficiency for Cancer Therapy

    NASA Astrophysics Data System (ADS)

    Cheng, Xiaoqian

    To improve efficiency and safety of anti-cancer therapies the researchers and clinicians alike are prompted to develop targeted combined therapies that especially minimize damage to healthy tissues while eradicating the body of cancerous tissues. Previous research in cold atmospheric plasma (CAP) and cancer cell interaction has repeatedly proven that cold plasma induced cell death. In this study, we seek to integrate the medical application of CAP. We proposed and implemented 3 novel ideas to enhance efficacy and selectivity of cancer therapy. It is postulated that the reactive oxygen species (ROS) and reactive nitrogen species (RNS) play a major role in the CAP cancer therapy. We determined a mechanism of CAP therapy on glioblastoma cells (U87) through an understanding of the composition of CAP, including output voltage, treatment time, and gas flow-rate. We varied the characteristics of the cold plasma in order to obtain different major species (such as O, OH, N2+, and N2 lines). "plasma dosage" D ~ Q * V * t. is defined, where D is the entire "plasma dosage"; Q is the flow rate of feeding gas; V is output voltage; t is treatment time. The proper CAP dosage caused 3-fold cell death in the U87 cells compared to the normal human astrocytes E6/E7 cells. We demonstrated there is a synergy between AuNPS and CAP in cancer therapy. Specifically, the concentration of AuNPs plays an important role on plasma therapy. At an optimal concentration, gold nanoparticles can significantly induce U87 cell death up to a 30% overall increase compared to the control group with the same plasma dosage but no AuNPs applied. The ROS intensity of the corresponding conditions has a reversed trend compared to cell viability. This matches with the theory that intracellular ROS accumulation results in oxidative stress, which further changes the intracellular pathways, causing damage to the proteins, lipids and DNA. Our results show that this synergy has great potential in improving the

  18. Fabrication and characterization of plasma-sprayed HA/SiO(2) coatings for biomedical application.

    PubMed

    Morks, M F

    2008-01-01

    Fused silica powder has been mixed with hydroxyapatite (HA) powder and plasma sprayed by using gas tunnel-type plasma jet. The influence of silica content (10 wt% and 20 wt%) on the microstructure and mechanical properties of HA-silica coatings was investigated. For investigating the microstructure and mechanical properties of HA-silica coatings, SUS 304 stainless steel was used as substrate material. The spraying was carried out on roughened substrate in an atmospheric chamber. Scanning electron microscope micrographs of cross-sectioned HA/SiO(2) coatings showed that the sprayed HA coatings with 10 and 20 wt% SiO(2) have dense structure with low porosity compared to the pure HA coatings. On the other hand, as the amount of silica was increased the coatings became denser, harder and exhibited high abrasive wear resistance. The presence of silica significantly improved the adhesive strength of HA/SiO(2) coatings mainly due to the increase in bonding strength of the coating at the interface.

  19. Effects of Cr2O3 Activating Flux on the Plasma Plume in Pulsed Laser Welding

    NASA Astrophysics Data System (ADS)

    Yi, Luo; Yunfei, Du; Xiaojian, Xie; Rui, Wan; Liang, Zhu; Jingtao, Han

    2016-11-01

    The effects of Cr2O3 activating flux on pulsed YAG laser welding of stainless steel and, particularly, on the behavior of the plasma plume in the welding process were investigated. According to the acoustic emission (AE) signals detected in the welding process, the possible mechanism for the improvement in penetration depth was discussed. The results indicated that the AE signals detected in the welding process reflected the behavior of the plasma plume as pulsed laser energy affecting the molten pool. The root-mean-square (RMS) waveform, AE count, and power spectrum of AE signals were three effective means to characterize the behavior of the plasma plume, which indicated the characteristics of energy released by the plasma plume. The activating flux affected by the laser beam helped to increase the duration and intensity of energy released by the plasma plume, which improved the recoil force and thermal effect transferred from the plasma plume to the molten pool. These results were the main mechanism for Cr2O3 activating flux addition improving the penetration depth in pulsed YAG laser welding.

  20. Kinetics of highly vibrationally excited O2(X) molecules in inductively-coupled oxygen plasmas

    NASA Astrophysics Data System (ADS)

    Annušová, Adriana; Marinov, Daniil; Booth, Jean-Paul; Sirse, Nishant; Lino da Silva, Mário; Lopez, Bruno; Guerra, Vasco

    2018-04-01

    The high degree of vibrational excitation of O2 ground state molecules recently observed in inductively coupled plasma discharges is investigated experimentally in more detail and interpreted using a detailed self-consistent 0D global kinetic model for oxygen plasmas. Additional experimental results are presented and used to validate the model. The vibrational kinetics considers vibrational levels up to v = 41 and accounts for electron impact excitation and de-excitation (e-V), vibration-to-translation relaxation (V-T) in collisions with O2 molecules and O atoms, vibration-to-vibration energy exchanges (V-V), excitation of electronically excited states, dissociative electron attachment, and electron impact dissociation. Measurements were performed at pressures of 10–80 mTorr (1.33 and 10.67 Pa) and radio frequency (13.56 MHz) powers up to 500 W. The simulation results are compared with the absolute densities in each O2 vibrational level obtained by high sensitivity absorption spectroscopy measurements of the Schumann–Runge bands for O2(X, v = 4–18), O(3 P) atom density measurements by two-photon absorption laser induced fluorescence (TALIF) calibrated against Xe, and laser photodetachment measurements of the O‑ negative ions. The highly excited O2(X, v) distribution exhibits a shape similar to a Treanor-Gordiets distribution, but its origin lies in electron impact e-V collisions and not in V-V up-pumping, in contrast to what happens in all other molecular gases known to date. The relaxation of vibrational quanta is mainly due to V-T energy-transfer collisions with O atoms and to electron impact dissociation of vibrationally excited molecules, e+O2(X, v)→O(3P)+O(3P).

  1. Development of non-thermal plasma jet and its potential application for color degradation of organic pollutant in wastewater treatment

    NASA Astrophysics Data System (ADS)

    Pirdo Kasih, Tota; Kharisma, Angel; Perdana, Muhammad Kevin; Murphiyanto, Richard Dimas Julian

    2017-12-01

    This paper presents the development of non-thermal plasma-based AOPs for color degradation in wastewater treatment. The plasma itself was generated by an in-house high voltage power supply (HVPS). Instead of gas-phase plasma system, we applied plasma jet system underwater during wastewater treatment without additional any chemicals (chemical-free processing). The method is thought to maximize the energy transfer and increase the efficient interaction between plasma and solution during the process. Our plasma jet system could proceed either by using helium (He), argon (Ar) and air as the medium in an open air atmosphere. Exploring the developed plasma to be applied in organic wastewater treatment, we demonstrated that the plasma jet could be generated underwater and yields in color degradation of methylene blue (MB) wastewater model. When using Ar gas as a medium, the color degradation of MB could be achieved within 90 minutes. Whereas, by using Ar with an admixing of oxygen (O2) gas, the similar result could be accomplished within 60 minutes. Additional O2 gas in the latter might produce more hydroxyl radicals and oxygen-based species which speed up the oxidative reaction with organic pollutants, and hence accelerate the process of color degradation.

  2. In situ measurement of VUV/UV radiation from low-pressure microwave-produced plasma in Ar/O2 gas mixtures

    NASA Astrophysics Data System (ADS)

    Iglesias, E. J.; Mitschker, F.; Fiebrandt, M.; Bibinov, N.; Awakowicz, P.

    2017-08-01

    Ultraviolet (UV) and vacuum ultraviolet (VUV) spectral irradiance is determined in low-pressure microwave-produced plasma, which is regularly used for polymer surface treatment. The re-emitted fluorescence in the UV/VIS spectral range from a sodium salicylate layer is measured. This fluorescence is related to VUV/UV radiation in different spectral bands based on cut-off filters. The background produced by direct emitted radiation in the fluorescence spectral region is quantified using a specific background filter, thus enabling the use of the whole fluorescence spectral range. A novel procedure is applied to determine the absolute value of the VUV/UV irradiance on a substrate. For that, an independent measurement of the absolute spectral emissivity of the plasma in the UV is performed. The measured irradiances on a substrate from a 25 Pa Ar/O2-produced plasma are in the range of 1015-1016 (photon~ s-1 cm-2). These values include the contribution from impurities present in the discharge.

  3. Heat treatment of Na2O-CaO-P2O5-SiO2 bioactive glasses: densification processes and postsintering bioactivity.

    PubMed

    Sola, A; Bellucci, D; Raucci, M G; Zeppetelli, S; Ambrosio, L; Cannillo, V

    2012-02-01

    Because of their excellent bioactivity, bioactive glasses are increasingly diffused to produce biomedical devices for bone prostheses, to face the dysfunctions that may be caused by traumatic events, diseases, or even natural aging. However, several processing routes, such as the production of scaffolds or the deposition of coatings, include a thermal treatment to apply or sinter the glass. The exposure to high temperature may induce a devetrification phenomenon, altering the properties and, in particular, the bioactivity of the glass. The present contribution offers an overview of the thermal behavior and properties of two glasses belonging to the Na2O-CaO-P2O5-SiO2 system, to be compared to the standard 45S5 Bioglass(®). The basic goal is to understand the effect of both the original composition and the thermal treatment on the performance of the sintered glasses. The new glasses, the one (BG_Na) with a high content of Na2O, the other (BG_Ca) with a high content of CaO, were fully characterized and sintering tests were performed to define the most interesting firing cycles. The sintered samples, treated at 880°C and 800°C respectively, were investigated from a microstructural point of view and their mechanical properties were compared to those of the bulk (not sintered) glass counterparts. The effect of sintering was especially striking on the BG_Ca material, whose Vickers hardness increased from 598.9 ± 46.7 HV to 1053.4 ± 35.0 HV. The in vitro tests confirmed the ability of the glasses, both in bulk and sintered form, of generating a hydroxyapatite surface layer when immersed in a simulated body fluid. More accurate biological tests performed on the sintered glasses proved the high bioactivity of the CaO-rich composition even after a heat treatment. Copyright © 2011 Wiley Periodicals, Inc.

  4. Oyster Shell Recycling and Bone Waste Treatment Using Plasma Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jae, Ou Chae; Knak, S. P.; Knak, A. N.; Koo, H. J.; Ravi, V.

    2006-11-01

    Investigations on the recycling of oyster shells and bone waste treatment using the plasma pyrolysis technique are presented in this paper. A arc based plasma torch operated at 25 kW was employed for the experiments. Fresh oyster shells were recycled using the plasma torch to convert them to a useful product such as CaO. Bone waste was treated to remove the infectious organic part and to vitrify the inorganic part. The time required for treatment in both cases was significantly short. Significant reduction in the weight of the samples was observed in both cases.

  5. Cold atmospheric-pressure air plasma treatment of C6 glioma cells: effects of reactive oxygen species in the medium produced by the plasma on cell death

    NASA Astrophysics Data System (ADS)

    Wang, Yuyang; Cheng, Cheng; Gao, Peng; Li, Shaopeng; Shen, Jie; Lan, Yan; Yu, Yongqiang; Chu, Paul K.

    2017-02-01

    An atmospheric-pressure air plasma is employed to treat C6 glioma cells in vitro. To elucidate on the mechanism causing cell death and role of reactive species (RS) in the medium produced by the plasma, the concentration of the long-lived RS such as hydrogen peroxide, nitrate, and ozone in the plasma-treated liquid (phosphate-buffered saline solution) is measured. When vitamin C is added to the medium as a ROS quencher, the viability of C6 glioma cells after the plasma treatment is different from that without vitamin C. The results demonstrate that reactive oxygen species (ROS) such as H2O2, and O3 constitute the main factors for inactivation of C6 glioma cells and the reactive nitrogen species (RNS) may only play an auxiliary role in cell death.

  6. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window.

    PubMed

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-15

    SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO 2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV-vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 samples fabricated by the containerless process and SPS between 852 °C-857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  7. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-01

    SrAl2O4-Sr3Al2O6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV–vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl2O4-Sr3Al2O6 samples fabricated by the containerless process and SPS between 852 °C–857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl2O4-Sr3Al2O6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  8. Optical emission spectroscopic studies and comparisons of CH{sub 3}F/CO{sub 2} and CH{sub 3}F/O{sub 2} inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lou, Qiaowei; Kaler, Sanbir; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2015-03-15

    A CH{sub 3}F/CO{sub 2} inductively coupled plasma (ICP), sustained in a compact plasma reactor, was investigated as a function of power (5–400 W) and feed gas composition, at a pressure of 10 mTorr, using optical emission spectroscopy and rare gas actinometry. Number densities of H, F, and O increased rapidly between 74% and 80% CO{sub 2}, ascribed to the transition from polymer-covered to polymer-free reactor walls, similar to that found previously in CH{sub 3}F/O{sub 2} ICPs at 48% O{sub 2}. Below 40% O{sub 2} or CO{sub 2}, relative emission intensity ratios were almost identical for most key species in CH{sub 3}F/O{submore » 2} and CH{sub 3}F/CO{sub 2} ICPs except for higher OH/Xe (a qualitative measure of OH and H{sub 2}O densities) over the full range of CH{sub 3}F/O{sub 2} composition. The number density of H, F, and O increased with power in CH{sub 3}F/CO{sub 2} (20%/80%) plasmas (polymer-free walls), reaching 4.0, 0.34, and 1.6 × 10{sup 13}/cm{sup 3}, respectively, at 300 W. The CO number density increased with power and was estimated, based on self-actinometry, to be 8.8 × 10{sup 13}/cm{sup 3} at 300 W. The CO{sub 2} number density was independent of power below 40 W (where very little decomposition occurred), and then decreased rapidly with increasing power, reaching 2.8 × 10{sup 13}/cm{sup 3} at 300 W, corresponding to 83% dissociation. Films deposited on p-Si, 10 cm from the open, downstream end of the plasma reactor, were analyzed by x-ray photoelectron spectroscopy. Between 10% and 40% CO{sub 2} or O{sub 2} addition to CH{sub 3}F, film deposition rates fell and O content in the films increased. Faster deposition rates in CH{sub 3}F/CO{sub 2} plasmas were ascribed mainly to a larger thermodynamic driving force to form solid carbon, compared with CH{sub 3}F/O{sub 2} plasmas. Oxygen content in the films increased with increasing CO{sub 2} or O{sub 2} addition, but for the same deposition rate, no substantial differences

  9. The effect of plasma pre-treatment on NaHCO3 desizing of blended sizes on cotton fabrics

    NASA Astrophysics Data System (ADS)

    Li, Xuming; Qiu, Yiping

    2012-03-01

    The influence of the He/O2 atmospheric pressure plasma jet pre-treatment on subsequent NaHCO3 desizing of blends of starch phosphate and poly(vinyl alcohol) on cotton fabrics is investigated. Atomic force microscopy and scanning electron microscopy analysis indicate that the surface topography of the samples has significantly changed and the surface roughness increases with an increase in plasma exposure time. X-ray photoelectron spectroscopy analysis shows that a larger number of oxygen-containing polar groups are formed on the sized fabric surface after the plasma treatment. The results of the percent desizing ratio (PDR) indicate that the plasma pretreatment facilitated the blended sizes removal from the cotton fabrics in subsequent NaHCO3 treatment and the PDR increases with prolonging plasma treatment time. The plasma technology is a promising pretreatment for desizing of blended sizes due to dramatically reduced desizing time.

  10. Heterogeneous processes in CF4/O2 plasmas probed using laser-induced fluorescence of CF2

    NASA Astrophysics Data System (ADS)

    Hansen, S. G.; Luckman, G.; Nieman, George C.; Colson, Steven D.

    1990-09-01

    Laser-induced fluorescence of CF2 is used to monitor heterogeneous processes in ≊300 mTorr CF4/O2 plasmas. CF2 is rapidly removed at fluorinated copper and silver surfaces in 13.56-MHz rf discharges as judged by a distinct dip in its spatial distribution. These metals, when employed as etch masks, are known to accelerate plasma etching of silicon, and the present results suggest catalytic dehalogenation of CF2 is involved in this process. In contrast, aluminum and silicon dioxide exhibit negligible reactivity with CF2, which suggests that aluminum masks will not appreciably accelerate silicon etching and that ground state CF2 does not efficiently etch silicon dioxide. Measurement of CF2 decay in a pulsed discharge coupled with direct laser sputtering of metal into the gas phase indicates the interaction between CF2 and the active metals is purely heterogeneous. Aluminum does, however, exhibit homogeneous reactivity with CF2. Redistribution of active metal by plasma sputtering readily occurs; silicon etch rates may also be enhanced by the metal's presence on the silicon surface. Polymers contribute CF2 to the plasma as they etch. The observation of an induction period suggests fluorination of the polymer surface is the first step in its degradation. Polymeric etch masks can therefore depress the silicon etch rate by removal of F atoms, the primary etchants.

  11. Experimental demonstration of single electron transistors featuring SiO{sub 2} plasma-enhanced atomic layer deposition in Ni-SiO{sub 2}-Ni tunnel junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karbasian, Golnaz, E-mail: Golnaz.Karbasian.1@nd.edu; McConnell, Michael S.; Orlov, Alexei O.

    The authors report the use of plasma-enhanced atomic layer deposition (PEALD) to fabricate single-electron transistors (SETs) featuring ultrathin (≈1 nm) tunnel-transparent SiO{sub 2} in Ni-SiO{sub 2}-Ni tunnel junctions. They show that, as a result of the O{sub 2} plasma steps in PEALD of SiO{sub 2}, the top surface of the underlying Ni electrode is oxidized. Additionally, the bottom surface of the upper Ni layer is also oxidized where it is in contact with the deposited SiO{sub 2}, most likely as a result of oxygen-containing species on the surface of the SiO{sub 2}. Due to the presence of these surface parasitic layersmore » of NiO, which exhibit features typical of thermally activated transport, the resistance of Ni-SiO{sub 2}-Ni tunnel junctions is drastically increased. Moreover, the transport mechanism is changed from quantum tunneling through the dielectric barrier to one consistent with thermally activated resistors in series with tunnel junctions. The reduction of NiO to Ni is therefore required to restore the metal-insulator-metal (MIM) structure of the junctions. Rapid thermal annealing in a forming gas ambient at elevated temperatures is presented as a technique to reduce both parasitic oxide layers. This method is of great interest for devices that rely on MIM tunnel junctions with ultrathin barriers. Using this technique, the authors successfully fabricated MIM SETs with minimal trace of parasitic NiO component. They demonstrate that the properties of the tunnel barrier in nanoscale tunnel junctions (with <10{sup −15} m{sup 2} in area) can be evaluated by electrical characterization of SETs.« less

  12. Optimizing Thermoelectric Properties of In Situ Plasma-Spray-Synthesized Sub-stoichiometric TiO2-x Deposits

    NASA Astrophysics Data System (ADS)

    Lee, Hwasoo; Seshadri, Ramachandran Chidambaram; Pala, Zdenek; Sampath, Sanjay

    2018-06-01

    In this article, an attempt has been made to relate the thermoelectric properties of thermal spray deposits of sub-stoichiometric titania to process-induced phase and microstructural variances. The TiO2-x deposits were formed through the in situ reaction of the TiO1.9 or TiO1.7 feedstock within the high-temperature plasma flame and manipulated via varying the amounts of hydrogen fed into in the thermal plasma. Changes in the flow rates of H2 in the plasma plume greatly affected the in-flight particle behavior and composition of the deposits. For reference, a high-velocity oxy-fuel spray torch was also used to deposit the two varieties of feedstocks. Refinements to the representation of the in-flight particle characteristics derived via single particle and ensemble diagnostic methods are proposed using the group parameters (melting index and kinetic energy). The results show that depending on the value of the melting index, there is an inverse proportional relationship between electrical conductivity and Seebeck coefficient, whereas thermal conductivity has a directly proportional relationship with the electrical conductivity. Retention of the original phase and reduced decomposition is beneficial to retain the high Seebeck coefficient or the high electrical conductivity in the TiO2 system.

  13. Photodegradation of pharmaceuticals and personal care products during UV and UV/H2O2 treatments.

    PubMed

    Kim, Ilho; Yamashita, Naoyuki; Tanaka, Hiroaki

    2009-10-01

    Photodegradation characteristics of pharmaceuticals and personal care products (PPCPs) and the effectiveness of H(2)O(2) addition for PPCPs photodegradation during UV treatment were examined in this study. Average k (1st order rate constant) value for all the PPCPs investigated increased by a factor of 1.3 by H(2)O(2) addition during UV treatment using biologically treated water (TW) spiked with the 30 PPCPs. Therefore, the effectiveness of H(2)O(2) addition for PPCPs removal during UV treatment in real wastewater treatment process was expected. It could be also known that H(2)O(2) addition would improve photodegradation rates of PPCPs highly resistant for UV treatment such as DEET, ethenzamide and theophylline. UV dose required for 90% degradation of each PPCP was calculated from k values obtained in UV and UV/H(2)O(2) treatment experiments using TW spiked with 30 PPCPs. For UV treatment, UV dose required for degrading each PPCP by 90% of initial concentration ranged from 38 mJ cm(-2) to 5644 mJ cm(-2), indicating that most of PPCPs will not be removed sufficiently in UV disinfection process in wastewater treatment plant. For UV/H(2)O(2) treatment, all the PPCPs except seven PPCPs including cyclophosphamide and 2-QCA were degraded by more than 90% by UV irradiation for 30 min (UV dose: 691 mJ cm(-2)), indicating that H(2)O(2) addition during UV treatment will be highly effective for improving the degradation of PPCPs by UV, even though much higher UV dose is still necessary comparing to for UV disinfection.

  14. Electrooxidation as the anaerobic pre-treatment of fats: oleate conversion using RuO2 and IrO2 based anodes.

    PubMed

    Gonçalves, M; Alves, M M; Correia, J P; Marques, I P

    2008-11-01

    Electrochemical treatment of oleate using RuO2 and IrO2 type dimensionally stable anodes in alkaline medium was performed to develop a feasible anaerobic pre-treatment of fatty effluents. The results showed that the pre-treated solutions over RuO2 were faster degraded by anaerobic consortium than the raw oleate solutions or the electrolysed solutions using IrO2. In batch experiments carried out with pre-treated solutions over RuO2 (100-500mg/L), no lag phases were observed before the methane production onset. On the other hand, raw oleate and pre-treated oleate over IrO2 had originated lag phases of 0-140 and 0-210h, respectively. This study demonstrated that it is advantageous to apply the electrochemical treatment carried out on the RuO2 type DSA in order to achieve a faster biodegradation of lipid-containing effluent and consequently to obtain a faster methane production.

  15. Influence of heat treatments upon the mechanical properties and in vitro bioactivity of ZrO2-toughened MgO-CaO-SiO2-P2O5-CaF2 glass-ceramics.

    PubMed

    Li, Huan-Cai; Wang, Dian-Gang; Meng, Xiang-Guo; Chen, Chuan-Zhong

    2014-09-01

    Zirconia-toughened MgO-CaO-SiO2-P2O5-CaF2 glass-ceramics are prepared using sintering techniques, and a series of heat treatment procedures are designed to obtain a glass-ceramic with improved properties. The crystallization behavior, phase composition, and morphology of the glass-ceramics are characterized. The bending strength, elastic modulus, fracture toughness, and microhardness of the glass-ceramics are investigated, and the effect mechanism of heat treatments upon the mechanical properties is discussed. The bioactivity of glass-ceramics is then evaluated using the in vitro simulated body fluid (SBF) soaking test, and the mechanism whereby apatite forms on the glass-ceramic surfaces in the SBF solution is discussed. The results indicate that the main crystal phase of the G-24 sample undergoing two heat treatment procedures is Ca5(PO4)3F (fluorapatite), and those of the G-2444 sample undergoing four heat treatment procedures are Ca5(PO4)3F and β-CaSiO3 (β-wollastonite). The heat treatment procedures are found to greatly influence the mechanical properties of the glass-ceramic, and an apatite layer is induced on the glass-ceramic surface after soaking in the SBF solution.

  16. One-step argon/nitrogen binary plasma jet irradiation of Li4Ti5O12 for stable high-rate lithium ion battery anodes

    NASA Astrophysics Data System (ADS)

    Lan, Chun-Kai; Chuang, Shang-I.; Bao, Qi; Liao, Yen-Ting; Duh, Jenq-Gong

    2015-02-01

    Atmospheric pressure Ar/N2 binary plasma jet irradiation has been introduced into the manufacturing process of lithium ions batteries as a facile, green and scalable post-fabrication treatment approach, which enhanced significantly the high-rate anode performance of lithium titanate (Li4Ti5O12). Main emission lines in Ar/N2 plasma measured by optical emission spectroscopy reveal that the dominant excited high-energy species in Ar/N2 plasma are N2*, N2+, N∗ and Ar∗. Sufficient oxygen vacancies have been evidenced by high resolution X-ray photoelectron spectroscopy analysis and Raman spectra. Nitrogen doping has been achieved simultaneously by the surface reaction between pristine Li4Ti5O12 particles and chemically reactive plasma species such as N∗ and N2+. The variety of Li4Ti5O12 particles on the surface of electrodes after different plasma processing time has been examined by grazing incident X-Ray diffraction. Electrochemical impedance spectra (EIS) confirm that the Ar/N2 atmospheric plasma treatment facilitates Li+ ions diffusion and reduces the internal charge-transfer resistance. The as-prepared Li4Ti5O12 anodes exhibit a superior capacity (132 mAh g-1) and excellent stability with almost no capacity decay over 100 cycles under a high C rate (10C).

  17. Effect of high-pressure H{sub 2}O treatment on elimination of interfacial GeO{sub X} layer between ZrO{sub 2} and Ge stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Chen-Shuo; Liu, Po-Tsun

    2011-08-22

    This investigation demonstrates the effect of high-pressure H{sub 2}O treatment on the elimination of the interfacial germanium suboxide (GeO{sub X}) layer between ZrO{sub 2} and Ge. The formation of GeO{sub X} interlayer increases the gate-leakage current and worsen the controllability of the gate during deposition or thermal cycles. X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy reveal that high-pressure H{sub 2}O treatment eliminates the interfacial GeO{sub X} layer. The physical mechanism involves the oxidation of non-oxidized Zr with H{sub 2}O and the reduction of GeO{sub X} by H{sub 2}. Treatment with H{sub 2}O reduces the gate-leakage current of a ZrO{submore » 2}/Ge capacitor by a factor of 1000.« less

  18. Impression of plasma voltage on growth of α-V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Sharma, Rabindar Kumar; Kumar, Prabhat; Reddy, G. B.

    2015-06-01

    In this communication, we synthesized vanadium pentoxide (α-V2O5) nanostructured thin films (NSTs) accompanied with nanoflakes/ nanoplates on the Ni-coated glass substrates employing plasma assisted sublimation process (PASP) as a function of plasma voltage (Vp). The effect of plasma voltage on structural, morphological, compositional, and vibrational properties have been studied systematically. The structural analysis divulged that all films deposited at different Vp have pure orthorhombic phase, no impurity phase is detected under resolution limit of XRD and XPS. The morphological studies of samples is carried out by SEM, revealed that features as well as alignment of V2O5 NSTs is greatly monitored by Vp and the film possessing the best features is obtained at 2500volt. In addition, XPS results reveal that V5+ oxidation state is the most prominent state in sample V2, which represents better stoichiometric nature of film. The vibrational study of all samples is performed by FTIR and strongly support the XRD observations. All the results are in consonance with each other.

  19. Promotional effect of Al2O3 on WO3/CeO2-ZrO2 monolithic catalyst for selective catalytic reduction of nitrogen oxides with ammonia after hydrothermal aging treatment

    NASA Astrophysics Data System (ADS)

    Xu, Haidi; Liu, Shuang; Wang, Yun; Lin, Qingjin; Lin, Chenlu; Lan, Li; Wang, Qin; Chen, Yaoqiang

    2018-01-01

    Hydrothermal stability of catalysts for selective catalytic reduction of NOx with NH3 (NH3-SCR) has always been recognized as a challenge in development of candidate catalysts for applications in diesel engine emissions. In this study, Al2O3 was introduced into CeO2-ZrO2 to improve the NH3-SCR activity of WO3/CeO2-ZrO2 after hydrothermal aging (HA) treatment at 800 °C for 12 h. The activity results indicated that the NH3-SCR activity of WO3/CeO2-ZrO2-HA was obviously improved in the whole reaction temperature range after doping Al2O3 into CeO2-ZrO2, for example, the average and maximum NOx conversion were separately increased by ca. 20% and 25% after HA treatment. XRD, Raman, TEM and EDX results revealed that the introduction of Al2O3 inhibited the sintering and agglomeration of CeO2-ZrO2 and WO3 and the formation of Ce2(WO4)3 after HA treatment. Accordingly, WO3/CeO2-ZrO2-Al2O3-HA showed remarkably improved structural stability and reducibility, increased surface acidity, and facilitated the reactivity between adsorbed NH3 and nitrate species, which together contributed to its better catalytic performance after hydrothermal aging treatment.

  20. Surface Functionalization of Polyethylene Granules by Treatment with Low-Pressure Air Plasma.

    PubMed

    Šourková, Hana; Primc, Gregor; Špatenka, Petr

    2018-05-25

    Polyethylene granules of diameter 2 mm were treated with a low-pressure weakly ionized air plasma created in a metallic chamber by a pulsed microwave discharge of pulse duration 180 μs and duty cycle 70%. Optical emission spectroscopy showed rich bands of neutral nitrogen molecules and weak O-atom transitions, but the emission from N atoms was below the detection limit. The density of O atoms in the plasma above the samples was measured with a cobalt catalytic probe and exhibited a broad peak at the pressure of 80 Pa, where it was about 2.3 × 10 21 m -3 . The samples were characterized by X-ray photoelectron spectroscopy. Survey spectra showed oxygen on the surface, while the nitrogen concentration remained below the detection limit for all conditions. The high-resolution C1s peaks revealed formation of various functional groups rather independently from treatment parameters. The results were explained by extensive dissociation of oxygen molecules in the gaseous plasma and negligible flux of N atoms on the polymer surface.

  1. Effect of heat treatment on the optical properties of perovskite BaZr0.5Ce0.3Y0.2O3-δ ceramic prepared by spark plasma sintering

    NASA Astrophysics Data System (ADS)

    Xing, Bohang; Cheng, Zhi; Wang, Cao; Zhao, Zhe

    2017-09-01

    The effect of heat treatment on the in-line transmittance of BaZr0.5Ce0.3Y0.2O3-δ (BZCY532) ceramics prepared by spark plasma sintering method was investigated. The loss of Ba in transparent BZCY532 ceramics is the key reason for the loss of transmittance during the annealing process. This problem can be effectively alleviated by using a powder bed of BZCY532. Heat treatment atmospheres, wet air and dry air, were also found to be critical for obtaining high quality transparent ceramics. A highly transparent BZCY532 ceramic with the in-line transmittance (Tin) of 71.4% at 2000 nm can be obtained by using SPS method followed by an annealing in powder bed at 1500 °C in wet air.

  2. A comparative study: Effect of plasma on V2O5 nanostructured thin films

    NASA Astrophysics Data System (ADS)

    Singh, Megha; Kumar, Prabhat; Sharma, Rabindar K.; Reddy, G. B.

    2016-05-01

    Vanadium pentoxide nanostructured thin films (NSTs) have been studied to analyze the effect of plasma on nanostructures grown and morphology of films deposited using sublimation process. Nanostructured thin films were deposited on glass substrates, one in presence of oxygen plasma and other in oxygen environment (absence of plasma). Films were characterized using XRD, Raman spectroscopy, SEM and HRTEM. XRD studies revealed α-V2O5 films (orthorhombic phase) with good crystallinity. However, film deposited in presence of plasma have higher peak intensities as compared to those deposited in absence of plasma. Raman studies also support these finding following same trends of considerable increase in intensity in case of film deposited in presence of plasma. SEM micrographs makes the difference more visible, as film deposited in plasma have well defined plate like structures whereas other film have not-clearly-defined petal-like structures. HRTEM results show orthorhombic phase with 0.39 nm interplanar spacing, as reported by XRD. Results are hereby in good agreement with each other.

  3. Ion Energy and Ion Flux Distributions of CF4/Ar/O2 Inductively Coupled Plasmas in a GEC Cell

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Knowledge of ion kinetics in plasma processing gas mixtures, such as CF4:Ar:O2, is important for understanding plasma assisted etching and deposition of materials. Ion energies and ion fluxes were measured in this mixture for 80:10:10, 60:20:20, and 40:30:30 mixture ratios in the pressure range of 10-50 mTorr, and at 200 and 300 W of RF power. Ions from plasma, sampled through a 10 micron orifice in the center of the lower plane electrode, were energy and mass analyzed by a combination of electrostatic energy and quadrupole mass filters. CFx(+) (x = 1 - 3), F2(+), F(+), C(+) from CF4, Ar(+) from Ar, and O2(+) and O(+) from O2, and by-product ions SiFx(+)(x = 1 - 3) from etching of quartz coupling window, COFx(+)(x = 1 - 3), CO(+), CO2(+), and OF(+) were detected. In all conditions ion flux decreases with increase of pressure but increase with increase of RF power. Ar(+) signal decreases with increase of pressure while CF3(+), which is the dominant ion at all conditions, increases with increase in pressure. The loss mechanism for Ar(+) and increase of CF3(+) is due to large cross section for Ar(+) + CF4 yields Ar + CF3(+) + F. Ion energies, which range from 15-25 eV depending on plasma operating conditions, are nearly Gaussian. By-product ion signals are higher at lower pressures indicating stronger plasma interaction with quartz window.

  4. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  5. Effects of SF6 plasma treatment on the properties of InGaZnO thin films

    NASA Astrophysics Data System (ADS)

    Choi, Jinsung; Bae, Byung Seong; Yun, Eui-Jung

    2018-03-01

    The effects of sulfur hexafluoride (SF6) plasma on the properties of amorphous InGaZnO (a-IGZO) thin films were examined. The properties of the a-IGZO thin films were characterized by Hall effect measurement, dynamic secondary ion mass spectroscopy (SIMS), and X-ray photoelectron spectroscopy (XPS). The IGZO thin films treated with SF6 plasma before annealing had a very high resistance mainly owing to the inclusion of S into the film surface, as evidenced by SIMS profiles. On the other hand, the samples treated with SF6 plasma after annealing showed better electrical properties with a Hall mobility of 10 cm2/(V·s) than the untreated samples or the samples SF6 plasma-treated before annealing. This was attributed to the increase in the number of oxygen vacancy defects in the a-IGZO thin films owing to the enhanced out-diffusion of O to the ambient and the increase in the number of F-related donor defects originating from the incorporation of a much larger amount of F than of S into the film surface, which were confirmed by XPS and SIMS.

  6. Controlling the defects and transition layer in SiO2 films grown on 4H-SiC via direct plasma-assisted oxidation

    PubMed Central

    Kim, Dae-Kyoung; Jeong, Kwang-Sik; Kang, Yu-Seon; Kang, Hang-Kyu; Cho, Sang W.; Kim, Sang-Ok; Suh, Dongchan; Kim, Sunjung; Cho, Mann-Ho

    2016-01-01

    The structural stability and electrical performance of SiO2 grown on SiC via direct plasma-assisted oxidation were investigated. To investigate the changes in the electronic structure and electrical characteristics caused by the interfacial reaction between the SiO2 film (thickness ~5 nm) and SiC, X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), density functional theory (DFT) calculations, and electrical measurements were performed. The SiO2 films grown via direct plasma-assisted oxidation at room temperature for 300s exhibited significantly decreased concentrations of silicon oxycarbides (SiOxCy) in the transition layer compared to that of conventionally grown (i.e., thermally grown) SiO2 films. Moreover, the plasma-assisted SiO2 films exhibited enhanced electrical characteristics, such as reduced frequency dispersion, hysteresis, and interface trap density (Dit ≈ 1011 cm−2 · eV−1). In particular, stress induced leakage current (SILC) characteristics showed that the generation of defect states can be dramatically suppressed in metal oxide semiconductor (MOS) structures with plasma-assisted oxide layer due to the formation of stable Si-O bonds and the reduced concentrations of SiOxCy species defect states in the transition layer. That is, energetically stable interfacial states of high quality SiO2 on SiC can be obtained by the controlling the formation of SiOxCy through the highly reactive direct plasma-assisted oxidation process. PMID:27721493

  7. MPK6 controls H2 O2-induced root elongation by mediating Ca2+ influx across the plasma membrane of root cells in Arabidopsis seedlings.

    PubMed

    Han, Shuan; Fang, Lin; Ren, Xuejian; Wang, Wenle; Jiang, Jing

    2015-01-01

    Mitogen-activated protein kinases (MPKs) play critical roles in signalling and growth, and Ca(2+) and H2 O2 control plant growth processes associated with abscisic acid (ABA). However, it remains unclear how MPKs are involved in H2 O2 - and Ca(2+) -mediated root elongation. Root elongation in seedlings of the loss-of-function mutant Atmpk6 (Arabidopsis thaliana MPK6) was less sensitive to moderate H2 O2 or ABA than that in wild-type (WT) plants. The enhanced elongation was a result of root cell expansion. This effect disappeared when ABA-induced H2 O2 accumulation or the cytosolic Ca(2+) increase were defective. Molecular and biochemical evidence showed that increased expression of the cell wall peroxidase PRX34 in Atmpk6 root cells enhanced apoplastic H2 O2 generation; this promoted a cytosolic Ca(2+) increase and Ca(2+) influx across the plasma membrane. The plasma membrane damage caused by high levels of H2 O2 was ameliorated in a Ca(2+) -dependent manner. These results suggested that there was intensified PRX34-mediated H2 O2 generation in the apoplast and increased Ca(2+) flux into the cytosol of Atmpk6 root cells; that is, the spatial separation of apoplastic H2 O2 from cytosolic Ca(2+) in root cells prevented H2 O2 -induced inhibition of root elongation in Atmpk6 seedlings. © 2014 The Authors. New Phytologist © 2014 New Phytologist Trust.

  8. Investigation on interfacial and electrical properties of Ge MOS capacitor with different NH3-plasma treatment procedure

    NASA Astrophysics Data System (ADS)

    Liu, Xiaoyu; Xu, Jingping; Liu, Lu; Cheng, Zhixiang; Huang, Yong; Gong, Jingkang

    2017-08-01

    The effects of different NH3-plasma treatment procedures on interfacial and electrical properties of Ge MOS capacitors with stacked gate dielectric of HfTiON/TaON were investigated. The NH3-plasma treatment was performed at different steps during fabrication of the stacked gate dielectric, i.e. before or after interlayer (TaON) deposition, or after deposition of high-k dielectric (HfTiON). It was found that the excellent interface quality with an interface-state density of 4.79 × 1011 eV-1 cm-2 and low gate leakage current (3.43 × 10-5 A/cm2 at {V}{{g}}=1 {{V}}) could be achieved for the sample with NH3-plasma treatment directly on the Ge surface before TaON deposition. The involved mechanisms are attributed to the fact that the NH3-plasma can directly react with the Ge surface to form more Ge-N bonds, i.e. more GeO x Ny, which effectively blocks the inter-diffusion of elements and suppresses the formation of unstable GeO x interfacial layer, and also passivates oxygen vacancies and dangling bonds near/at the interface due to more N incorporation and decomposed H atoms from the NH3-plasma. Project supported by the National Natural Science Foundation of China (Nos. 61176100, 61274112).

  9. Dose-Dependent Effects of CeO2 on Microstructure and Antibacterial Property of Plasma-Sprayed TiO2 Coatings for Orthopedic Application

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaobing; Liu, Gaopeng; Zheng, Hai; Cao, Huiliang; Liu, Xuanyong

    2015-02-01

    Titanium and its alloys have been used extensively for orthopedic and dental implants. Although these devices have achieved high rates of success, two major complications may be encountered: the lack of osseointegration and the biomaterial-related infection. Accordingly, cerium oxide (CeO2)-doped titanium oxide (TiO2) materials were coated on titanium by an atmospheric plasma spraying (APS) technique. The phase structures, morphologies, and surface chemical states of the obtained coatings were characterized by x-ray diffraction, scanning electron microscopy, and x-ray photoelectron spectroscopy techniques. The in vitro antibacterial and cytocompatibility of the materials were studied with Staphylococcus aureus ( S. aureus, ATCC25923) and osteoblast precursor cell line MC3T3-E1. The results indicated that the addition of CeO2 shifts slightly the diffraction peaks of TiO2 matrix to low angles but does not change its rutile phase structure. In addition, the CeO2/TiO2 composite coatings possess dose-dependent corrosion resistance and antimicrobial properties. And doping of 10 wt.% CeO2 exhibits the highest activity against S. aureus, improved corrosion resistance, and competitive cytocompatibility, which argues a promising option for balancing the osteogenetic and antibacterial properties of titanium implants.

  10. Consequences of plasma oxidation and vacuum annealing on the chemical properties and electron accumulation of In2O3 surfaces

    NASA Astrophysics Data System (ADS)

    Berthold, Theresa; Rombach, Julius; Stauden, Thomas; Polyakov, Vladimir; Cimalla, Volker; Krischok, Stefan; Bierwagen, Oliver; Himmerlich, Marcel

    2016-12-01

    The influence of oxygen plasma treatments on the surface chemistry and electronic properties of unintentionally doped and Mg-doped In2O3(111) films grown by plasma-assisted molecular beam epitaxy or metal-organic chemical vapor deposition is studied by photoelectron spectroscopy. We evaluate the impact of semiconductor processing technology relevant treatments by an inductively coupled oxygen plasma on the electronic surface properties. In order to determine the underlying reaction processes and chemical changes during film surface-oxygen plasma interaction and to identify reasons for the induced electron depletion, in situ characterization was performed implementing a dielectric barrier discharge oxygen plasma as well as vacuum annealing. The strong depletion of the initial surface electron accumulation layer is identified to be caused by adsorption of reactive oxygen species, which induce an electron transfer from the semiconductor to localized adsorbate states. The chemical modification is found to be restricted to the topmost surface and adsorbate layers. The change in band bending mainly depends on the amount of attached oxygen adatoms and the film bulk electron concentration as confirmed by calculations of the influence of surface state density on the electron concentration and band edge profile using coupled Schrödinger-Poisson calculations. During plasma oxidation, hydrocarbon surface impurities are effectively removed and surface defect states, attributed to oxygen vacancies, vanish. The recurring surface electron accumulation after subsequent vacuum annealing can be consequently explained by surface oxygen vacancies.

  11. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  12. Synthesis mechanism and preparation of LaMgAl11O19 powder for plasma spraying

    NASA Astrophysics Data System (ADS)

    He, Mingtao; Meng, Huimin; Wang, Yuchao; Ren, Pengwei

    2018-06-01

    Lanthanide magnesium hexaaluminate (LaMgAl11O19) powders were successfully synthesized by the solid-state reaction method. The objective of this study was to investigate the synthesis mechanism of LaMgAl11O19 and prepare LaMgAl11O19 powders suitable for plasma spraying. The results show that LaAlO3 reacts with MgAl2O4 and Al2O3 to form LaMgAl11O19 at approximately 1300 °C. Single-phase LaMgAl11O19 powders were prepared successfully by solid-state reaction at a synthesis temperature of 1600 °C for 6 h. Unlike the particles in the synthesized powders, those of the centrifugally spray-dried powders have a spherical shape with uniform granularity and good flowability, density, and particle size distribution, making them suitable for plasma spraying. The synthesized powders and centrifugally spray-dried powders remained as a single phase after heat treatment at 1300 °C for 100 h, indicating that LaMgAl11O19 has excellent high-temperature stability.

  13. Modeling the chemical kinetics of atmospheric plasma for cell treatment in a liquid solution

    NASA Astrophysics Data System (ADS)

    Kim, H. Y.; Lee, H. W.; Kang, S. K.; Wk. Lee, H.; Kim, G. C.; Lee, J. K.

    2012-07-01

    Low temperature atmospheric pressure plasmas have been known to be effective for living cell inactivation in a liquid solution but it is not clear yet which species are key factors for the cell treatment. Using a global model, we elucidate the processes through which pH level in the solution is changed from neutral to acidic after plasma exposure and key components with pH and air variation. First, pH level in a liquid solution is changed by He+ and He(21S) radicals. Second, O3 density decreases as pH level in the solution decreases and air concentration decreases. It can be a method of removing O3 that causes chest pain and damages lung tissue when the density is very high. H2O2, HO2, and NO radicals are found to be key factors for cell inactivation in the solution with pH and air variation.

  14. Plasma treatments of wool fiber surface for microfluidic applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeon, So-Hyoun; Hwang, Ki-Hwan; Lee, Jin Su

    Highlights: • We used atmospheric plasma for tuning the wettability of wool fibers. • The wicking rates of the wool fibers increased with increasing treatment time. • The increasing of wettability results in removement of fatty acid on the wool surface. - Abstract: Recent progress in health diagnostics has led to the development of simple and inexpensive systems. Thread-based microfluidic devices allow for portable and inexpensive field-based technologies enabling medical diagnostics, environmental monitoring, and food safety analysis. However, controlling the flow rate of wool thread, which is a very important part of thread-based microfluidic devices, is quite difficult. For thismore » reason, we focused on thread-based microfluidics in the study. We developed a method of changing the wettability of hydrophobic thread, including wool thread. Thus, using natural wool thread as a channel, we demonstrate herein that the manipulation of the liquid flow, such as micro selecting and micro mixing, can be achieved by applying plasma treatment to wool thread. In addition to enabling the flow control of the treated wool channels consisting of all natural substances, this procedure will also be beneficial for biological sensing devices. We found that wools treated with various gases have different flow rates. We used an atmospheric plasma with O{sub 2}, N{sub 2} and Ar gases.« less

  15. Synthesis of [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y Ceramic and its Application in Efficient Plasma Decomposition of CO2

    NASA Astrophysics Data System (ADS)

    Li, Ruixing; Tang, Qing; Yin, Shu; Sato, Tsugio

    According to both the first principle and materials chemistry, a method for fabricating [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y ceramic was investigated. It was considered that the sintering was promoted by self-accelerated diffusion due to the formation of point defects caused by doping with Li2Si2O5. Consequently, a concept of non-stoichiometrically activated sintering, which was enhanced by point defects without the help of a grain boundary phase, was systematically studied in the Ca1-xSrxTiO3-Li2Si2O5 system. The mechanical and dielectric properties of [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y were greatly enhanced by adding Li2Si2O5. To improve CO2 decomposition activity, [(Ca1-xSrx)2-2y](Ti2-2yLi2y)Si2yO6-y, which possesses both high permittivity and high dielectric strength was used as a dielectric barrier to decompose CO2 by dielectric barrier discharges (DBDs) plasma without using any catalyst and auxiliary substance. It successfully generated DBDs plasma and the CO2 conversion was much higher than that using an alumina or a silica glass barrier which was widely used as the dielectric barrier in previous studies.

  16. Effect of inductively coupled plasma surface treatment on silica gel and mesoporous MCM-41 particles

    NASA Astrophysics Data System (ADS)

    J, A. JUAREZ-MORENO; U, CHACON-ARGAEZ; J, BARRON-ZAMBRANO; C, CARRERA-FIGUEIRAS; P, QUINTANA-OWEN; W, TALAVERA-PECH; Y, PEREZ-PADILLA; A, AVILA-ORTEGA

    2018-06-01

    Silica gel and MCM-41 synthesized mesoporous materials were treated with either oxygen (O2), hexamethyldisiloxane (HMDSO) and organic vapors like ethanol (EtOH), and acrylonitrile (AN) inductive plasma. The radiofrequency power for the modification was fixed to 120 W and 30 min, assuring a high degree of organic ionization energy in the plasma. The surface properties were studied by infrared spectroscopy (FTIR), scanning electron microscopy, x-ray photoelectron spectroscopy and dynamic light scattering technique was used for characterizing size distributions. When the silica and MCM-41 particles were modified by AN and HMDSO plasma gases, the surface morphology of the particles was changed, presenting another color, size or shape. In contrast, the treatments of oxygen and EtOH did not affect the surface morphology of both particles, but increased the oxygen content at the surface bigger than the AN and HMDSO plasma treatments. In this study, we investigated the influence of different plasma treatments on changes in morphology and the chemical composition of the modified particles which render them a possible new adsorbent for utilization in sorptive extraction techniques for polar compounds.

  17. Gas-phase evolution of Ar/H2O and Ar/CH4 dielectric barrier discharge plasmas

    NASA Astrophysics Data System (ADS)

    Barni, Ruggero; Riccardi, Claudia

    2018-04-01

    We present some experimental results of an investigation aimed to hydrogen production with atmospheric pressure plasmas, based on the use of dielectric barrier discharges, fed with a high-voltage alternating signal at frequency 30-50 kHz, in mixtures of methane or water vapor diluted in argon. The plasma gas-phase of the discharge was investigated by means of optical and electrical diagnostics. The emission spectra of the discharges was measured with a wide band spectrometer and a photosensor module, based on a photomultiplier tube. A Rogowski coil allowed to measure the electric current flowing into the circuit and a high voltage probe was employed for evaluating the voltage at the electrodes. The analysis of the signals of voltage and current shows the presence of microdischarges between the electrodes in two alternating phases during the period of oscillation of the applied voltage. The hydrogen concentration in the gaseous mixture was measured too. Besides this experimental campaign, we present also results from a numerical modeling of chemical kinetics in the gas-phase of Ar/H2O and Ar/CH4 plasmas. The simulations were conducted under conditions of single discharge to study the evolution of the system and of fixed frequency repeated discharging. In particular in Ar/H2O mixtures we could study the evolution from early atomic dissociation in the discharge, to longer time scales, when chemical reactions take place producing an increase of the density of species such as OH, H2O2 and subsequently of H and H2. The results of numerical simulations provide some insights into the evolution happening in the plasma gas-phase during the hydrogen reforming process.

  18. Effects of chronic nitric oxide synthase inhibition on V'O2max and exercise capacity in mice.

    PubMed

    Wojewoda, M; Przyborowski, K; Sitek, B; Zakrzewska, A; Mateuszuk, L; Zoladz, J A; Chlopicki, S

    2017-03-01

    Acute inhibition of NOS by L-NAME (N ω -nitro-L-arginine methyl ester) is known to decrease maximal oxygen consumption (V'O 2max ) and impair maximal exercise capacity, whereas the effects of chronic L-NAME treatment on V'O 2max and exercise performance have not been studied so far. In this study, we analysed the effect of L-NAME treatment, (LN2 and LN12, respectively) on V'O 2max and exercise capacity (in maximal incremental running and prolonged sub-maximal incremental running tests), systemic NO bioavailability (plasma nitrite (NO 2 - ) and nitrate (NO 3 - )) and prostacyclin (PGI 2 ) production in C57BL6/J mice. Mice treated with L-NAME for 2 weeks (LN2) displayed higher V'O 2max and better running capacity than age-matched control mice. In LN2 mice, NO bioavailability was preserved, as evidenced by maintained NO 2 - plasma concentration. PGI 2 production was activated (increased 6-keto-PGF 1α plasma concentration) and the number of circulating erythrocytes (RBC) and haemoglobin concentration were increased. In mice treated with L-NAME for 12 weeks (LN12), NO bioavailability was decreased (lower NO 2 - plasma concentration), and 6-keto-PGF 1α plasma concentration and RBC number were not elevated compared to age-matched control mice. However, LN12 mice still performed better during the maximal incremental running test despite having lower V'O 2max . Interestingly, the LN12 mice showed poorer running capacity during the prolonged sub-maximal incremental running test. To conclude, short-term (2 weeks) but not long-term (12 weeks) treatment with L-NAME activated robust compensatory mechanisms involving preservation of NO2- plasma concentration, overproduction of PGI 2 and increased number of RBCs, which might explain the fully preserved exercise capacity despite the inhibition of NOS.

  19. Enhanced Chemisorption of Cu(hfac)2 on Parylene Surface by N2 Plasma Treatment

    NASA Astrophysics Data System (ADS)

    Pimanpang, S.; Wang, P.-I.; Ye, D.-X.; Juneja, J. S.; Wang, G.-C.; Lu, T.-M.

    2006-03-01

    The metallization of polymers has been intensively studied due to its wide industrial applications. We report a study of interfacial interaction of metalorganic Cu(hfac)2 with the Parylene surface. Parylene is a low k dielectric polymer prepared by a chemical vapor deposition technique. The as-deposited Parylene surface is shown to be hydrophobic with a measured water droplet contact angle ˜72 . However, after the N2 plasma treatment, the water droplet contact angle decreases to ˜40 due to the formation of oxygen and nitrogen functional groups on the surface, as observed by x-ray photoelectron spectroscopy (XPS). These functional groups improve Cu(hfac)2 chemisorption on the plasma treated Parylene surface. Further studies by XPS show that chemisorption of Cu(hfac)2 is self-limiting up to 20 sec of Cu(hfac)2 precursor exposure time. The enhancement of chemisorption of metalorganic precursors on the polymer surface is an important step for chemical vapor deposition or atomic layer deposition of metal. ^a Supported by Thai govt. fellowship (SP) and SRC (JSJ).

  20. Experimental Study of Acid Treatment Toward Characterization of Structural, Optical, and Morphological Properties of TiO2-SnO2 Composite Thin Film

    NASA Astrophysics Data System (ADS)

    Fajar, M. N.; Hidayat, R.; Triwikantoro; Endarko

    2018-04-01

    The TiO2-SnO2 thin film with single and double-layer structure has successfully synthesized on FTO (Fluorine-doped Tin Oxide) substrate using the screen printing technique. The structural, optical, and morphological properties of the film were investigated by XRD, UV-Vis, and SEM, respectively. The results showed that the single and double-layer structure of TiO2-SnO2 thin film has mixed phase with a strong formation of casseritte phase. The acid treatment effect on TiO2-SnO2 thin film decreases the peak intensity of anatase phase formation and thin film’s absorbance values. The morphological study is also revealed that the single layer TiO2-SnO2 thin film had a more porous nature and decreased particle size distribution after acid treatment, while the double-layer TiO2-SnO2 thin film Eroded due to acid treatment.

  1. Water Treatment Using Plasma Discharge with Variation of Electrode Materials

    NASA Astrophysics Data System (ADS)

    Chanan, N.; Kusumandari; Saraswati, T. E.

    2018-03-01

    This research studied water treatment using plasma discharge. Plasma generated in this study produced active species that played a role in organic compound decomposition. The plasma reactor consisted of two needle electrodes made from stainless steel, tungsten, aluminium and grafit. It placed approximately 2 mm above the solution and connected with high-AC voltage. A solution of methylene blue used as an organic solution model. Plasma treatment times were 2, 4, 6, 8 and 10 min. The absorbance, temperature and pH of the solution were measured before and after treatment using various electrodes. The best electrode used in plasma discharging for methylene blue absorbance reduction was the graphite electrode, which provided the highest degradation efficiency of 98% at 6 min of treatment time.

  2. Optimization of a RF-generated CF4/O2 gas plasma sterilization process.

    PubMed

    Lassen, Klaus S; Nordby, Bolette; Grün, Reinar

    2003-05-15

    A sterilization process with the use of RF-generated (13.56 MHz) CF(4)/O(2) gas plasma was optimized in regards to power, flow rate, exposure time, and RF-system type. The dependency of the sporicidal effect on the spore inoculum positioning in the chamber of the RF systems was also investigated. Dried Bacillus stearothermophilus ATCC 7953 endospores were used as test organisms. The treatments were evaluated on the basis of survival curves and corresponding D values. The only parameter found to affect the sterilization process was the power of the RF system. Higher power resulted in higher kill. Finally, when the samples were placed more than 3-8 cm away from a centrally placed electrode in System 2, the sporicidal effect was reduced. The results are discussed and compared to results from the present literature. The RF excitation source is evaluated to be more appropriate for sterilization processes than the MW source. Copyright 2003 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater 65B: 239-244, 2003

  3. Leachate treatment in landfills is a significant N2O source.

    PubMed

    Wang, Xiaojun; Jia, Mingsheng; Zhang, Chengliang; Chen, Shaohua; Cai, Zucong

    2017-10-15

    The importance of methane (CH 4 ) emissions from landfills has been extensively documented, while the nitrous oxide (N 2 O) emissions from landfills are considered negligible. In this study, three landfills were selected to measure CH 4 and N 2 O emissions using the static chamber method. Dongbu (DB) and Dongfu (DF) landfills, both located in Xiamen city, Fujian Province, were classified as sanitary. The former started to receive solid waste from Xiamen city in 2009, and the latter was closed in 2009. Nanjing (NJ) landfill, located in Nanjing county, Fujian Province, was classified as managed. Results showed that for the landfill reservoirs, CH 4 emissions were significant, while N 2 O emissions occurred mainly in operating areas (on average, 16.3 and 19.0mgN 2 Om -2 h -1 for DB and NJ landfills, respectively) and made a negligible contribution to the total greenhouse gas emissions in term of CO 2 equivalent. However, significant N 2 O emissions were observed in the leachate treatment systems of sanitary landfills and contributed 72.8% and 45.6% of total emissions in term of CO 2 equivalent in DB and DF landfills, respectively. The N 2 O emission factor (EF) of the leachate treatment systems was in the range of 8.9-11.9% of the removed nitrogen. The total N 2 O emissions from the leachate treatment systems of landfills in Xiamen city were estimated to be as high as 8.55gN 2 O-Ncapita -1 yr -1 . These results indicated that N 2 O emissions from leachate treatment systems of sanitary landfills were not negligible and should be included in national and/or local inventories of greenhouse gas emissions. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Fabrication of TiO2-modified polytetrafluoroethylene ultrafiltration membranes via plasma-enhanced surface graft pretreatment

    NASA Astrophysics Data System (ADS)

    Qian, Yingjia; Chi, Lina; Zhou, Weili; Yu, Zhenjiang; Zhang, Zhongzhi; Zhang, Zhenjia; Jiang, Zheng

    2016-01-01

    Surface hydrophilic modification of polymer ultrafiltration membrane using metal oxide represents an effective yet highly challenging solution to improve water flux and antifouling performance. Via plasma-enhanced graft of poly acryl acid (PAA) prior to coating TiO2, we successfully fixed TiO2 functional thin layer on super hydrophobic polytetrafluoroethylene (PTFE) ultrafiltration (UF) membranes. The characterization results evidenced TiO2 attached on the PTFE-based UF membranes through the chelating bidentate coordination between surface-grafted carboxyl group and Ti4+. The TiO2 surface modification may greatly reduce the water contact angle from 115.8° of the PTFE membrane to 35.0° without degradation in 30-day continuous filtration operations. The novel TiO2/PAA/PTFE membranes also exhibited excellent antifouling and self-cleaning performance due to the intrinsic hydrophilicity and photocatalysis properties of TiO2, which was further confirmed by the photo-degradation of MB under Xe lamp irradiation.

  5. Cosmetic wastewater treatment by the ZVI/H2O2 process.

    PubMed

    Bogacki, Jan; Marcinowski, Piotr; Zapałowska, Ewa; Maksymiec, Justyna; Naumczyk, Jeremi

    2017-10-01

    The ZVI/H 2 O 2 process was applied for cosmetic wastewater treatment. Two commercial zero-valent iron (ZVI) types with different granulations were chosen: Hepure Ferrox PRB and Hepure Ferrox Target. In addition, the pH and stirring method influence on ZVI/H 2 O 2 process efficiency was studied. During the ZVI and ZVI/H 2 O 2 processes, linear Fe ions concentration increase was observed. The addition of H 2 O 2 significantly accelerated the iron dissolution process. The highest COD removal was obtained using finer ZVI (Hepure Ferrox Target) for doses of reagents ZVI/H 2 O 2 1500/1600 mg/L, in a H 2 O 2 /COD weight ratio 2:1, at pH 3.0 with stirring on a magnetic stirrer. After 120 min of the process, 84.0% COD removal (from 796 to 127 mg/L) was achieved. It was found that the efficiency of the process depends, as in the case of the Fenton process, on the ratio of the reagents (ZVI/H 2 O 2 ) and their dose in relation to the COD (H 2 O 2 /COD) but does not depend on the dose of the iron itself. Statistical analysis confirms that COD removal efficiency depends primarily on H 2 O 2 /COD ratio and ZVI granulation, but ZVI dose influence is not statistically significant. The head space, solid-phase microextraction, gas chromatography, mass spectrometry results confirm high efficiency of the ZVI/H 2 O 2 process.

  6. Reactivation and reuse of TiO2-SnS2 composite catalyst for solar-driven water treatment.

    PubMed

    Kovacic, Marin; Kopcic, Nina; Kusic, Hrvoje; Stangar, Urska Lavrencic; Dionysiou, Dionysios D; Bozic, Ana Loncaric

    2018-01-01

    One of the most important features of photocatalytic materials intended to be used for water treatment is their long-term stability. The study is focused on the application of thermal and chemical treatments for the reactivation of TiO 2 -SnS 2 composite photocatalyst, prepared by hydrothermal synthesis and immobilized on the glass support using titania/silica binder. Such a catalytic system was applied in solar-driven treatment, solar/TiO 2 -SnS 2 /H 2 O 2 , for the purification of water contaminated with diclofenac (DCF). The effectiveness of studied reactivation methods for retaining TiO 2 -SnS 2 activity in consecutive cycles was evaluated on basis of DCF removal and conversion, and TOC removal and mineralization of organic content. Besides these water quality parameters, biodegradability changes in DCF aqueous solution treated by solar/TiO 2 -SnS 2 /H 2 O 2 process using simply reused (air-dried) and thermally and chemically reactivated composite photocatalyst through six consecutive cycles were monitored. It was established that both thermal and chemical reactivation retain TiO 2 -SnS 2 activity in the second cycle of its reuse. However, both treatments caused the alteration in the TiO 2 -SnS 2 morphology due to the partial transformation of visible-active SnS 2 into non-active SnO 2 . Such alteration, repeated through consecutive reactivation and reuse, was reflected through gradual activity loss of TiO 2 -SnS 2 composite in applied solar-driven water treatment.

  7. Low temperature RF plasma nitriding of self-organized TiO2 nanotubes for effective bandgap reduction

    NASA Astrophysics Data System (ADS)

    Bonelli, Thiago Scremin; Pereyra, Inés

    2018-06-01

    Titanium dioxide is a widely studied semiconductor material found in many nanostructured forms, presenting very interesting properties for several applications, particularly photocatalysis. TiO2 nanotubes have a high surface-to-volume ratio and functional electronic properties for light harvesting. Despite these manifold advantages, TiO2 photocatalytic activity is limited to UV radiation due to its large band gap. In this work, TiO2 nanotubes produced by electrochemical anodization were submitted to plasma nitriding processes in a PECVD reactor. The plasma parameters were evaluated to find the best conditions for gap reduction, in order to increase their photocatalytic activity. The pressure and RF power density were varied from 0.66 to 2.66 mbar and 0.22 to 3.51 W/cm2 respectively. The best gap reduction, to 2.80 eV, was achieved using a pressure of 1.33 mbar and 1.75 W/cm2 RF power at 320 °C, during a 2-h process. This leads to a 14% reduction in the band gap value and an increase of 25.3% in methylene blue reduction, doubling the range of solar photons absorption from 5 to 10% of the solar spectrum.

  8. Effects of •OH and •NO radicals in the aqueous phase on H2O2 and \\text{NO}_{2}^{-} generated in plasma-activated medium

    NASA Astrophysics Data System (ADS)

    Kurake, Naoyuki; Tanaka, Hiromasa; Ishikawa, Kenji; Takeda, Keigo; Hashizume, Hiroshi; Nakamura, Kae; Kajiyama, Hiroaki; Kondo, Takashi; Kikkawa, Fumitaka; Mizuno, Masaaki; Hori, Masaru

    2017-04-01

    A plasma-activated medium (PAM), which means a cell-culture medium irradiated with cold atmospheric plasmas or non-equilibrium atmospheric pressure plasma (NEAPP), has shown strong antitumor effects on various kinds of cells such as gastric cancer cells, human lung adenocarcinoma cells, human breast cancer cells and so on. In order to clarify the mechanism, it is extremely important to investigate the behaviors of stable and unstable reactive oxygen nitrogen species in culture medium irradiated by NEAPP. The roles of hydroxyl radicals (•OH) and nitric oxide (•NO) were studied to understand the dominant synthetic pathways of H2O2 and \\text{NO}2- in culture medium irradiated with NEAPP. In the PAM, •OH in the aqueous phase was generated predominantly by photo-dissociation. However, most of the H2O2 nor \\text{NO}2- generated in the PAM did not originate from aqueous •OH and •NO. Pathways for the generation of H2O2 and \\text{NO}2- are suggested based on the high concentrations of intermediates generated at the gas/aqueous-phase interface following NEAPP irradiation. On the basis of these results, the reaction model of chemical species in the culture medium is proposed.

  9. Solar treatment (H2O2, TiO2-P25 and GO-TiO2 photocatalysis, photo-Fenton) of organic micropollutants, human pathogen indicators, antibiotic resistant bacteria and related genes in urban wastewater.

    PubMed

    Moreira, Nuno F F; Narciso-da-Rocha, Carlos; Polo-López, M Inmaculada; Pastrana-Martínez, Luisa M; Faria, Joaquim L; Manaia, Célia M; Fernández-Ibáñez, Pilar; Nunes, Olga C; Silva, Adrián M T

    2018-05-15

    Solar-driven advanced oxidation processes were studied in a pilot-scale photoreactor, as tertiary treatments of effluents from an urban wastewater treatment plant. Solar-H 2 O 2 , heterogeneous photocatalysis (with and/or without the addition of H 2 O 2 and employing three different photocatalysts) and the photo-Fenton process were investigated. Chemical (sulfamethoxazole, carbamazepine, and diclofenac) and biological contaminants (faecal contamination indicators, their antibiotic resistant counterparts, 16S rRNA and antibiotic resistance genes), as well as the whole bacterial community, were characterized. Heterogeneous photocatalysis using TiO 2 -P25 and assisted with H 2 O 2 (P25/H 2 O 2 ) was the most efficient process on the degradation of the chemical organic micropollutants, attaining levels below the limits of quantification in less than 4 h of treatment (corresponding to Q UV  < 40 kJ L -1 ). This performance was followed by the same process without H 2 O 2 , using TiO 2 -P25 or a composite material based on graphene oxide and TiO 2 . Regarding the biological indicators, total faecal coliforms and enterococci and their antibiotic resistant (tetracycline and ciprofloxacin) counterparts were reduced to values close, or beneath, the detection limit (1 CFU 100 mL -1 ) for all treatments employing H 2 O 2 , even upon storage of the treated wastewater for 3-days. Moreover, P25/H 2 O 2 and solar-H 2 O 2 were the most efficient processes in the reduction of the abundance (gene copy number per volume of wastewater) of the analysed genes. However, this reduction was transient for 16S rRNA, intI1 and sul1 genes, since after 3-days storage of the treated wastewater their abundance increased to values close to pre-treatment levels. Similar behaviour was observed for the genes qnrS (using TiO 2 -P25), bla CTX-M and bla TEM (using TiO 2 -P25 and TiO 2 -P25/H 2 O 2 ). Interestingly, higher proportions of sequence reads affiliated to the phylum Proteobacteria

  10. Effect of atmospheric oxidative plasma treatments on polypropylenic fibers surface: Characterization and reaction mechanisms

    NASA Astrophysics Data System (ADS)

    Nisticò, Roberto; Magnacca, Giuliana; Faga, Maria Giulia; Gautier, Giovanna; D'Angelo, Domenico; Ciancio, Emanuele; Lamberti, Roberta; Martorana, Selanna

    2013-08-01

    Atmospheric pressure plasma-dielectric barrier discharge (APP-DBD, open chamber configuration) was used to functionalize polypropylene (PP) fibers surface in order to generate oxidized-reactive groups such as hydroperoxides, alcohols and carbonyl species (i.e. ketones and others). Such a species increased the surface polarity, without causing material degradation. Three different types of plasma mixture (He, He/O2, He/O2/H2O) under three different values of applied power (750, 1050, 1400 W) were investigated. The formed plasma species (O2+, O single atom and OH radical) and their distribution were monitored via optical emission spectrometry (OES) measurements, and the plasma effects on PP surface species formation were followed by X-ray photoemission spectroscopy (XPS). Results allowed to better understand the reaction pathways between plasma phase and PP fibers. In fact, two reaction mechanisms were proposed, the first one concerning the plasma phase reactions and the second one involving material surface modifications.

  11. Comparison of photo-Fenton, O3/H2O2/UV and photocatalytic processes for the treatment of gray water.

    PubMed

    Hassanshahi, Nahid; Karimi-Jashni, Ayoub

    2018-06-21

    This research was carried out to compare and optimize the gray water treatment performance by the photo-Fenton, photocatalysis and ozone/H 2 O 2 /UV processes. Experimental design and optimization were carried out using Central Composite Design of Response Surface Methodology. The results of experiments showed that the most effective and influencing factors in photo-Fenton process were H 2 O 2 /Fe 2+ ratio, in ozone/H 2 O 2 /UV experiment were O 3 concentration, H 2 O 2 concentration, reaction time and pH and in photocatalytic process were TiO 2 concentration, pH and reaction time. The highest COD removal in photo-Fenton, ozone/H 2 O 2 /UV and photocatalytic process were 90%, 92% and 55%, respectively. The results were analyzed by design expert software and for all three processes second-order models were proposed to simulate the COD removal efficiency. In conclusion the ozone/H 2 O 2 /UV process is recommended for the treatment of gray water, since it was able to remove both COD and turbidity by 92% and 93%, respectively. Copyright © 2018 Elsevier Inc. All rights reserved.

  12. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  13. Hydrophilic property of 316L stainless steel after treatment by atmospheric pressure corona streamer plasma using surface-sensitive analyses

    NASA Astrophysics Data System (ADS)

    Al-Hamarneh, Ibrahim; Pedrow, Patrick; Eskhan, Asma; Abu-Lail, Nehal

    2012-10-01

    Surgical-grade 316L stainless steel (SS 316L) had its surface hydrophilic property enhanced by processing in a corona streamer plasma reactor using O2 gas mixed with Ar at atmospheric pressure. Reactor excitation was 60 Hz ac high-voltage (0-10 kVRMS) applied to a multi-needle-to-grounded screen electrode configuration. The treated surface was characterized with a contact angle tester. Surface free energy (SFE) for the treated stainless steel increased measurably compared to the untreated surface. The Ar-O2 plasma was more effective in enhancing the SFE than Ar-only plasma. Optimum conditions for the plasma treatment system used in this study were obtained. X-ray photoelectron spectroscopy (XPS) characterization of the chemical composition of the treated surfaces confirms the existence of new oxygen-containing functional groups contributing to the change in the hydrophilic nature of the surface. These new functional groups were generated by surface reactions caused by reactive oxidation of substrate species. Atomic force microscopy (AFM) images were generated to investigate morphological and roughness changes on the plasma treated surfaces. The aging effect in air after treatment was also studied.

  14. Different effects of H2O2 treatment on cervical squamous carcinoma cells and adenocarcinoma cells

    PubMed Central

    Zhang, Peihai; Yin, Haiqin; Wang, Sie; Wei, Yuping; Peng, Nan

    2015-01-01

    Introduction This study aims to compare the antioxidant abilities of cervical squamous carcinoma cells and cervical adenocarcinoma cells and to study the related mechanisms. Material and methods Cervical squamous carcinoma and adenocarcinoma cells were treated with H2O2. Cell proliferation was determined with the MTT assay. The reactive oxygen species (ROS) level was detected by the 2’,7’-dichlorofluorescein-diacetate (DCFH-DA) method. The 5,5’-dithiobis-2-nitrobenzoic acid (DTNB) method was performed to measure intracellular concentrations of reduced glutathione (GSH) and oxidized glutathione (GSSG). The nitrite formation method, the molybdate colorimetric method, and the DTNB colorimetric method were used to determine activities of superoxide dismutase (SOD), catalase (CAT), and glutathione peroxidase (GPx), respectively. Results Compared with untreated control cells, cell proliferation of cervical squamous carcinoma cells and cervical adenocarcinoma cells was significantly inhibited by H2O2 treatment (p < 0.05). Reactive oxygen species levels and GSSG levels were significantly increased (p < 0.01), whereas GSH levels were significantly decreased (p < 0.05 or 0.01) in both cells after H2O2 treatment. Thus the ratio of GSH/GSSG was significantly decreased by H2O2 treatment in both cells (p < 0.01). In addition, H2O2 treatment significantly increased activities of SOD, CAT, and GPx in both cells (p < 0.05 or 0.01). Furthermore, the above-mentioned changes induced by H2O2 treatment were more dramatic in cervical squamous carcinoma cells. Conclusions The antioxidant ability of cervical squamous carcinoma cells is lower than that of cervical adenocarcinoma cells, which may be related to the increased ROS levels in cervical squamous carcinoma cells induced by H2O2 treatments. PMID:26788095

  15. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    NASA Astrophysics Data System (ADS)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez; Gyergyek, Tomaz; Stockel, Jan; Varju, Jozef; Panek, Radomir; Balat-Pichelin, Marianne

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni60Cr30Mo10Ni4Nb1) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr2O4 compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al2O3 crystals.

  16. Plasma cell treatment device Plasma-on-Chip: Monitoring plasma-generated reactive species in microwells

    PubMed Central

    Oh, Jun-Seok; Kojima, Shinya; Sasaki, Minoru; Hatta, Akimitsu; Kumagai, Shinya

    2017-01-01

    We have developed a plasma cell treatment device called Plasma-on-Chip that enables the real-time monitoring of a single cell culture during plasma treatment. The device consists of three parts: 1) microwells for cell culture, 2) a microplasma device for generating reactive oxygen and nitrogen species (RONS) for use in cell treatment, and 3) through-holes (microchannels) that connect each microwell with the microplasma region for RONS delivery. Here, we analysed the delivery of the RONS to the liquid culture medium stored in the microwells. We developed a simple experimental set-up using a microdevice and applied in situ ultraviolet absorption spectroscopy with high sensitivity for detecting RONS in liquid. The plasma-generated RONS were delivered into the liquid culture medium via the through-holes fabricated into the microdevice. The RONS concentrations were on the order of 10–100 μM depending on the size of the through-holes. In contrast, we found that the amount of dissolved oxygen was almost constant. To investigate the process of RONS generation, we numerically analysed the gas flow in the through-holes. We suggest that the circulating gas flow in the through-holes promotes the interaction between the plasma (ionised gas) and the liquid, resulting in enhanced RONS concentrations. PMID:28176800

  17. Sterilization Effect of Wet Oxygen Plasma in the Bubbling Method.

    PubMed

    Tamazawa, Kaoru; Shintani, Hideharu; Tamazawa, Yoshinori; Shimauchi, Hidetoshi

    2015-01-01

    A new low-temperature sterilization method to replace the ethylene oxide gas sterilization is needed. Strong bactericidal effects of OH and O2H radicals are well known. The purpose of this study was to evaluate the sterilization effect of wet oxygen ("O2+H2O") plasma in the bubbling method, confirming the effect of humidity. Sterility assurance was confirmed by using a biological indicator (Geobacillus stearothermophilus ATCC7953, Namsa, USA). One hundred and eight samples (10(5) spores/carrier) were divided into three groups of 36 in each for treatment with a different type of gas (O2, O2+H2O, Air+H2O). Plasma processing was conducted using a plasma ashing apparatus (13.56 MHz, PACK-3(®), Y. A. C., Japan) under various gas pressures (13, 25, 50 Pa) and gas flows (50, 100, 200 sccm). Fixed plasma treatment parameters were power at 150 W, temperature of 60 ℃, treatment time of 10 min. The samples after treatment were incubated in trypticase soy broth at 58 ℃ for 72 h. The negative culture rate in the "O2+H2O" group was significantly (Mantel-Haenszel procedure, p<0.001) higher than in the other gas groups. It is suggested that the significant sterilization effect of the "O2+H2O" group depends on the bubbling method which is the method of introducing vapor into the chamber. The bubbling method seems able to generate OH and O2H radicals in a stable way.

  18. Effect of pores formation process and oxygen plasma treatment to hydroxyapatite formation on bioactive PEEK prepared by incorporation of precursor of apatite.

    PubMed

    Yabutsuka, Takeshi; Fukushima, Keito; Hiruta, Tomoko; Takai, Shigeomi; Yao, Takeshi

    2017-12-01

    When bioinert substrates with fine-sized pores are immersed in a simulated body fluid (SBF) and the pH value or the temperature is increased, fine particles of calcium phosphate, which the authors denoted as 'precursor of apatite' (PrA), are formed in the pores. By this method, hydroxyapatite formation ability can be provided to various kinds of bioinert materials. In this study, the authors studied fabrication methods of bioactive PEEK by using the above-mentioned process. First, the fine-sized pores were formed on the surface of the PEEK substrate by H 2 SO 4 treatment. Next, to provide hydrophilic property to the PEEK, the surfaces of the PEEK were treated with O 2 plasma. Finally, PrA were formed in the pores by the above-mentioned process, which is denoted as 'Alkaline SBF' treatment, and the bioactive PEEK was obtained. By immersing in SBF with the physiological condition, hydroxyapatite formation was induced on the whole surface of the substrate within 1day. The formation of PrA directly contributed to hydroxyapatite formation ability. By applying the O 2 plasma treatment, hydroxyapatite formation was uniformly performed on the whole surface of the substrate. The H 2 SO 4 treatment contributed to a considerable enhancement of adhesive strength of the formed hydroxyapatite layer formed in SBF because of the increase of surface areas of the substrate. As a comparative study, the sandblasting method was applied as the pores formation process instead of the H 2 SO 4 treatment. Although hydroxyapatite formation was provided also in this case, however, the adhesion of the formed hydroxyapatite layer to the substrate was not sufficient even if the O 2 plasma treatment was conducted. This result indicates that the fine-sized pores should be formed on the whole surface of the substrate uniformly to achieve high adhesive strength of the hydroxyapatite layer. Therefore, it is considered that the H 2 SO 4 treatment before the O 2 plasma and the 'Alkaline SBF' treatment

  19. Ellipsometric study of Al2O3/Ag/Si and SiO2/Ag/quartz ashed in an oxygen plasma. [protective coatings to prevent degradation of materials in low earth orbits

    NASA Technical Reports Server (NTRS)

    De, Bhola N.; Woollam, John A.

    1989-01-01

    The growth of silver oxide (proposed as a potentially useful protective coating for space environment) on a silver mirror coated with an Al2O3 or a SiO2 protective layer was investigated using the monolayer-sensitive variable angle of incidence spectroscopic ellipsometry technique. The samples were exposed to a pure oxygen plasma in a plasma asher, and the silver oxide growth was monitored as a function of the exposure time. It was found that atomic oxygen in the asher penetrated through the SiO2 or Al2O3 coatings to convert the silver underneath to silver oxide, and that the quantity of the silver oxide formed was proportional to the ashing time. The band gap of silver oxide was determined to be 1.3 eV. A schematic diagram of the variable angle of incidence spectroscopic ellipsometer is included.

  20. Roles of individual radicals generated by a submerged dielectric barrier discharge plasma reactor during Escherichia coli O157:H7 inactivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Muhammad Saiful Islam; Lee, Eun-Jung; Kim, Yun-Ji, E-mail: yunji@kfri.re.kr

    A submerged dielectric barrier discharge plasma reactor (underwater DBD) has been used on Escherichia coli O157:H7 (ATCC 35150). Plasma treatment was carried out using clean dry air gas to investigate the individual effects of the radicals produced by underwater DBD on an E. coli O157:H7 suspension (8.0 log CFU/ml). E. coli O157:H7 was reduced by 6.0 log CFU/ml for 2 min of underwater DBD plasma treatment. Optical Emission Spectra (OES) shows that OH and NO (α, β) radicals, generated by underwater DBD along with ozone gas. E. coli O157:H7 were reduced by 2.3 log CFU/ml for 10 min of underwatermore » DBD plasma treatment with the terephthalic acid (TA) OH radical scavenger solution, which is significantly lower (3.7 log CFU/ml) than the result obtained without using the OH radical scavenger. A maximum of 1.5 ppm of ozone gas was produced during the discharge of underwater DBD, and the obtained reduction difference in E.coli O157:H7 in presence and in absence of ozone gas was 1.68 log CFU/ml. The remainder of the 0.62 log CFU/ml reduction might be due to the effect of the NO (α, β) radicals or due to the combined effect of all the radicals produced by underwater DBD. A small amount of hydrogen peroxide was also generated but does not play any role in E. coli O157:H7 inactivation.« less

  1. Roles of individual radicals generated by a submerged dielectric barrier discharge plasma reactor during Escherichia coli O157:H7 inactivation

    NASA Astrophysics Data System (ADS)

    Khan, Muhammad Saiful Islam; Lee, Eun-Jung; Kim, Yun-Ji

    2015-10-01

    A submerged dielectric barrier discharge plasma reactor (underwater DBD) has been used on Escherichia coli O157:H7 (ATCC 35150). Plasma treatment was carried out using clean dry air gas to investigate the individual effects of the radicals produced by underwater DBD on an E. coli O157:H7 suspension (8.0 log CFU/ml). E. coli O157:H7 was reduced by 6.0 log CFU/ml for 2 min of underwater DBD plasma treatment. Optical Emission Spectra (OES) shows that OH and NO (α, β) radicals, generated by underwater DBD along with ozone gas. E. coli O157:H7 were reduced by 2.3 log CFU/ml for 10 min of underwater DBD plasma treatment with the terephthalic acid (TA) OH radical scavenger solution, which is significantly lower (3.7 log CFU/ml) than the result obtained without using the OH radical scavenger. A maximum of 1.5 ppm of ozone gas was produced during the discharge of underwater DBD, and the obtained reduction difference in E.coli O157:H7 in presence and in absence of ozone gas was 1.68 log CFU/ml. The remainder of the 0.62 log CFU/ml reduction might be due to the effect of the NO (α, β) radicals or due to the combined effect of all the radicals produced by underwater DBD. A small amount of hydrogen peroxide was also generated but does not play any role in E. coli O157:H7 inactivation.

  2. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    NASA Astrophysics Data System (ADS)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  3. Isotopic Monitoring of N2O Emissions from Wastewater Treatment: Evidence for N2O Production Associated with Anammox Metabolism?

    NASA Astrophysics Data System (ADS)

    Harris, E. J.; Wunderlin, P.; Joss, A.; Emmenegger, L.; Kipf, M.; Wolf, B.; Mohn, J.

    2015-12-01

    Microbial production is the major source of N2O, the strongest greenhouse gas produced within the nitrogen cycle, and the most important stratospheric ozone destructant released in the 21st century. Wastewater treatment is an important and growing source of N2O, with best estimates predicting N2O emissions from this sector will have increased by >25% by 2020. Novel treatment employing partial nitritation-anammox, rather than traditional nitrification-denitrification, has the potential to achieve a neutral carbon footprint due to increased biogas production - if N2O production accounts for <0.5-1% of total nitrogen turnover. As a further motivation for this research, microbial pathways identified from wastewater treatment can be applied to our understanding of N cycling in the natural environment. This study presents the first online isotopic measurements of offgas N2O from a partial-nitritation anammox reactor 1. The measured N2O isotopic composition - in particular the N2O isotopic site preference (SP = δ15Nα - δ15Nβ) - was used to understand N2O production pathways in the reactor. When N2O emissions peaked due to high dissolved oxygen concentrations, low SP showed that N2O was produced primarily via nitrifier denitrification by ammonia oxidizing bacteria (AOBs). N2O production by AOBs via NH2OH oxidation, in contrast, did not appear to be important under any conditions. Over the majority of the one-month measurement period, the measured SP was much higher than expected following our current understanding of N2O production pathways 2. SP reached 41‰ during normal operating conditions and achieved a maximum of 45‰ when nitrite was added under anoxic conditions. These results could be explained by unexpectedly strong heterotrophic N2O reduction despite low dissolved organic matter concentrations, or by an incomplete understanding of isotopic fractionation during N2O production from NH2OH oxidation by AOBs - however the explanation most consistent with all

  4. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    PubMed

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  5. An investigation onto the molecular structure of 5-chloro-3-(2-(4-ethylpiperazine-1-il)-2-oxoethyl)benzo[d]thiazole-2(3H)-on drug molecule before and after atmospheric pressure plasma treatment

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol; Şahin, Neslihan; Dikmen, Gökhan

    2018-05-01

    The spectra of molecular structure for the 5-chloro-3-(2-(4-ethylpiperazine-1-il)-2-oxoethyl)benzo[d]thiazole-2(3H)-on drug molecule (abbreviated as 5KEB) before and after the atmospheric pressure plasma treatments (APPTs) of neon (Ne) and argon (Ar) were investigated. The Fourier transform infrared (FT-IR), ultraviolet visible (UV-Vis) spectra and NMR measurements of the 5KEB drug molecule dissolved in toluene and ethanol solvents were recorded and examined for liquid phases. Then FT-IR, UV-Vis spectra and NMR measurements were analysed. It is seen that some bonds of 5KEB molecule were decomposed. There were also unobserved vibrational modes. After the Ne plasma at the atmospheric pressure applied to 5KEB drug molecule dissolved in toluene, the bonds as 9Ssbnd 8C; 9Ssbnd 8C = 10 O, 8Csbnd 7N, 7Nsbnd 8C = 10O were vanished, and then the new bonds of the 7N = 8C, 7N = 8C = 10 O, 9Ssbnd 5Csbnd 4Csbnd 7N = 8C = 10O were observed. New photoproducts may be defined as the stretching peaks, stretching vibrational modes for 5KEB drug molecule in liquid phase prepared with ethanol and toluene solvents after APPT. Also, after Ar plasma at atmospheric pressure applied here, the 9Ssbnd 8C bond of the 5KEB drug molecule dissolved in toluene was broken. The isomerization process in UV-Vis was defined by π-π* and n-π* electronic transitions. According to NMR results, protons of pyridine ring, protons of CH2 group bonded to carbonyl group and protons of CH3 group more affected than other protons from Ar and Ne APPTs and these protons were eliminated by Ar and Ne APPTs.

  6. Low-temperature preparation of GaN-SiO2 interfaces with low defect density. II. Remote plasma-assisted oxidation of GaN and nitrogen incorporation

    NASA Astrophysics Data System (ADS)

    Bae, Choelhwyi; Lucovsky, Gerald

    2004-11-01

    Low-temperature remote plasma-assisted oxidation and nitridation processes for interface formation and passivation have been extended from Si and SiC to GaN. The initial oxidation kinetics and chemical composition of thin interfacial oxide were determined from analysis of on-line Auger electron spectroscopy features associated with Ga, N, and O. The plasma-assisted oxidation process is self-limiting with power-law kinetics similar to those for the plasma-assisted oxidation of Si and SiC. Oxidation using O2/He plasma forms nearly pure GaOx, and oxidation using 1% N2O in N2 forms GaOxNy with small nitrogen content, ~4-7 at. %. The interface and dielectric layer quality was investigated using fabricated GaN metal-oxide-semiconductor capacitors. The lowest density of interface states was achieved with a two-step plasma-assisted oxidation and nitridation process before SiO2 deposition.

  7. Microbial decontamination of onion powder using microwave-powered cold plasma treatments.

    PubMed

    Kim, Jung Eun; Oh, Yeong Ji; Won, Mee Yeon; Lee, Kwang-Sik; Min, Sea C

    2017-04-01

    The effects of microwave-integrated cold plasma (CP) treatments against spores of Bacillus cereus and Aspergillus brasiliensis and Escherichia coli O157:H7 on onion powder were investigated. The growth of B. cereus, A. brasiliensis, and E. coli O157:H7 in the treated onion powder was assessed during storage at 4 and 25 °C, along with the physicochemical and sensory properties of the powder. Onion powder inoculated with B. cereus was treated with CP using helium as a plasma-forming gas, with simultaneous exposure to low microwave density at 170 mW m -2 or high microwave density at 250 mW m -2 . High microwave density-CP treatment (HMCPT) was more effective than low microwave density-CP treatment (LMCPT) in inhibiting B. cereus spores, but induced the changes in the volatile profile of powder. Increase in treatment time in HMCPT yielded greater inhibition of B. cereus spores. Vacuum drying led to greater inhibition of spores of B. cereus and A. brasiliensis than hot-air drying. HMCPT at 400 W for 40 min, determined as the optimum conditions for B. cereus spore inhibition, initially reduced the numbers of B. cereus, A. brasiliensis, and E. coli O157:H7 by 2.1 log spores/cm 2 , 1.6 log spores/cm 2 , and 1.9 CFU/cm 2 , respectively. The reduced number of B. cereus spores remained constant, while the number of A. brasiliensis spores in the treated powder increased gradually during storage at 4 and 25 °C and was not different from the number of spores in untreated samples by the end of storage at 4 °C. The E. coli counts in the treated powder fell below the level of detection after day 21 at both temperatures. HMCPT did not affect the color, antioxidant activity, or quercetin concentration of the powder during storage at both temperatures. The microwave-integrated CPTs showed potential for nonthermal decontamination of onion powder. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. How to assess the plasma delivery of RONS into tissue fluid and tissue

    NASA Astrophysics Data System (ADS)

    Oh, Jun-Seok; Szili, Endre J.; Gaur, Nishtha; Hong, Sung-Ha; Furuta, Hiroshi; Kurita, Hirofumi; Mizuno, Akira; Hatta, Akimitsu; Short, Robert D.

    2016-08-01

    The efficacy of helium (He) and argon (Ar) plasma jets are being investigated for different healthcare applications including wound and cancer therapy, sterilisation and surface disinfections. Current research points to a potential link between the generation of reactive oxygen and nitrogen species (RONS) and outcomes in a range of biological and medical applications. As new data accrue, further strengthening this link, it becomes important to understand the controlled delivery of RONS into solutions, tissue fluids and tissues. This paper investigates the use of He and Ar plasma jets to deliver three RONS (hydrogen peroxide—H2O2, nitrite—\\text{NO}2- and nitrate—\\text{NO}3- ) and molecular oxygen (O2) directly into deionised (DI) water, or indirectly into DI water through an agarose target. The DI water is used in place of tissue fluid and the agarose target serves as a surrogate of tissue. Direct plasma jet treatments deliver more RONS and O2 than the through-agarose treatments for equivalent treatments times. The former only deliver RONS whilst the plasma jets are ignited; the latter continues to deliver RONS into the DI water long after the plasmas are extinguished. The He plasma jet is more effective at delivering H2O2 and \\text{NO}2- directly into DI water, but the Ar plasma jet is more effective at nitrating the DI water in both direct and through-agarose treatments. DI water directly treated with the plasma jets is deoxygenated, with the He plasma jet purging more O2 than the Ar plasma jet. This effect is known as ‘sparging’. In contrast, for through-agarose treatments both jets oxygenated the DI water. These results indicate that in the context of direct and indirect plasma jet treatments of real tissue fluids and tissue, the choice of process gas (He or Ar) could have a profound effect on the concentrations of RONS and O2. Irrespective of operating gas, sparging of tissue fluid (in an open wound) for long prolonged periods during direct plasma

  9. Quantitative Phase Analysis of Plasma-Treated High-Silica Materials

    NASA Astrophysics Data System (ADS)

    Kosmachev, P. V.; Abzaev, Yu. A.; Vlasov, V. A.

    2018-06-01

    The paper presents the X-ray diffraction (XRD) analysis of the crystal structure of SiO2 in two modifications, namely quartzite and quartz sand before and after plasma treatment. Plasma treatment enables the raw material to melt and evaporate after which the material quenches and condenses to form nanoparticles. The Rietveld refinement method is used to identify the lattice parameters of SiO2 phases. It is found that after plasma treatment SiO2 oxides are in the amorphous state, which are modeled within the microcanonical ensemble. Experiments show that amorphous phases are stable, and model X-ray reflection intensities approximate the experimental XRD patterns with fine precision. Within the modeling, full information is obtained for SiO2 crystalline and amorphous phases, which includes atom arrangement, structural parameters, atomic population of silicon and oxygen atoms in lattice sites.

  10. Reactive spark plasma synthesis of CaZrTi2O7 zirconolite ceramics for plutonium disposition

    NASA Astrophysics Data System (ADS)

    Sun, Shi-Kuan; Stennett, Martin C.; Corkhill, Claire L.; Hyatt, Neil C.

    2018-03-01

    Near single phase zirconolite ceramics, prototypically CaZrTi2O7, were fabricated by reactive spark plasma sintering (RSPS), from commercially available CaTiO3, ZrO2 and TiO2 reagents, after processing at 1200 °C for only 1 h. Ceramics were of theoretical density and formed with a controlled mean grain size of 1.9 ± 0.6 μm. The reducing conditions of RSPS afforded the presence of paramagnetic Ti3+, as demonstrated by EPR spectroscopy. Overall, this study demonstrates the potential for RSPS to be a disruptive technology for disposition of surplus separated plutonium stockpiles in ceramic wasteforms, given its inherent advantage of near net shape products and rapid throughput.

  11. Immune plasma for the treatment of severe influenza: an open-label, multicentre, phase 2 randomised study.

    PubMed

    Beigel, John H; Tebas, Pablo; Elie-Turenne, Marie-Carmelle; Bajwa, Ednan; Bell, Todd E; Cairns, Charles B; Shoham, Shmuel; Deville, Jaime G; Feucht, Eric; Feinberg, Judith; Luke, Thomas; Raviprakash, Kanakatte; Danko, Janine; O'Neil, Dorothy; Metcalf, Julia A; King, Karen; Burgess, Timothy H; Aga, Evgenia; Lane, H Clifford; Hughes, Michael D; Davey, Richard T

    2017-06-01

    Influenza causes substantial morbidity and mortality despite available treatments. Anecdotal reports suggest that plasma with high antibody titres to influenza might be of benefit in the treatment of severe influenza. In this randomised, open-label, multicentre, phase 2 trial, 29 academic medical centres in the USA assessed the safety and efficacy of anti-influenza plasma with haemagglutination inhibition antibody titres of 1:80 or more to the infecting strain. Hospitalised children and adults (including pregnant women) with severe influenza A or B (defined as the presence of hypoxia or tachypnoea) were randomly assigned to receive either two units (or paediatric equivalent) of anti-influenza plasma plus standard care, versus standard care alone, and were followed up for 28 days. The primary endpoint was time to normalisation of patients' respiratory status (respiratory rate of ≤20 breaths per min for adults or age-defined thresholds of 20-38 breaths per min for children) and a room air oxygen saturation of 93% or more. This study is registered with ClinicalTrials.gov, number NCT01052480. Between Jan 13, 2011, and March 2, 2015, 113 participants were screened for eligibility and 98 were randomly assigned from 20 out of 29 participating sites. Of the participants with confirmed influenza (by PCR), 28 (67%) of 42 in the plasma plus standard care group normalised their respiratory status by day 28 compared with 24 (53%) of 45 participants on standard care alone (p=0·069). The hazard ratio (HR) comparing plasma plus standard care with standard care alone was 1·71 (95% CI 0·96-3·06). Six participants died, one (2%) from the plasma plus standard care group and five (10%) from the standard care group (HR 0·19 [95% CI 0·02-1·65], p=0·093). Participants in the plasma plus standard care group had non-significant reductions in days in hospital (median 6 days [IQR 4-16] vs 11 days [5-25], p=0·13) and days on mechanical ventilation (median 0 days [IQR 0-6] vs 3 days

  12. Feature Profile Evolution of SiO2 Trenches In Fluorocarbon Plasmas

    NASA Technical Reports Server (NTRS)

    Hwang, Helen; Govindan, T. R.; Meyyappan, M.; Arunachalam, Valli; Rauf, Shahid; Coronell, Dan; Carroll, Carol W. (Technical Monitor)

    1999-01-01

    Etching of silicon microstructures for semiconductor manufacturing in chlorine plasmas has been well characterized. The etching proceeds in a two-part process, where the chlorine neutrals passivate the Si surface and then the ions etch away SiClx. However, etching in more complicated gas mixtures and materials, such as etching of SiO2 in Ar/C4F8, requires knowledge of the ion and neutral distribution functions as a function of angle and velocity, in addition to modeling the gas surface reactions. In order to address these needs, we have developed and integrated a suite of models to simulate the etching process from the plasma reactor level to the feature profile evolution level. This arrangement allows for a better understanding, control, and prediction of the influence of equipment level process parameters on feature profile evolution. We are currently using the HPEM (Hybrid Plasma Equipment Model) and PCMCM (Plasma Chemistry Monte Carlo Model) to generate plasma properties and ion and neutral distribution functions for argon/fluorocarbon discharges in a GEC Reference Cell. These quantities are then input to the feature scale model, Simulation of Profile Evolution by Level Sets (SPELS). A surface chemistry model is used to determine the interaction of the incoming species with the substrate material and simulate the evolution of the trench profile. The impact of change of gas pressure and inductive power on the relative flux of CFx and F to the wafer, the etch and polymerization rates, and feature profiles will be examined. Comparisons to experimental profiles will also be presented.

  13. Effect of coagulation on treatment of municipal wastewater reverse osmosis concentrate by UVC/H2O2.

    PubMed

    Umar, Muhammad; Roddick, Felicity; Fan, Linhua

    2014-02-15

    Disposal of reverse osmosis concentrate (ROC) is a growing concern due to potential health and ecological risks. Alum coagulation was investigated as pre-treatment for the UVC/H2O2 treatment of two high salinity ROC samples (ROC A and B) of comparable organic and inorganic content. Coagulation removed a greater fraction of the organic content for ROC B (29%) than ROC A (16%) which correlated well with the reductions of colour and A254. Although the total reductions after 60 min UVC/H2O2 treatment with and without coagulation were comparable, large differences in the trends of reduction were observed which were attributed to the different nature of the organic content (humic-like) of the samples as indicated by the LC-OCD analyses and different initial (5% and 16%) biodegradability. Coagulation and UVC/H2O2 treatment preferentially removed humic-like compounds which resulted in low reaction rates after UVC/H2O2 treatment of the coagulated samples. The improvement in biodegradability was greater (2-3-fold) during UVC/H2O2 treatment of the pre-treated samples than without pre-treatment. The target DOC residual (≤ 15 mg/L) was obtained after 30 and 20 min irradiation of pre-treated ROC A and ROC B with downstream biological treatment, corresponding to reductions of 55% and 62%, respectively. Copyright © 2013 Elsevier B.V. All rights reserved.

  14. Atmospheric Pressure Non-Thermal Plasma Activation of CO2 in a Packed-Bed Dielectric Barrier Discharge Reactor.

    PubMed

    Mei, Danhua; Tu, Xin

    2017-11-17

    Direct conversion of CO 2 into CO and O 2 is performed in a packed-bed dielectric barrier discharge (DBD) non-thermal plasma reactor at low temperatures and atmospheric pressure. The maximum CO 2 conversion of 22.6 % is achieved when BaTiO 3 pellets are fully packed into the discharge gap. The introduction of γ-Al 2 O 3 or 10 wt % Ni/γ-Al 2 O 3 catalyst into the BaTiO 3 packed DBD reactor increases both CO 2 conversion and energy efficiency of the plasma process. Packing γ-Al 2 O 3 or 10 wt % Ni/γ-Al 2 O 3 upstream of the BaTiO 3 bed shows higher CO 2 conversion and energy efficiency compared with that of mid- or downstream packing modes because the reverse reaction of CO 2 conversion-the recombination of CO and O to form CO 2 -is more likely to occur in mid- and downstream modes. Compared with the γ-Al 2 O 3 support, the coupling of the DBD with the Ni catalyst shows a higher CO 2 conversion, which can be attributed to the presence of Ni active species on the catalyst surface. The argon plasma treatment of the reacted Ni catalyst provides extra evidence to confirm the role of Ni active species in the conversion of CO 2 . © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    NASA Astrophysics Data System (ADS)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  16. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment.

    PubMed

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-11

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO 2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO 2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO 2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO 2 . The ALD ZrO 2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  17. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  18. Highly scaled equivalent oxide thickness of 0.66 nm for TiN/HfO2/GaSb MOS capacitors by using plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Tsai, Ming-Li; Wang, Shin-Yuan; Chien, Chao-Hsin

    2017-08-01

    Through in situ hydrogen plasma treatment (HPT) and plasma-enhanced atomic-layer-deposited TiN (PEALD-TiN) layer capping, we successfully fabricated TiN/HfO2/GaSb metal-oxide-semiconductor capacitors with an ultrathin equivalent oxide thickness of 0.66 nm and a low density of states of approximately 2 × 1012 cm-2 eV-1 near the valence band edge. After in situ HPT, a native oxide-free surface was obtained through efficient etching. Moreover, the use of the in situ PEALD-TiN layer precluded high-κ dielectric damage that would have been caused by conventional sputtering, thereby yielding a superior high-κ dielectric and low gate leakage current.

  19. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  20. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-12-01

    In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb  + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  1. Plasma Proteome Dynamics: Analysis of Lipoproteins and Acute Phase Response Proteins with 2H2O Metabolic Labeling*

    PubMed Central

    Li, Ling; Willard, Belinda; Rachdaoui, Nadia; Kirwan, John P.; Sadygov, Rovshan G.; Stanley, William C.; Previs, Stephen; McCullough, Arthur J.; Kasumov, Takhar

    2012-01-01

    Understanding the pathologies related to the regulation of protein metabolism requires methods for studying the kinetics of individual proteins. We developed a 2H2O metabolic labeling technique and software for protein kinetic studies in free living organisms. This approach for proteome dynamic studies requires the measurement of total body water enrichments by GC-MS, isotopic distribution of the tryptic peptide by LC-MS/MS, and estimation of the asymptotical number of deuterium incorporated into a peptide by software. We applied this technique to measure the synthesis rates of several plasma lipoproteins and acute phase response proteins in rats. Samples were collected at different time points, and proteins were separated by a gradient gel electrophoresis. 2H labeling of tryptic peptides was analyzed by ion trap tandem mass spectrometry (LTQ MS/MS) for measurement of the fractional synthesis rates of plasma proteins. The high sensitivity of LTQ MS in zoom scan mode in combination with 2H label amplification in proteolytic peptides allows detection of the changes in plasma protein synthesis related to animal nutritional status. Our results demonstrate that fasting has divergent effects on the rate of synthesis of plasma proteins, increasing synthesis of ApoB 100 but decreasing formation of albumin and fibrinogen. We conclude that this technique can effectively measure the synthesis of plasma proteins and can be used to study the regulation of protein homeostasis under physiological and pathological conditions. PMID:22393261

  2. Synthesis, structural, thermal and optical properties of TeO2-Bi2O3-GeO2-Li2O glasses

    NASA Astrophysics Data System (ADS)

    Dimowa, Louiza; Piroeva, Iskra; Atanasova-Vladimirova, S.; Petrova, Nadia; Ganev, Valentin; Titorenkova, Rositsa; Yankov, Georgi; Petrov, Todor; Shivachev, Boris L.

    2016-10-01

    In this study, synthesis and characterization of novel quaternary tellurite glass system TeO2-Bi2O3-GeO2-Li2O is presented. The compositions include TeO2 and GeO2 as glass formers while different proportion of Bi2O3 and Li2O act as network modifiers. Differential thermal analysis, X-ray diffraction, scanning electron microscopy energy dispersive X-ray spectroscopy, laser ablation inductively coupled plasma mass spectrometry, UV-Vis and Raman spectroscopy are applied to study the structural, thermal and optical properties of the studied glasses. Obtained glasses possess a relatively low glass transition temperature (around 300 °C) if compared to other tellurite glasses, show good thermal transparency in the visible and near infra-red (from 2.4 to 0.4 μm) and can double the frequency of laser light from its original wavelength of 1064 nm to its second-harmonic at 532 nm (i.e. second harmonic generation).

  3. Development of an E-H2O2/TiO2 photoelectrocatalytic oxidation system for water and wastewater treatment.

    PubMed

    Li, X Z; Liu, H S

    2005-06-15

    In this study, an innovative E-H2O2/TiO2 (E-H2O2 = electrogenerated hydrogen peroxide) photoelectrocatalytic (PEC) oxidation system was successfully developed for water and wastewater treatment. A TiO2/Ti mesh electrode was applied in this photoreactor as the anode to conduct PEC oxidation, and a reticulated vitreous carbon (RVC) electrode was used as the cathode to electrogenerate hydrogen peroxide simultaneously. The TiO2/Ti mesh electrode was prepared with a modified anodic oxidation process in a quadrielectrolyte (H2SO4-H3PO4-H2O2-HF) solution. The crystal structure, surface morphology, and film thickness of the TiO2/Ti mesh electrode were characterized by X-ray diffraction and scanning electron microscopy. The analytical results showed that a honeycomb-type anatase film with a thickness of 5 microm was formed. Photocatalytic oxidation (PC) and PEC oxidation of 2,4,6-trichlorophenol (TCP) in an aqueous solution were performed under various experimental conditions. Experimental results showed that the TiO2/Ti electrode, anodized in the H2SO4-H3PO4-H2O2-HF solution, had higher photocatalytic activity than the TiO2/Ti electrode anodized in the H2SO4 solution. It was found that the maximum applied potential would be around 2.5 V, corresponding to an optimum applied current density of 50 microA cm(-2) under UV-A illumination. The experiments confirmed that the E-H2O2 on the RVC electrode can significantly enhance the PEC oxidation of TCP in aqueous solution. The rate of TCP degradation in such an E-H2O2-assisted TiO2 PEC reaction was 5.0 times that of the TiO2 PC reaction and 2.3 times that of the TiO2 PEC reaction. The variation of pH during the E-H2O2-assisted TiO2 PEC reaction, affected by individual reactions, was also investigated. It was found that pH was well maintained during the TCP degradation in such an E-H2O2/TiO2 reaction system. This is beneficial to TCP degradation in an aqueous solution.

  4. Inactivation of Escherichia coli and Staphylococcus aureus on contaminated perilla leaves by Dielectric Barrier Discharge (DBD) plasma treatment.

    PubMed

    Ji, Sang Hye; Ki, Se Hoon; Ahn, Ji Ho; Shin, Jae Ho; Hong, Eun Jeong; Kim, Yun Ji; Choi, Eun Ha

    2018-04-02

    This study focused on sterilization methods for the reduction of microorganisms on perilla leaves by cylinder type Dielectric Barrier Discharge (DBD) plasma with underwater bubbler treatment. S. aureus and E. coli in a suspension were reduced to less than 3.4 and 0.5 log CFU/ml after the plasma treatment for 3 min, respectively. On the perilla leaves, they were also reduced to 4.8 and 1.6 log CFU/ml after the plasma treatment, respectively. The S. aureus and E. coli bacterial cell wall was damaged by the plasma treatment evident by scanning electron microscopic analysis. The observed infrared bands of the FTIR spectra demonstrated changes in protein, lipid, polysaccharide, polyphosphate group and other carbohydrate functionalities of plasma treated bacteria and untreated bacterial cell membranes. The degradation of the constituent bonds of the bacterial cell membrane by RONS generated from plasma destroys the DNA, RNA, and proteins within the cell, and may eventually cause cell death. In this study, H 2 O 2 (13.68 μM) and NO 3 (138 μM), which are the main factors generated by plasma, proved to have a bactericidal effect by inducing lipid peroxidation of bacterial cell membranes. In conclusion, cylinder type DBD plasma with underwater bubbler can be used as an environmentally friendly food disinfection device in cleaning processes of the food industry. Copyright © 2018 Elsevier Inc. All rights reserved.

  5. The Josephson plasma resonance in Bi2Sr2CaCu2O8 in a tilted field

    NASA Astrophysics Data System (ADS)

    Bayrakci, S.; Tsui, Ophelia K. C.; Ong, N. P.; Kishio, K.; Watauchi, S.

    1999-04-01

    The dependence of the Josephson plasma frequency ωp in Bi2Sr2CaCu2O8 on a tilted field H is reported. Measurements over a large range of B and tilt angle θ allow a detailed comparison with a recent calculation by Koshelev. With a slight modification of the model, close agreement is obtained. From the fits, we find values for the in-plane correlation length and the zero-field critical current density Jc0 (4600 A/cm2 at 30 K). An analogy to Bragg diffraction is described, as well as a picture for the fractional-exponent behavior of ωp vs. H

  6. Formation of ZrO{sub 2} in coating on Mg–3 wt.%Al–1 wt.%Zn alloy via plasma electrolytic oxidation: Phase and structure of zirconia

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kang Min; Kim, Yeon Sung; Yang, Hae Woong

    2015-01-15

    An investigation of the coating structure formed on Mg–3 wt.%Al–1 wt.%Zn alloy sample subjected to plasma electrolytic oxidation was examined by field-emission transmission electron microscopy. The plasma electrolytic oxidation process was conducted in a phosphoric acid electrolyte containing K{sub 2}ZrF{sub 6} for 600 s. Microstructural observations showed that the coating consisting of MgO, MgF{sub 2}, and ZrO{sub 2} phases was divided into three distinctive parts, the barrier, intermediate, and outer layers. Nanocrystalline MgO and MgF{sub 2} compounds were observed mainly in the barrier layer of ~ 1 μm thick near to the substrate. From the intermediate to outer layers, variousmore » ZrO{sub 2} polymorphs appeared due to the effects of the plasma arcing temperature on the phase transition of ZrO{sub 2} compounds during the plasma electrolytic oxidation process. In the outer layer, MgO compound grew in the form of a dendrite-like structure surrounded by cubic ZrO{sub 2}. - Highlights: • The barrier layer containing MgO and MgF{sub 2} was observed near to the Mg substrate. • In the intermediate layer, m-, t-, and o-ZrO{sub 2} compounds were additionally detected. • The outer layer contained MgO with the dendrite-like structure surrounded by c-ZrO{sub 2}. • The grain sizes of compounds in oxide layer increased from barrier to outer layer.« less

  7. High Throughput Plasma Water Treatment

    NASA Astrophysics Data System (ADS)

    Mujovic, Selman; Foster, John

    2016-10-01

    The troublesome emergence of new classes of micro-pollutants, such as pharmaceuticals and endocrine disruptors, poses challenges for conventional water treatment systems. In an effort to address these contaminants and to support water reuse in drought stricken regions, new technologies must be introduced. The interaction of water with plasma rapidly mineralizes organics by inducing advanced oxidation in addition to other chemical, physical and radiative processes. The primary barrier to the implementation of plasma-based water treatment is process volume scale up. In this work, we investigate a potentially scalable, high throughput plasma water reactor that utilizes a packed bed dielectric barrier-like geometry to maximize the plasma-water interface. Here, the water serves as the dielectric medium. High-speed imaging and emission spectroscopy are used to characterize the reactor discharges. Changes in methylene blue concentration and basic water parameters are mapped as a function of plasma treatment time. Experimental results are compared to electrostatic and plasma chemistry computations, which will provide insight into the reactor's operation so that efficiency can be assessed. Supported by NSF (CBET 1336375).

  8. Particle-in-cell/Monte Carlo collisions treatment of an Ar/O2 magnetron discharge used for the reactive sputter deposition of TiOx films

    NASA Astrophysics Data System (ADS)

    Bultinck, E.; Bogaerts, A.

    2009-10-01

    The physical processes in an Ar/O2 magnetron discharge used for the reactive sputter deposition of TiOx thin films were simulated with a 2d3v particle-in-cell/Monte Carlo collisions (PIC/MCC) model. The plasma species taken into account are electrons, Ar+ ions, fast Arf atoms, metastable Arm* atoms, Ti+ ions, Ti atoms, O+ ions, O2+ ions, O- ions and O atoms. This model accounts for plasma-target interactions, such as secondary electron emission and target sputtering, and the effects of target poisoning. Furthermore, the deposition process is described by an analytical surface model. The influence of the O2/Ar gas ratio on the plasma potential and on the species densities and fluxes is investigated. Among others, it is shown that a higher O2 pressure causes the region of positive plasma potential and the O- density to be more spread, and the latter to decrease. On the other hand, the deposition rates of Ti and O are not much affected by the O2/Ar proportion. Indeed, the predicted stoichiometry of the deposited TiOx film approaches x=2 for nearly all the investigated O2/Ar proportions.

  9. Urban wastewater treatment by using Ag/ZnO and Pt/TiO2 photocatalysts.

    PubMed

    Murcia Mesa, Julie J; Arias Bolivar, Lizeth G; Sarmiento, Hugo Alfonso Rojas; Martínez, Elsa Giovanna Ávila; Páez, César Jaramillo; Lara, Mayra Anabel; Santos, José Antonio Navío; Del Carmen Hidalgo López, María

    2018-03-02

    In this study, the treatment of wastewater coming from a river highly polluted with domestic and industrial effluents was evaluated. For this purpose, series of photocatalysts obtained by ZnO and TiO 2 modification were evaluated. The effect of metal addition and Ti precursor (in the case of the titania series) over the physicochemical and photocatalytic properties of the materials obtained was also analyzed. The evaluation of the photocatalytic activity showed that semiconductor modification and precursor used in the materials synthesis are important factors influencing the physicochemical and therefore the photocatalytic properties of the materials obtained. The water samples analyzed in the present work were taken from a highly polluted river, and it was found that the effectiveness of the photocatalytic treatment increases when the reaction time increases and for both, wastewater samples and isolated Escherichia coli strain follow the next order Pt/TiO 2 < ZnO. It was also observed that biochemical and chemical demand oxygen and turbidity significantly decrease after treatment, thus indicating that photocatalysis is a non-selective technology, which can lead to recover wastewater containing different pollutants.

  10. Formation of Fine B2/ β + O Structure and Enhancement of Hardness in the Aged Ti2AlNb-Based Alloys Prepared by Spark Plasma Sintering

    NASA Astrophysics Data System (ADS)

    Li, Mengchen; Cai, Qi; Liu, Yongchang; Ma, Zongqing; Wang, Zumin; Huang, Yuan; Li, Huijun

    2017-09-01

    Ti2AlNb-based alloys synthesized at 1223 K (950 °C) by spark plasma sintering were aged at 973 K, 1023 K, 1073 K, and 1123 K (700 °C, 750 °C, 800 °C, and 850 °C), respectively. Phase composition, microstructure, and microhardness of the aged alloys were investigated in this study. Equiaxed O grains and Widmanstätten B2/ β + O laths were formed in the aged alloys, and the microhardness was improved in contrast with the spark plasma-sintered alloy without aging. The microhardness relies largely on the O-phase content, as well as the length and width of the O laths. In particular, complete Widmanstätten B2/ β + O laths, with locally finely dispersed β precipitates, were obtained in the alloy aged at 1073 K (800 °C), and the alloy exhibited the best microhardness performance. Such fine structure is due to the temperature-dependent transformations Oequiaxed→Oprimary + B2/ β primary, Oprimary→Osecondary + B2/ β secondary, and B2/ β primary→O.

  11. Oxidation of S(IV) in Seawater by Pulsed High Voltage Discharge Plasma with TiO2/Ti Electrode as Catalyst

    NASA Astrophysics Data System (ADS)

    Gong, Jianying; Zhang, Xingwang; Wang, Xiaoping; Lei, Lecheng

    2013-12-01

    Oxidation of S(IV) to S(VI) in the effluent of a flue gas desulfurization(FGD) system is very critical for industrial applications of seawater FGD. This paper reports a pulsed corona discharge oxidation process combined with a TiO2 photocatalyst to convert S(IV) to S(VI) in artificial seawater. Experimental results show that the oxidation of S(IV) in artificial seawater is enhanced in the pulsed discharge plasma process through the application of TiO2 coating electrodes. The oxidation rate of S(IV) using Ti metal as a ground electrode is about 2.0×10-4 mol · L-1 · min-1, the oxidation rate using TiO2/Ti electrode prepared by annealing at 500°C in air is 4.5×10-4 mol · L-1 · min-1, an increase with a factor 2.25. The annealing temperature for preparing TiO2/Ti electrode has a strong effect on the oxidation of S(IV) in artificial seawater. The results of in-situ emission spectroscopic analysis show that chemically active species (i.e. hydroxyl radicals and oxygen radicals) are produced in the pulsed discharge plasma process. Compared with the traditional air oxidation process and the sole plasma-induced oxidation process, the combined application of TiO2 photocatalysts and a pulsed high-voltage electrical discharge process is useful in enhancing the energy and conversion efficiency of S(IV) for the seawater FGD system.

  12. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    NASA Astrophysics Data System (ADS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  13. Plasma treatment switches the regime of wetting and floating of pepper seeds.

    PubMed

    Shapira, Yekaterina; Multanen, Victor; Whyman, Gene; Bormashenko, Yelena; Chaniel, Gilad; Barkay, Zahava; Bormashenko, Edward

    2017-09-01

    Cold radiofrequency plasma treatment modified wetting and floating regimes of pepper seeds. The wetting regime of plasma-treated seeds was switched from the Wenzel-like partial wetting to the complete wetting. No hydrophobic recovery following the plasma treatment was registered. Environmental scanning electron microscopy of the fine structure of the (three-phase) triple line observed with virgin and plasma-treated seeds is reported. Plasma treatment promoted rapid sinking of pepper seeds placed on the water/air interface. Plasma treatment did not influence the surface topography of pepper seeds, while charged them electrically. Electrostatic repulsion of floating plasma-treated seeds was observed. The surface charge density was estimated from the data extracted from floating of charged seeds and independently with the electrostatic pendulum as σ≈1-2μC/m 2 . Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Y2O3-MgO Nano-Composite Synthesized by Plasma Spraying and Thermal Decomposition of Solution Precursors

    NASA Astrophysics Data System (ADS)

    Muoto, Chigozie Kenechukwu

    This research aims to identify the key feedstock characteristics and processing conditions to produce Y2O3-MgO composite coatings with high density and hardness using solution precursor plasma spray (SPPS) and suspension plasma spray (SPS) processes, and also, to explore the phenomena involved in the production of homogenized nano-composite powders of this material system by thermal decomposition of solution precursor mixtures. The material system would find potential application in the fabrication of components for optical applications such as transparent windows. It was shown that a lack of major endothermic events during precursor decomposition and the resultant formation of highly dense particles upon pyrolysis are critical precursor characteristics for the deposition of dense and hard Y2O3-MgO coatings by SPPS. Using these principles, a new Y2O3-MgO precursor solution was developed, which yielded a coating with Vickers hardness of 560 Hv. This was a considerable improvement over the hardness of the coatings obtained using conventional solution precursors, which was as low as 110 Hv. In the thermal decomposition synthesis process, binary solution precursor mixtures of: yttrium nitrate (Y[n]) or yttrium acetate (Y[a]), with magnesium nitrate (Mg[n]) or magnesium acetate (Mg[a]) were used in order to study the effects of precursor chemistry on the structural characteristics of the resultant Y2O3-MgO powders. The phase domains were coarse and distributed rather inhomogeneously in the materials obtained from the Y[n]Mg[n] and Y[a]Mg[a] mixtures; finer and more homogeneously-distributed phase domains were obtained for ceramics produced from the Y[a]Mg[n] and Y[n]Mg[a] mixtures. It was established that these phenomena were related to the thermal characteristics for the decomposition of the precursors and their effect on phase separation during oxide crystallization. Addition of ammonium acetate to the Y[n[Mg[n] mixture changed the endothermic process to exothermic

  15. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  16. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solidmore » oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.« less

  17. Preparation of chitosan-coated polyethylene packaging films by DBD plasma treatment.

    PubMed

    Theapsak, Siriporn; Watthanaphanit, Anyarat; Rujiravanit, Ratana

    2012-05-01

    Polyethylene (PE) packaging films were coated with chitosan in order to introduce the antibacterial activity to the films. To augment the interaction between the two polymers, we modified the surfaces of the PE films by dielectric barrier discharge (DBD) plasma before chitosan coating. After that the plasma-treated PE films were immersed in chitosan acetate solutions with different concentrations of chitosan. The optimum plasma treatment time was 10 s as determined from contact angle measurement. Effect of the plasma treatment on the surface roughness of the PE films was investigated by atomic force microscope (AFM) while the occurrence of polar functional groups was observed by X-ray photoelectron spectroscope (XPS) and Fourier transformed infrared spectroscope (FTIR). It was found that the surface roughness as well as the occurrence of oxygen-containing functional groups (i.e., C═O, C-O, and -OH) of the plasma-treated PE films increased from those of the untreated one, indicating that the DBD plasma enhanced hydrophilicity of the PE films. The amounts of chitosan coated on the PE films were determined after washing the coated films in water for several number of washing cycles prior to detection of the chitosan content by the Kjaldahl method. The amounts of chitosan coated on the PE films were constant after washing for three times and the chitosan-coated PE films exhibited appreciable antibacterial activity against Escherichia coli and Staphylococcus aureus. Hence, the obtained chitosan-coated PE films could be a promising candidate for antibacterial food packaging.

  18. Treatment of Streptococcus mutans bacteria by a plasma needle

    NASA Astrophysics Data System (ADS)

    Zhang, Xianhui; Huang, Jun; Liu, Xiaodi; Peng, Lei; Guo, Lihong; Lv, Guohua; Chen, Wei; Feng, Kecheng; Yang, Si-ze

    2009-03-01

    A dielectric barrier discharge plasma needle was realized at atmospheric pressure with a funnel-shaped nozzle. The preliminary characteristics of the plasma plume and its applications in the inactivation of Streptococcus mutans (S. mutans), the most important microorganism causing dental caries, were presented in this paper. The temperature of the plasma plume does not reach higher than 315 K when the power is below 28 W. Oxygen was injected downstream in the plasma afterglow region through the powered steel tube. Its effect was studied via optical-emission spectroscopy, both in air and in agar. Results show that addition of 26 SCCM O2 does not affect the plume length significantly (SCCM denotes cubic centimeter per minute at STP). The inactivation of S. mutans is primarily attributed to ultraviolet light emission, O, OH, and He radicals.

  19. Microstructural Evolution of Nanocrystalline Diamond Films Due to CH4/Ar/H2 Plasma Post-Treatment Process.

    PubMed

    Lin, Sheng-Chang; Yeh, Chien-Jui; Manoharan, Divinah; Leou, Keh-Chyang; Lin, I-Nan

    2015-10-07

    Plasma post-treatment process was observed to markedly enhance the electron field emission (EFE) properties of ultrananocrystalline diamond (UNCD) films. TEM examinations reveal that the prime factor which improves the EFE properties of these films is the coalescence of ultrasmall diamond grains (∼5 nm) forming large diamond grains about hundreds of nanometers accompanied by the formation of nanographitic clusters along the grain boundaries due to the plasma post-treatment process. OES studies reveal the presence of large proportion of atomic hydrogen and C2 (or CH) species, which are the main ingredients that altered the granular structure of the UNCD films. In the post-treatment process, the plasma interacts with the diamond films by a diffusion process. The recrystallization of diamond grains started at the surface region of the material, and the interaction zone increased with the post-treatment period. The entire diamond film can be converted into a nanocrystalline granular structure when post-treated for a sufficient length of time.

  20. Optical properties study of silicone polymer PDMS substrate surfaces modified by plasma treatment

    NASA Astrophysics Data System (ADS)

    Zahid, A.; Dai, B.; Hong, R.; Zhang, D.

    2017-10-01

    In this study, PDMS (polydimethylsiloxane) substrates with a half-plain, half-rough surface were prepared on a plain and rough fused silica glass substrate using a molding technique. The molded PDMS surface morphology was changed into a half-smooth and half-rough surface after peeling. The modified PDMS surfaces’ optical properties were inspected with and without treatment. The treatment is exposed by oxygen plasma (15 W) for 3 min in a vacuum, down to a pressure of six torr, using a vacuum pump. An atomic force microscope (AMF) and interferometer (white light) indicated that the plasma O2 treatment increased the formation of the plain surface and decreased the formation of the rough surface. The optical properties via a spectrophotometer (lambda) show the resonance from 300 nm to 1200 nm on the rough surface, which is considered to be a faithful reproduction for transmittance and reflectance. The Raman spectra and FDTD simulation results are in excellent agreement; not to be confused with metal local surface plasmon resonances (LSPRs). The Raman spectra peaks and hotspot are the results of the PDMS Si-O backbone. The PDMS substrate presented the diversity of the optical properties, which makes the substrate complementary to various optical applications.

  1. Impression of plasma voltage on growth of α-V{sub 2}O{sub 5} nanostructured thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Rabindar Kumar, E-mail: rkrksharma6@gmail.com; Kumar, Prabhat; Reddy, G. B.

    2015-06-24

    In this communication, we synthesized vanadium pentoxide (α-V{sub 2}O{sub 5}) nanostructured thin films (NST{sub s}) accompanied with nanoflakes/ nanoplates on the Ni-coated glass substrates employing plasma assisted sublimation process (PASP) as a function of plasma voltage (V{sub p}). The effect of plasma voltage on structural, morphological, compositional, and vibrational properties have been studied systematically. The structural analysis divulged that all films deposited at different V{sub p} have pure orthorhombic phase, no impurity phase is detected under resolution limit of XRD and XPS. The morphological studies of samples is carried out by SEM, revealed that features as well as alignment ofmore » V{sub 2}O{sub 5} NST{sub s} is greatly monitored by V{sub p} and the film possessing the best features is obtained at 2500volt. In addition, XPS results reveal that V{sup 5+} oxidation state is the most prominent state in sample V{sub 2}, which represents better stoichiometric nature of film. The vibrational study of all samples is performed by FTIR and strongly support the XRD observations. All the results are in consonance with each other.« less

  2. Effect of sulfur hexafluoride gas and post-annealing treatment for inductively coupled plasma etched barium titanate thin films

    PubMed Central

    2014-01-01

    Aerosol deposition- (AD) derived barium titanate (BTO) micropatterns are etched via SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the sulfur hexafluoride on BTO thin films and the effects of annealing treatment are verified through X-ray photoelectron spectroscopy (XPS) analysis, which confirms the accumulation of reaction products on the etched surface due to the low volatility of the reaction products, such as Ba and Ti fluorides, and these residues could be completely removed by the post-annealing treatment. The exact peak positions and chemicals shifts of Ba 3d, Ti 2p, O 1 s, and F 1 s are deduced by fitting the XPS narrow-scan spectra on as-deposited, etched, and post-annealed BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d 5/2 , Ba 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1 s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.85 eV, respectively. A comparison of the as-deposited film with the post-annealed film after etching revealed that there are no significant differences in the fitted XPS narrow-scan spectra except for the slight chemical shift in the O 1 s peak due to the oxygen vacancy compensation in O2-excessive atmosphere. It is inferred that the electrical properties of the etched BTO film can be restored by post-annealing treatment after the etching process. Moreover, the relative permittivity and loss tangent of the post-annealed BTO thin films are remarkably improved by 232% and 2,695%, respectively. PMID:25249824

  3. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  4. Determination of Montelukast in Plasma Using β - Cyclodextrins Coated on CoFe2O4 Magnetic Nanoparticles in Luminol-H2O2 Chemiluminescence System Optimized by Doehlert Design.

    PubMed

    Samadi-Maybodi, Abdolraouf; Bakhtiar, Alireza; Fatemi, Mohammad Hossein

    2016-05-01

    A novel chemiluminescence method using β - cyclodextrins coated on CoFe2O4 magnetic nanoparticles is proposed for the chemiluminometric determination of montelukast in plasma. The effect of coated β - cyclodexterinon CoFe2O4 magnetic nanoparticles in the chemiluminescence of luminol-H2O2 system was investigated. It was found that β - cyclodexterin coated on CoFe2O4 magnetic nanoparticles could greatly enhance the chemiluminescence of the luminol-H2O2 system. Doehlert design was applied in order to optimize the number of experiments to be carried out to ascertain the possible interactions between the parameters and their effects on the chemiluminescence emission intensity. This design was selected because the levels of each variable may vary in a very efficient way with few experiments. Doehlert design and response surface methodology have been employed for optimization pH and concentrations of the components. Results showed under the optimized experimental conditions, the relative CL intensity (ΔI) is increased linearly in the concentration range of 0.003-0.586 μgml(-1) of montelukast with limit of detection (LOD) 1.09 × 10(-4) μgml(-1) at S/N ratio of 3, limit of quantitative (LOQ) 3.59 × 10(-4) μgml(-1) and the relative standard deviation 2.63 %. The method has been successfully applied to the determination of montelukast in plasma of human body. Results specified that relative chemiluminescence intensity (ΔI) has good proportional with the montelukast concentration with R(2) = 0.99979. The test of the recovery efficiency for known amounts of montelukast was also performed, the recoveries range obtained from 98.2 to 103.3 %, with RSDs of <4 % indicated that the proposed method was reliable.

  5. Deposition of nanocomposite Cu-TiO2 using heterogeneous colliding plasmas

    NASA Astrophysics Data System (ADS)

    Pandey, Pramod K.; Thareja, Raj K.; Singh, Ravi Pratap; Costello, John T.

    2018-03-01

    The formation of CuTiO2 nanocomposites has been observed in an experiment in which laser plasma plumes of Cu and Ti collide and stagnate in an oxygen atmosphere. The inherent advantage of this technique lies in its simplicity and flexibility where laser, target composition and geometry along with ambient atmosphere are all controllable parameters through which the stoichiometry of the deposited nanocomposites may be selected. The experiment has been performed at three oxygen ambient pressures 10-4, 10-2, 100 mbar and we observe its effect on stoichiometry, and morphology of the deposited nanocomposites. Here, we show how the stoichiometry of deposited nanocomposites can be readily controlled by changing just one parameter, namely the ambient oxygen pressure. The different peaks of photoluminescence spectra λ =390{ nm}( {E=3.18{ eV}} ) corresponding to the anatase phase of TiO2, along with the peaks at λ = 483 nm ( E = 2.56 eV) and 582 nm ( E = 2.13 eV) of deposited nanocomposites, shows the doping/blending effect on the band gaps which may potentially be of value in solar cell technology. The technique can, in principle, be extended to include nanocomposites of other materials making it potentially more widely applicable.

  6. The Effects of Gas Composition on the Atmospheric Pressure Plasma Jet Modification of Polyethylene Films

    NASA Astrophysics Data System (ADS)

    Sun, Jie; Qiu, Yiping

    2015-05-01

    Polyethylene (PE) films are treated using an atmospheric pressure plasma jet (APPJ) with He or He/O2 gas for different periods of time. The influence of gas type on the plasma-polymer interactions is studied. The surface contact angle of the PE film can be effectively lowered to 58° after 20 s of He/O2 plasma treatment and then remains almost unchanged for longer treatment durations, while, for He plasma treatment, the film surface contact angle drops gradually to 47° when the time reaches 120 s. Atomic force microscopy (AFM) results show that the root mean square (RMS) roughness was significantly higher for the He/O2 plasma treated samples than for the He plasma treated counterparts, and the surface topography of the He/O2 plasma treated PE films displays evenly distributed dome-shaped small protuberances. Chemical composition analysis reveals that the He plasma treated samples have a higher oxygen content but a clearly lower percentage of -COO than the comparable He/O2 treated samples, suggesting that differences exist in the mode of incorporating oxygen between the two gas condition plasma treatments. Electron spin resonance (ESR) results show that the free radical concentrations of the He plasma treated samples were clearly higher than those of the He/O2 plasma treated ones with other conditions unchanged. supported by the Fundamental Research Funds for the Central Universities of China (Nos. JUSRP1044 and JUSRP1045), National Natural Science Foundation of China (Nos. 51203062 and 51302110), and the Cooperative Innovation Fund, Project of Jiangsu Province, China (Nos. BY2012064, BY2013015-31 and BY2013015-32)

  7. Impact of oxygen plasma postoxidation process on Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lechaux, Y.; Fadjie-Djomkam, A. B.; Bollaert, S.; Wichmann, N.

    2016-09-01

    Capacitance-voltage (C-V) measurements and x-ray photoelectron spectroscopy (XPS) analysis were performed in order to investigate the effect of a oxygen (O2) plasma after oxide deposition on the Al2O3/n-In0.53Ga0.47As metal-oxide-semiconductor structure passivated with ammonia NH4OH solution. From C-V measurements, an improvement of charge control is observed using the O2 plasma postoxidation process on In0.53Ga0.47As, while the minimum of interface trap density remains at a good value lower than 1 × 1012 cm-2 eV-1. From XPS measurements, we found that NH4OH passivation removes drastically the Ga and As native oxides on the In0.53Ga0.47As surface and the O2 plasma postoxidation process enables the reduction of interface re-oxidation after post deposition annealing (PDA) of the oxide. The advanced hypothesis is the formation of interfacial barrier between Al2O3 and In0.53Ga0.47As which prevents the diffusion of oxygen species into the semiconductor surface during PDA.

  8. Sequential effect of phages and cold nitrogen plasma against Escherichia coli O157:H7 biofilms on different vegetables.

    PubMed

    Cui, Haiying; Bai, Mei; Yuan, Lu; Surendhiran, Duraiarasan; Lin, Lin

    2018-03-02

    Escherichia coli O157:H7 (E. coli O157:H7) is one of the most common pathogens in fresh vegetables and fruits, and most of the diseases produced by E. coli O157:H7 are associated with biofilms. Cold nitrogen plasma (CNP) is a cold sterilization technique which has no residue. However to completely eliminate the biofilm on the surface of vegetables the processing power and time of CNP have to be enhanced, which will impact on the quality of fruits and vegetables. Thus the sequential treatment of CNP and phage techniques was engineered in this study. Compared to treatment performed separately, sequential treatment not only had more mild treatment conditions as 400W CNP treatment for 2min and 5% phage treatment for 30min, but also exhibited more remarkable effect on eradicating E. coli O157:H7 biofilms in vitro and on vegetables. The population of E. coli O157:H7 was approximately reduced by 2logCFU/cm 2 after individual treatment of 5% phages for 30min or 500W CNP for 3min. While the sequential treatment of CNP (400W, 2min) and phages (5%, 30min) reduced the E. coli O157:H7 viable count in biofilm by 5.71logCFU/cm 2 . Therefore, the sequential treatment holds a great promise to improve the current treatment systems of bacterial contamination on different vegetable surfaces. Copyright © 2018 Elsevier B.V. All rights reserved.

  9. HIV diversity and drug resistance from plasma and non-plasma analytes in a large treatment programme in western Kenya.

    PubMed

    Kantor, Rami; DeLong, Allison; Balamane, Maya; Schreier, Leeann; Lloyd, Robert M; Injera, Wilfred; Kamle, Lydia; Mambo, Fidelis; Muyonga, Sarah; Katzenstein, David; Hogan, Joseph; Buziba, Nathan; Diero, Lameck

    2014-01-01

    Antiretroviral resistance leads to treatment failure and resistance transmission. Resistance data in western Kenya are limited. Collection of non-plasma analytes may provide additional resistance information. We assessed HIV diversity using the REGA tool, transmitted resistance by the WHO mutation list and acquired resistance upon first-line failure by the IAS-USA mutation list, at the Academic Model Providing Access to Healthcare (AMPATH), a major treatment programme in western Kenya. Plasma and four non-plasma analytes, dried blood-spots (DBS), dried plasma-spots (DPS), ViveST(TM)-plasma (STP) and ViveST-blood (STB), were compared to identify diversity and evaluate sequence concordance. Among 122 patients, 62 were treatment-naïve and 60 treatment-experienced; 61% were female, median age 35 years, median CD4 182 cells/µL, median viral-load 4.6 log10 copies/mL. One hundred and ninety-six sequences were available for 107/122 (88%) patients, 58/62 (94%) treatment-naïve and 49/60 (82%) treated; 100/122 (82%) plasma, 37/78 (47%) attempted DBS, 16/45 (36%) attempted DPS, 14/44 (32%) attempted STP from fresh plasma and 23/34 (68%) from frozen plasma, and 5/42 (12%) attempted STB. Plasma and DBS genotyping success increased at higher VL and shorter shipment-to-genotyping time. Main subtypes were A (62%), D (15%) and C (6%). Transmitted resistance was found in 1.8% of plasma sequences, and 7% combining analytes. Plasma resistance mutations were identified in 91% of treated patients, 76% NRTI, 91% NNRTI; 76% dual-class; 60% with intermediate-high predicted resistance to future treatment options; with novel mutation co-occurrence patterns. Nearly 88% of plasma mutations were identified in DBS, 89% in DPS and 94% in STP. Of 23 discordant mutations, 92% in plasma and 60% in non-plasma analytes were mixtures. Mean whole-sequence discordance from frozen plasma reference was 1.1% for plasma-DBS, 1.2% plasma-DPS, 2.0% plasma-STP and 2.3% plasma-STB. Of 23 plasma

  10. Treatment of Streptococcus mutans bacteria by a plasma needle

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang Xianhui; School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022; Fujian Key Lab of Plasma and Magnetic Resonance, Department of Aeronautics School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005

    2009-03-15

    A dielectric barrier discharge plasma needle was realized at atmospheric pressure with a funnel-shaped nozzle. The preliminary characteristics of the plasma plume and its applications in the inactivation of Streptococcus mutans (S. mutans), the most important microorganism causing dental caries, were presented in this paper. The temperature of the plasma plume does not reach higher than 315 K when the power is below 28 W. Oxygen was injected downstream in the plasma afterglow region through the powered steel tube. Its effect was studied via optical-emission spectroscopy, both in air and in agar. Results show that addition of 26 SCCM O{submore » 2} does not affect the plume length significantly (SCCM denotes cubic centimeter per minute at STP). The inactivation of S. mutans is primarily attributed to ultraviolet light emission, O, OH, and He radicals.« less

  11. Functionalization of carbon nanotubes by water plasma.

    PubMed

    Hussain, S; Amade, R; Jover, E; Bertran, E

    2012-09-28

    Multiwall carbon nanotubes grown by plasma enhanced chemical vapour deposition were functionalized by H(2)O plasma treatment. Through a controlled functionalization process of the carbon nanotubes (CNTs) we were able to modify and tune their chemical reactivity, expanding the range of potential applications in the field of energy and environment. In particular, different oxygen groups were attached to the surfaces of the nanotubes (e.g. carboxyl, hydroxyl and carbonyl), which changed their physicochemical properties. In order to optimize the main operational parameters of the H(2)O plasma treatment, pressure and power, a Box-Wilson experimental design was adopted. Analysis of the morphology, electrochemical properties and functional groups attached to the surfaces of the CNTs allowed us to determine which treatment conditions were suitable for different applications. After water plasma treatment the specific capacitance of the nanotubes increased from 23 up to 68 F g(-1) at a scan rate of 10 mV s(-1).

  12. Neutral-neutral and neutral-ion collision integrals for Y2O3-Ar plasma system

    NASA Astrophysics Data System (ADS)

    Dhamale, Gayatri D.; Nath, Swastik; Mathe, Vikas L.; Ghorui, Srikumar

    2017-06-01

    A detailed investigation on the neutral-neutral and neutral-ion collision integrals is reported for Y2O3-Ar plasma, an important system of functional material with unique properties having a wide range of processing applications. The calculated integrals are indispensible pre-requisite for the estimation of transport properties needed in CFD modelling of associated plasma processes. Polarizability plays an important role in determining the integral values. Ambiguity in selecting appropriate polarizability data available in the literature and calculating effective number of electrons in the ionized species contributing to the polarizability are addressed. The integrals are evaluated using Lennard-Jones like phenomenological potential up to (l,s) = (4,4). Used interaction potential is suitable for both neutral-neutral and neutral-ion interactions. For atom-parent ion interactions, contribution coming from the inelastic resonant charge transfer process has been accounted properly together with that coming from the elastic counterpart. A total of 14 interacting species and 60 different interactions are considered. Key contributing factors like basic electronic properties of the interacting species and associated polarizability values are accounted carefully. Adopted methodology is first benchmarked against data reported in the literature and then applied to the Y2O3-Ar plasma system for estimating the collision integrals. Results are presented in the temperature range of 100 K-100 000 K.

  13. Effect of an in situ Hydrogen Plasma Pre-treatment on the Reduction of GaSb Native oxides Prior to Atomic Layer Deposition

    DTIC Science & Technology

    2013-04-12

    absence of Sb-oxides, a reduction in elemental Sb, and an increase in the Ga2O3 content at the interface. The use of an in situ hydrogen...elemental Sb, and an increase in the Ga2O3 content at the interface. The use of an in situ hydrogen plasma pre-treatment eliminates the need for wet...the +1 state (Ga2O) and the +3 state ( Ga2O3 ), with peak positions found at 530.5 eV (Sb2O4), 20.1 eV (Ga2O), and 20.7 eV ( Ga2O3 ) [11,18]. The AFM image

  14. Role of H2O2 in hypertension, renin-angiotensin system activation and renal medullary disfunction caused by angiotensin II

    PubMed Central

    Sousa, T; Oliveira, S; Afonso, J; Morato, M; Patinha, D; Fraga, S; Carvalho, F; Albino-Teixeira, A

    2012-01-01

    BACKGROUND AND PURPOSE Activation of the intrarenal renin-angiotensin system (RAS) and increased renal medullary hydrogen peroxide (H2O2) contribute to hypertension. We examined whether H2O2 mediated hypertension and intrarenal RAS activation induced by angiotensin II (Ang II). EXPERIMENTAL APPROACH Ang II (200 ng·kg−1·min−1) or saline were infused in Sprague Dawley rats from day 0 to day 14. Polyethylene glycol (PEG)-catalase (10 000 U·kg−1·day−1) was given to Ang II-treated rats, from day 7 to day 14. Systolic blood pressure was measured throughout the study. H2O2, angiotensin AT1 receptor and Nox4 expression and nuclear factor-κB (NF-κB) activation were evaluated in the kidney. Plasma and urinary H2O2 and angiotensinogen were also measured. KEY RESULTS Ang II increased H2O2, AT1 receptor and Nox4 expression and NF-κB activation in the renal medulla, but not in the cortex. Ang II raised plasma and urinary H2O2 levels, increased urinary angiotensinogen but reduced plasma angiotensinogen. PEG-catalase had a short-term antihypertensive effect and transiently suppressed urinary angiotensinogen. PEG-catalase decreased renal medullary expression of AT1 receptors and Nox4 in Ang II-infused rats. Renal medullary NF-κB activation was correlated with local H2O2 levels and urinary angiotensinogen excretion. Loss of antihypertensive efficacy was associated with an eightfold increase of plasma angiotensinogen. CONCLUSIONS AND IMPLICATIONS The renal medulla is a major target for Ang II-induced redox dysfunction. H2O2 appears to be the key mediator enhancing intrarenal RAS activation and decreasing systemic RAS activity. The specific control of renal medullary H2O2 levels may provide future grounds for the treatment of hypertension. PMID:22452317

  15. Catechol-O-methyltransferase gene variants may associate with negative symptom response and plasma concentrations of prolactin in schizophrenia after amisulpride treatment.

    PubMed

    Chen, Chun-Yen; Yeh, Yi-Wei; Kuo, Shin-Chang; Ho, Pei-Shen; Liang, Chih-Sung; Yen, Che-Hung; Lu, Ru-Band; Huang, San-Yuan

    2016-03-01

    Catechol-O-methyltransferase (COMT) enzyme is involved in the pathogenesis of psychotic symptoms and may be associated with a therapeutic response to antipsychotic drugs. The aim of this study was to examine the relationship between COMT variants, plasma prolactin level, and the therapeutic effectiveness of amisulpride treatment in patients with schizophrenia. A 12-week naturalistic study of amisulpride treatment was carried out in 185 Han Chinese patients with schizophrenia. The patients were screened for 14 single-nucleotide polymorphisms of the COMT gene. The Positive and Negative Syndrome Scale (PANSS) was used to assess the improvement of psychopathological symptoms from the baseline to the end point in each subject. For better presentation of time-course changes in response status, a mixed model for repeated-measures (MMRM) analysis of symptom improvement during the 12-week treatment period was conducted. The change in plasma prolactin level after amisulpride treatment was also examined (n=51). No significant differences in the genotype frequencies of the COMT variants investigated were observed between responders and non-responders. Moreover, an MMRM analysis of psychopathological symptom improvement during the 12-week treatment course showed that it depended significantly on COMT variants (rs4680, rs4633, and rs6267), particularly regarding changes in negative symptoms. The increase in plasma prolactin levels observed was influenced by the COMT rs4680 variant and was positively correlated with a reduction in PANSS negative scores. Our results suggest that variation of the COMT gene is associated with treatment response regarding negative symptoms and prolactin changes after amisulpride treatment in patients with schizophrenia. Copyright © 2015 Elsevier Ltd. All rights reserved.

  16. Treatment of real industrial wastewaters through nano-TiO2 and nano-Fe2O3 photocatalysis: case study of mining and kraft pulp mill effluents.

    PubMed

    Nogueira, V; Lopes, I; Rocha-Santos, T A P; Gonçalves, F; Pereira, R

    2018-06-01

    High quantities of industrial wastewaters containing a wide range of organic and inorganic pollutants are being directly discharged into the environment, sometimes without proper treatment. Nanotechnology has a tremendous potential improving the existing treatments or even develop new treatment solutions. In this study, nano-TiO 2 or nano-Fe 2 O 3 was used for the photocatalytic treatment of kraft pulp mill effluent and mining effluent. The experiments with the organic effluent lead to reduction percentages of 93.3%, 68.4% and 89.8%, for colour, aromatic compounds and chemical oxygen demand, respectively, when treated with nano-TiO 2 /H 2 O 2 /UV and nano-Fe 2 O 3 /H 2 O 2 /UV, at pH 3.0. Significant removal of metals from the mining effluent was recorded but only for Zn, Al and Cd, the highest removal attained with 1.0 g L -1 of nano-TiO 2 /UV and nano-Fe 2 O 3 /UV. Regarding the toxicity of the organic effluent to Vibrio fischeri, it was reduced with the treatments combining the oxidant and the catalyst. However, for the inorganic effluent, the best reduction was achieved using 1.0 g L -1 of catalyst. In fact, the increase in dose of the catalyst, especially for nano-TiO 2 , enhanced toxicity reduction. Our results have shown that the use of these NMs seemed to be more effective in the organic effluent than in metal-rich effluent.

  17. Sterilization of Turmeric by Atmospheric Pressure Dielectric Barrier Discharge Plasma

    NASA Astrophysics Data System (ADS)

    Setareh, Salarieh; Davoud, Dorranian

    2013-11-01

    In this study atmospheric pressure dielectric barrier discharge (DBD) plasma has been employed for sterilizing dry turmeric powders. A 6 kV, 6 kHz frequency generator was used to generate plasma with Ar, Ar/O2, He, and He/O2 gases between the 5 mm gap of two quartz covered electrodes. The complete sterilization time of samples due to plasma treatment was measured. The most important contaminant of turmeric is bacillus subtilis. The results show that the shortest sterilization time of 15 min is achieved by exposing the samples to Ar/O2 plasma. Survival curves of samples are exponential functions of time and the addition of oxygen to plasma leads to a significant increase of the absolute value of time constant of the curves. Magnitudes of protein and DNA in treated samples were increased to a similar value for all samples. Taste, color, and solubility of samples were not changed after the plasma treatment.

  18. Microstructural inhomogeneity in plasma-sprayed hydroxyapatite coatings and effect of post-heat treatment

    NASA Astrophysics Data System (ADS)

    Lu, Yu-Peng; Xiao, Gui-Yong; Li, Shi-Tong; Sun, Rui-Xue; Li, Mu-Sen

    2006-01-01

    The microstructural inhomogeneity in the plasma-sprayed hydroxyapatite (HA) coatings was characterized by using electron probe microanalyser (EPMA). A simple and artful method was developed to detect the interface characteristics. All the samples for observation were ground and polished along the direction parallel to the coating surfaces. The BSE images directly and clearly showed the inhomogeneity in the as-sprayed coatings with the amorphous regions being bright gray and crystalline regions being dark gray. X-ray diffractometer (XRD) patterns indicated that after immersion in deionized water for 20 days, bone-like apatite and α-Ca 2P 2O 7 precipitated on the polished surfaces of the as-sprayed HA coatings. The post-heat treatment could eliminate the microstructural inhomogeneity in the coatings. Only β-Ca 2P 2O 7 precipitated on the surfaces of the heat-treated HA coatings. The immersed samples were re-polished till tiny substrate was bared to investigate the effect of immersion on interface. It was shown that the immersion decreased the cohesive strength of the as-sprayed coatings. There were more and broader cracks in the splats that came into contact with the substrate and amorphous phase increased toward the coating-substrate interface. Post-heat treatment was proved to reduce the peeling off of coating during re-polishing operation. It was proposed that the distributions of amorphous phase and cracks in as-sprayed coatings are detrimental to coating properties and should be modified through improving the plasma spraying processing.

  19. Air plasma treatment of liquid covered tissue: long timescale chemistry

    NASA Astrophysics Data System (ADS)

    Lietz, Amanda M.; Kushner, Mark J.

    2016-10-01

    Atmospheric pressure plasmas have shown great promise for the treatment of wounds and cancerous tumors. In these applications, the sample is usually covered by a thin layer of a biological liquid. The reactive oxygen and nitrogen species (RONS) generated by the plasma activate and are processed by the liquid before the plasma produced activation reaches the tissue. The synergy between the plasma and the liquid, including evaporation and the solvation of ions and neutrals, is critical to understanding the outcome of plasma treatment. The atmospheric pressure plasma sources used in these procedures are typically repetitively pulsed. The processes activated by the plasma sources have multiple timescales—from a few ns during the discharge pulse to many minutes for reactions in the liquid. In this paper we discuss results from a computational investigation of plasma-liquid interactions and liquid phase chemistry using a global model with the goal of addressing this large dynamic range in timescales. In modeling air plasmas produced by a dielectric barrier discharge over liquid covered tissue, 5000 voltage pulses were simulated, followed by 5 min of afterglow. Due to the accumulation of long-lived species such as ozone and N x O y , the gas phase dynamics of the 5000th discharge pulse are different from those of the first pulse, particularly with regards to the negative ions. The consequences of applied voltage, gas flow, pulse repetition frequency, and the presence of organic molecules in the liquid on the gas and liquid reactive species are discussed.

  20. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikia, Partha, E-mail: partha.008@gmail.com; Institute of Physics, Pontificia Universidad Católica de Chile, Av. Vicuña Mackenna 4860, Santiago; Saikia, Bipul Kumar

    2016-04-15

    We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO{sub 2} films. The parameters of the hydrogen-added Ar/O{sub 2} plasma influence the properties and the structural phases of the deposited TiO{sub 2} film. Therefore, the variation of plasma parameters such as electron temperature (T{sub e}), electron density (n{sub e}), ion density (n{sub i}), degree of ionization of Ar and degree of dissociation of H{sub 2} as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma.more » On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO{sub 2} film.« less

  1. A Study on the Deposition of Al2O3 Coatings on Polymer Substrates by a Plasma Spray/Micro-Arc Oxidation Two-Step Method

    NASA Astrophysics Data System (ADS)

    Sun, Guanhong; He, Xiaodong; Jiang, Jiuxing; Sun, Yue; Zhong, Yesheng

    2013-02-01

    To increase the wear resistance of polymer matrix composites, alumina coatings were deposited on polymer substrates by a two-step method combining plasma spraying and micro-arc oxidation. The microstructures and phase compositions of the processed coatings were investigated for different treatment times. Uniformly distributed pores were found in addition to the presence of various coral-like structures and floccules on the surface of the coatings. The presence of α-Al2O3 and γ-Al2O3 phases was identified by XRD. The distribution of alumina was analyzed by EDS and is discussed. The maximum bond strength of the coatings was found to be 5.89 MPa. There was little thermal damage in the polymer substrates after the coatings were produced.

  2. Organic micropollutants (OMPs) in natural waters: Oxidation by UV/H2O2 treatment and toxicity assessment.

    PubMed

    Rozas, Oscar; Vidal, Cristiane; Baeza, Carolina; Jardim, Wilson F; Rossner, Alfred; Mansilla, Héctor D

    2016-07-01

    Organic micropollutants (OMPs) are ubiquitous in natural waters even in places where the human activity is limited. The presence of OMPs in natural water sources for human consumption encourages the evaluation of different water purification technologies to ensure water quality. In this study, the Biobío river (Chile) was selected since the watershed includes urban settlements and economic activities (i.e. agriculture, forestry) that incorporate a variety of OMPs into the aquatic environment, such as pesticides, pharmaceuticals and personal care products. Atrazine (herbicide), caffeine (psychotropic), diclofenac (anti-inflammatory) and triclosan (antimicrobial) in Biobío river water and in different stages of a drinking and two wastewater treatment plants downstream Biobío river were determined using solid phase extraction (SPE) and liquid chromatography/tandem mass spectrometry (LC-MS/MS) and electrospray ionization (ESI). Quantification of these four compounds showed concentrations in the range of 8 ± 2 to 55 ± 10 ng L(-1) in Biobío river water, 11 ± 2 to 74 ± 21 ng L(-1) in the drinking water treatment plant, and 60 ± 10 to 15,000 ± 1300 ng L(-1) in the wastewater treatment plants. Caffeine was used as an indicator of wastewater discharges. Because conventional water treatment technologies are not designed to eliminate some emerging organic pollutants, alternative treatment processes, UV and UV/H2O2, were employed. The transformation of atrazine, carbamazepine (antiepileptic), diclofenac and triclosan was investigated at laboratory scale. Both processes were tested at different UV doses and the Biobío river water matrix effects were evaluated. Initial H2O2 concentration used was 10 mg L(-1). Results showed that, the transformation profile obtained using UV/H2O2 at UV doses up to 900 mJ cm(-2), followed the trend of diclofenac > triclosan > atrazine > carbamazepine. Furthermore acute toxicity tests with Daphnia magna were carried

  3. Influence of ZrO2 addition on the microstructure and discharge properties of Mg-Zr-O protective layers in alternating current plasma display panels

    NASA Astrophysics Data System (ADS)

    Guo, Bingang; Liu, Chunliang; Song, Zhongxiao; Liu, Liu; Fan, Yufeng; Xia, Xing; Fan, Duowang

    2005-08-01

    Mg-Zr-O protective layers for alternating current plasma display panels were deposited by e-beam evaporation. The effect of the ZrO2 addition on both the discharge properties [firing voltage Vf, minimum sustaining voltage Vs, and memory coefficient (MC)] and the microstructure of deposited Mg-Zr-O films were investigated. The results show that the film microstructure changes and the electron emission enhancement due to the ZrO2 addition are the main reasons for the improvements of the discharge properties of Mg-Zr-O films. A small amount of Zr solution in MgO under its solid solubility can effectively increase the outer-shell valence electron emission yield so as to decrease Vf and Vs compared with using a pure MgO protective layer. The ZrO2/(MgO +ZrO2) ratio has a great effect on the film surface conditions. Proper surface morphologies make a good contribution to obtain large MC in accordance with lower firing voltage.

  4. A chemical stability study of trimethylsilane plasma nanocoatings for coronary stents.

    PubMed

    Jones, John Eric; Yu, Qingsong; Chen, Meng

    2017-01-01

    Trimethylsilane (TMS) plasma nanocoatings were deposited onto stainless steel coupons in direct current (DC) and radio frequency (RF) glow discharges and additional NH 3 /O 2 plasma treatment to tailor the coating surface properties. The chemical stability of the nanocoatings were evaluated after 12 week storage under dry condition (25 °C) and immersion in simulated body fluid (SBF) at 37 °C. It was found that nanocoatings did not impact surface roughness of underlying stainless steel substrates. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopy were used to characterize surface chemistry and compositions. Both DC and RF nanocoatings had Si- and C-rich composition; and the O- and N-contents on the surfaces were substantially increased after NH 3 /O 2 plasma treatment. Contact angle measurements showed that DC-TMS nanocoating with NH 3 /O 2 treatment generated very hydrophilic surfaces. DC-TMS nanocoatings with NH 3 /O 2 treatment showed minimal surface chemistry change after 12 week immersion in SBF. However, nitrogen functionalities on RF-TMS coating with NH 3 /O 2 post treatment were not as stable as in DC case. Cell culture studies revealed that the surfaces with DC coating and NH 3 /O 2 post treatment demonstrated substantially improved proliferation of endothelial cells over the 12 week storage period at both dry and wet conditions, as compared to other coated surfaces. Therefore, DC nanocoatings with NH 3 /O 2 post treatment may be chemically stable for long-term properties, including shelf-life storage and exposure to the bloodstream for coronary stent applications.

  5. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    NASA Astrophysics Data System (ADS)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  6. Comparative effect of simulated solar light, UV, UV/H2O2 and photo-Fenton treatment (UV-Vis/H2O2/Fe2+,3+) in the Escherichia coli inactivation in artificial seawater.

    PubMed

    Rubio, D; Nebot, E; Casanueva, J F; Pulgarin, C

    2013-10-15

    Innovative disinfection technologies are being studied for seawater, seeking a viable alternative to chlorination. This study proposes the use of H2O2/UV254 and photo-Fenton as disinfection treatment in seawater. The irradiations were carried out using a sunlight simulator (Suntest) and a cylindrical UV reactor. The efficiency of the treatment was compared for Milli-Q water, Leman Lake water and artificial seawater. The presence of bicarbonates and organic matter was investigated in order to evaluate possible effects on the photo-Fenton disinfection treatment. The photo-Fenton treatment, employing 1 mg L(-1) Fe(2+) and 10 mg L(-1) of H2O2, led to the fastest bacterial inactivation kinetics. Using H2O2/UV254 high disinfection rates were obtained similar to those obtained with photo-Fenton under UV254 light. In Milli-Q water, the rate of inactivation for Escherichia coli was higher than in Leman Lake water and seawater due to the lack of inorganic ions affecting negatively bacteria inactivation. The presence of bicarbonate showed scavenging of the OH(•) radicals generated in the treatment of photo-Fenton and H2O2/UV254. Despite the negative effect of inorganic ions, especially HCO3(-), the disinfection treatments with AOPs in lake water and seawater improved significantly the disinfection compared to light alone (simulated sunlight and UV254). In the treatment of photo-Fenton with simulated sunlight, dissolved organic matter had a beneficial effect by increasing the rate of inactivation. This is associated with the formation of Fe(3+)-organo photosensitive complexes leading to the formation of ROS able to inactivate bacteria. This effect was not observed in the photo-Fenton with UV254. Growth of E. coli surviving in seawater was observed 24 and 48 h after treatment with UV light. However, growth of surviving bacteria was not detected after photo-Fenton with UV254 and H2O2/UV254 treatments. This study suggests H2O2/UV254 and photo-Fenton treatments for the

  7. Plasma apolipoprotein O level increased in the patients with acute coronary syndrome

    PubMed Central

    Yu, Bi-lian; Wu, Chen-lu; Zhao, Shui-ping

    2012-01-01

    Apolipoprotein (apo) O is a novel apolipoprotein that is present predominantly in high density lipoprotein (HDL). However, overexpression of apoO does not impact on plasma HDL levels or functionality in human apoA-I transgenic mice. Thus, the physiological function of apoO is not yet known. In the present study, we investigated relationships between plasma apoO levels and high-sensitive C-reactive protein (hs-CRP) levels, as well as other lipid parameters in healthy subjects (n = 111) and patients with established acute coronary syndrome (ACS) (n = 50). ApoO was measured by the sandwich dot-blot technique with recombinant apoO as a protein standard. Mean apoO level in healthy subjects was 2.21 ± 0.83 µg/ml whereas it was 4.94 ± 1.59 µg/ml in ACS patients. There were significant differences in plasma level of apoO between two groups (P < 0.001). In univariate analysis, apoO correlated significantly with lg(hsCRP) (r = 0.48, P < 0.001) in ACS patients. Notably, no significant correlation between apoO and other lipid parameters was observed. Logistic regression analysis showed that plasma apoO level was an independent predictor of ACS (OR = 5.61, 95% CI 2.16–14.60, P < 0.001). In conclusion, apoO increased in ACS patients, and may be regarded as an independent inflammatory predictor of ACS patients. PMID:22693255

  8. Plasma-induced synthesis of Pt nanoparticles supported on TiO2 nanotubes for enhanced methanol electro-oxidation

    NASA Astrophysics Data System (ADS)

    Su, Nan; Hu, Xiulan; Zhang, Jianbo; Huang, Huihong; Cheng, Jiexu; Yu, Jinchen; Ge, Chao

    2017-03-01

    A Pt/C/TiO2 nanotube composite catalyst was successfully prepared for enhanced methanol electro-oxidation. Pt nanoparticles with a particle size of 2 nm were synthesized by plasma sputtering in water, and anatase TiO2 nanotubes with an inner diameter of approximately 100 nm were prepared by a simple two-step anodization method and annealing process. Field-emission scanning electron microscopy images indicated that the different morphologies of TiO2 synthesized on the surface of Ti foils were dependent on the different anodization parameters. The electrochemical performance of Pt/C/TiO2 catalysts for methanol oxidation showed that TiO2 nanotubes were more suitable for use as Pt nanoparticle support materials than irregular TiO2 short nanorods due to their tubular morphology and better electronic conductivity. X-ray photoelectron spectroscopy characterization showed that the binding energies of the Pt 4f of the Pt/C/TiO2 nanotubes exhibited a slightly positive shift caused by the relatively strong interaction between Pt and the TiO2 nanotubes, which could mitigate the poisoning of the Pt catalyst by COads, and further enhance the electrocatalytic performance. Thus, the as-obtained Pt/C/TiO2 nanotubes composites may become a promising catalyst for methanol electro-oxidation.

  9. Atmospheric non-thermal argon-oxygen plasma for sunflower seedling growth improvement

    NASA Astrophysics Data System (ADS)

    Matra, Khanit

    2018-01-01

    Seedling growth enhancement of sunflower seeds by DC atmospheric non-thermal Ar-O2 plasma has been proposed. The plasma reactor was simply designed by the composition of multi-pin electrodes bonded on a solderable printed circuit board (PCB) anode. A stable plasma was exhibited in the non-periodical self-pulsing discharge mode during the seed treatment. The experimental results showed that non-thermal plasma treatment had a significant positive effect on the sunflower seeds. Ar-O2 mixed gas ratio, treatment time and power source voltage are the important parameters affecting growth stimulation of sunflower sprouts. In this research, the sunflower seeds treated with 3:3 liters per minute (LPM) of Ar-O2 plasma at a source voltage of 8 kV for 1 min showed the best results in stimulating the seedling growth. The results in this case showed that the dry weight and average shoot length of the sunflower sprouts were 1.79 and 2.69 times higher and heavier than those of the untreated seeds, respectively.

  10. Decomposition of 2-((2-methoxyphenyl)diazenyl)benzene-1,3,5-triol molecule by an argon plasma jet

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2018-05-01

    In this study, we have presented the effects of the argon plasma on a 2-((2-methoxyphenyl)diazenyl)benzene-1,3,5-triol molecule—AZO compound (abbreviated as 2MDB)—under atmospheric pressure. In order to do this, the validated molecule has been considered and plasma has been used to modify it. The atmospheric pressure plasma jet system was specially designed for performing decomposing processes of the 2MDB molecule. The characterizations before and after the application of plasma—which takes only 3 minutes under atmospheric pressure conditions, to dissolve the 2MDB molecule in ethanol and methanol solutions—were examined using the Fourier transform infrared and Ultraviolet-Visible (UV-Vis) spectroscopies. After the plasma treatment, the molecule was broken at -C-N=N-C-C bond. Accurate and important changes are seen clearly from the results. In addition, according to UV-Vis spectra, π-π* electronic transitions related to -N=N- AZO bridge for the 2MDB molecule in polar-aprotic solvents such as ethanol and methanol were recorded as strong transitions. The new photoproducts such as -C-N-N=C and C=O were obtained from the 2MDB molecule.

  11. Characteristic effects onto C13H12N2O3 molecule dissolved in solvents of argon plasma at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Tanışlı, Murat; Taşal, Erol

    2017-07-01

    We could easily argue that the decomposition of the chemical chain molecules is a compelling application when it comes to the atmospheric pressure plasma. In this paper, we have investigated the effect of the atmospheric pressure argon plasma on 4-((2-methoxyphenyl)diazenyl)benzene-1,3,-diol molecule (abbreviated as 4MBD) at room temperature. 4MBD molecule is one of the industrial dye molecules used widely. When considering the ecological life, this molecule is very harmful and dangerous. As such, we suggest a new decomposing method for such molecules. Atmospheric pressure plasma jet is principally treated for the breakdown of the molecule in question. Fourier transform infrared spectrometry and UV-Vis spectrophotometry tools are used to characterization of the molecules subsequent to the plasma applications to 4MBD molecule in ethanol and methanol solvents. The atmospheric-pressure plasma jet of argon (Ar) as non-equilibrium has been formed by ac-power generator with frequency—24 kHz and voltage—12 kV. Characterizations for solutions prepared with ethanol and methanol solvents of 4MBD molecule have been examined after applying ( duration 3 min) the atmospheric pressure plasma jet. The molecule is broken at 6C-7N-8N=9C stretching peak in consequence of the plasma treatment. The new plasma photo-products for ethanol and methanol solutions are produced as 6C-7N-8N=9C (strong, varying) and 12C=17O (strong, wide) stretching peaks. Also, the bathochromic drifts are discerned.

  12. Experimental studies of radiation resistance of boron nitride, C2C ceramics Al2O3 and carbon-fiber composites using a PF-1000 plasma-focus device

    NASA Astrophysics Data System (ADS)

    Gribkov, V. A.; Tuniz, C.; Demina, E. V.; Dubrovsky, A. V.; Pimenov, V. N.; Maslyaev, S. V.; Gaffka, R.; Gryaznevich, M.; Skladnik-Sadowska, E.; Sadowski, M. J.; Miklaszewski, R.; Paduch, M.; Scholz, M.

    2011-04-01

    This paper reports on experiments undertaken to compare the radiation resistance of two types of ceramics, boron nitride (BN) and pure alumina (Al2O3), which are used in a TAEA antenna coil installed in the MAST spherical tokamak. Samples of the investigated materials (bulk BN and a 20 μm film of Al2O3 on Al substrate) were exposed on the axis of the plasma-focus PF-1000 device, which can emit intense streams of hot plasma (v≈107 cm s-1 and Npl≈1018 cm-3) and fast deuteron beams (Ei≈100 keV). The most powerful plasma-ion pulse lasted 0.2-1.0 μs and its intensity decayed in about 100 μs. The irradiation process was diagnosed using fast optical cameras, laser interferometry and optical spectrometry. Experiments were performed at power flux densities equal to 109-1010 W cm-2 or 108-109 W cm-2 during the most powerful stage of the interaction process. The irradiated specimens were investigated by means of optical microscopy and x-ray structure analysis (XRSA). It was shown that at 1010 W cm-2 pulses the Al2O3 coating was completely evaporated, whereas a surface of the BN sample became smoother than in the virgin one. A direct comparison of both samples after the action of 108 W cm-2 pulses demonstrated a wave-like structure (more distinct on Al2O3). Weighing of these samples showed, however, that the evaporation of BN was about two times stronger than that of Al2O3 in spite of the lower irradiation flux; the XRSA showed no evidence of cracking of Al2O3 after these pulses. The insulation properties of Al2O3 did not decline, and the Al2O3 coating may be potentially more beneficial, provided that it is kept below its melting point. Characteristic features of damages of a material based on the carbon-fiber composite with additions of silicium carbide (SiC; 8-40% volumetric) were also investigated. It was found that at q=109 W cm-2, the surface erosion is associated with sputtering and evaporation. The degree of this erosion depends on the fibers' orientation in

  13. Cold plasma inactivates Salmonella Stanley and Escherichia coli O157:H7 inoculated on golden delicious apples.

    PubMed

    Niemira, Brendan A; Sites, Joseph

    2008-07-01

    Cold plasma generated in a gliding arc was applied to outbreak strains of Escherichia coli O157:H7 and Salmonella Stanley on agar plates and inoculated onto the surfaces of Golden Delicious apples. This novel sanitizing technology inactivated both pathogens on agar plates, with higher flow rate (40 liters/min) observed to be more efficacious than were lower flow rates (20 liters/min), irrespective of treatment time (1 or 2 min). Golden Delicious apples were treated with various flow rates (10, 20, 30, or 40 liters/min) of cold plasma for various times (1, 2, or 3 min), applied to dried spot inoculations. All treatments resulted in significant (P < 0.05) reductions from the untreated control, with 40 liters/min more effective than were lower flow rates. Inactivation of Salmonella Stanley followed a time-dependent reduction for all flow rates. Reductions after 3 min ranged from 2.9 to 3.7 log CFU/ml, close to the limit of detection. For E. coli O157:H7, 40 liters/min gave similar reductions for all treatment times, 3.4 to 3.6 log CFU/ml. At lower flow rates, inactivation was related to exposure time, with 3 min resulting in reductions of 2.6 to 3 log CFU/ml. Temperature increase of the treated apples was related to exposure time for all flow rates. The maximum temperature of any plasma-treated apple was 50.8 degrees C (28 degrees C above ambient), after 20 liters/min for 3 min, indicating that antimicrobial effects were not the result of heat. These results indicate that cold plasma is a nonthermal process that can effectively reduce human pathogens inoculated onto fresh produce.

  14. Dual-Layer Oxidation-Protective Plasma-Sprayed SiC-ZrB2/Al2O3-Carbon Nanotube Coating on Graphite

    NASA Astrophysics Data System (ADS)

    Ariharan, S.; Sengupta, Pradyut; Nisar, Ambreen; Agnihotri, Ankur; Balaji, N.; Aruna, S. T.; Balani, Kantesh

    2017-02-01

    Graphite is used in high-temperature gas-cooled reactors because of its outstanding irradiation performance and corrosion resistance. To restrict its high-temperature (>873 K) oxidation, atmospheric-plasma-sprayed SiC-ZrB2-Al2O3-carbon nanotube (CNT) dual-layer coating was deposited on graphite substrate in this work. The effect of each layer was isolated by processing each component of the coating via spark plasma sintering followed by isothermal kinetic studies. Based on isothermal analysis and the presence of high residual thermal stress in the oxide scale, degradation appeared to be more severe in composites reinforced with CNTs. To avoid the complexity of analysis of composites, the high-temperature activation energy for oxidation was calculated for the single-phase materials only, yielding values of 11.8, 20.5, 43.5, and 4.5 kJ/mol for graphite, SiC, ZrB2, and CNT, respectively, with increased thermal stability for ZrB2 and SiC. These results were then used to evaluate the oxidation rate for the composites analytically. This study has broad implications for wider use of dual-layer (SiC-ZrB2/Al2O3) coatings for protecting graphite crucibles even at temperatures above 1073 K.

  15. Enhanced degradation of chitosan by applying plasma treatment in combination with oxidizing agents for potential use as an anticancer agent.

    PubMed

    Chokradjaroen, Chayanaphat; Rujiravanit, Ratana; Watthanaphanit, Anyarat; Theeramunkong, Sewan; Saito, Nagahiro; Yamashita, Kazuko; Arakawa, Ryuichi

    2017-07-01

    Solution plasma (SP) treatment in combination with oxidizing agents, i.e., hydrogen peroxide (H 2 O 2 ), potassium persulfate (K 2 S 2 O 8 ) and sodium nitrite (NaNO 2 ) were adopted to chitosan degradation in order to achieve fast degradation rate, low chemicals used and high yield of low-molecular-weight chitosan and chitooligosaccharide (COS). Among the studied oxidizing agents, H 2 O 2 was found to be the best choice in terms of appreciable molecular weight reduction without major change in chemical structure of the degraded products of chitosan. By the combination with SP treatment, dilute solution of H 2 O 2 (4-60mM) was required for effective degradation of chitosan. The combination of SP treatment and dilute solution of H 2 O 2 (60mM) resulted in the great reduction of molecular weight of chitosan and water-soluble chitosan was obtained as a major product. The resulting water-soluble chitosan was precipitated to obtain COS. An inhibitory effect against cervical cancer cell line (HeLa cells) of COS was also examined. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Plasma-enhanced pulsed-laser deposition of single-crystalline M o2C ultrathin superconducting films

    NASA Astrophysics Data System (ADS)

    Zhang, Fan; Zhang, Zhi; Wang, Huichao; Chan, Cheuk Ho; Chan, Ngai Yui; Chen, Xin Xin; Dai, Ji-Yan

    2017-08-01

    Transition-metal carbides (TMCs) possess many intriguing properties and inspiring application potentials, and recently the study of a two-dimensional form of TMCs has attracted great attention. Herein, we report successful fabrication of continuous M o2C ultrathin single-crystalline films at 700 ∘C with an approach of plasma-enhanced pulsed-laser deposition. By sophisticated structural analyses, the M o2C films are characterized as single crystal with a rarely reported face-centered cubic structure. In further electrical transport measurements, superconductivity observed in the M o2C films demonstrates a typical two-dimensional feature, which is consistent with Berezinskii-Kosterlitz-Thouless transitions. Besides, large upper critical magnetic fields are discovered in this system. Our work offers an approach to grow large-area and high-quality TMCs at relatively low temperatures. This study may stimulate more related investigations on the synthesis, characterizations, and applications of two-dimensional TMCs.

  17. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  18. Layered Nano-TiO2 Based Treatments for the Maintenance of Natural Stones in Historical Architecture.

    PubMed

    Gherardi, Francesca; Goidanich, Sara; Dal Santo, Vladimiro; Toniolo, Lucia

    2018-06-18

    Layered treatments of natural stones based on dispersions of experimental nano-TiO 2 and commercial TEOS showing photocatalytic and self-cleaning properties were set up and tested. To enhance nano-TiO 2 efficacy, a surface pre-treatment with tetraethyl orthosilicate was proposed to avoid the penetration of NPs into the crystalline porous substrates and to improve their adhesion to the stone. Two treatment applications (wet-on-wet and wet-on-dry) were compared, showing different results. A strong interaction Si-O-Ti was the key factor for the successful treatment, leaving the band gap and relevant properties of nano-TiO 2 unaltered. The layered treatments were tested on a porous calcarenite (Noto stone) and a very compact marble (Carrara marble). The combined SiO 2 -nano-TiO 2 treatments can find application in suitable cases where a surface consolidation is needed, ensuring a depolluting and self-cleaning durable activity. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Photocatalytic treatment of pharmaceutical wastewater using new multiwall-carbon nanotubes/TiO{sub 2}/SiO{sub 2} nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Czech, Bożena, E-mail: bczech@hektor.umcs.lublin.pl; Buda, Waldemar

    For the photocatalytic removal of bisphenol A (BPA) and carbamazepine (CBZ) from water solution a new multiwall-carbon nanotubes and TiO{sub 2}/SiO{sub 2} nanocomposites (MWCNT–TiO{sub 2}–SiO{sub 2}) were applied. Nanocomposites with the addition of 0.15–17.8 wt% MWCNT show high potential for the removal of both pollutants. The starting concentration of each contaminant was halved during 20 min of UVA irradiation. The decomposition process of CBZ over investigated nanocomposites proceeded differently than it was observed for the classical photocatalyst P25. The kinetics of the removal followed as a pseudo-first order regime with the k{sub 1} in range 0.0827–0.1751 min{sup −1} for BPA andmore » 0.0131–0.0743 min{sup −1} for CBZ. Toxicity to Vibrio fischeri and Daphnia magna was significantly reduced indicating formation of non-toxic products of photooxidation of tested contaminants. - Highlights: • MWCNT enhanced TiO{sub 2} activity in UVA and the removal of BPA and CBZ. • At least 50% PPCPs removal during 30 min of photocatalytic treatment was observed. • MWCNT changed the mechanism of CBZ decomposition but not BPA. • Decomposition products of both BPA and CBZ possessed low toxicity. • Photocatalysis may be recommended for the initial treatment of pharmaceutical wastewater.« less

  20. Growth mechanism of Al2O3 film on an organic layer in plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Lee, J. Y.; Kim, D. W.; Kang, W. S.; Lee, J. O.; Hur, M.; Han, S. H.

    2018-01-01

    Differences in the physical and chemical properties of Al2O3 films on a Si wafer and a C x H y layer were investigated in the case of plasma-enhanced atomic layer deposition. The Al2O3 film on the Si had a sharper interface and lower thickness than the Al2O3 film on the C x H y . The amount of carbon-impurity near the interface was larger for Al2O3 on the C x H y than for Al2O3 on the Si. In order to understand these differences, the concentrations of Al, O, C, and Si atoms through the Al2O3 films were evaluated by using x-ray photoelectron spectroscopy (XPS) depth profiling. The emission intensities of CO molecule were analyzed for different numbers of deposition cycles, by using time-resolved optical emission spectroscopy (OES). Finally, a growth mechanism for Al2O3 on an organic layer was proposed, based on the XPS and OES results for the Si wafer and the C x H y layer.

  1. Site-specific O-Glycosylation Analysis of Human Blood Plasma Proteins*

    PubMed Central

    Hoffmann, Marcus; Marx, Kristina; Reichl, Udo; Wuhrer, Manfred; Rapp, Erdmann

    2016-01-01

    Site-specific glycosylation analysis is key to investigate structure-function relationships of glycoproteins, e.g. in the context of antigenicity and disease progression. The analysis, though, is quite challenging and time consuming, in particular for O-glycosylated proteins. In consequence, despite their clinical and biopharmaceutical importance, many human blood plasma glycoproteins have not been characterized comprehensively with respect to their O-glycosylation. Here, we report on the site-specific O-glycosylation analysis of human blood plasma glycoproteins. To this end pooled human blood plasma of healthy donors was proteolytically digested using a broad-specific enzyme (Proteinase K), followed by a precipitation step, as well as a glycopeptide enrichment and fractionation step via hydrophilic interaction liquid chromatography, the latter being optimized for intact O-glycopeptides carrying short mucin-type core-1 and -2 O-glycans, which represent the vast majority of O-glycans on human blood plasma proteins. Enriched O-glycopeptide fractions were subjected to mass spectrometric analysis using reversed-phase liquid chromatography coupled online to an ion trap mass spectrometer operated in positive-ion mode. Peptide identity and glycan composition were derived from low-energy collision-induced dissociation fragment spectra acquired in multistage mode. To pinpoint the O-glycosylation sites glycopeptides were fragmented using electron transfer dissociation. Spectra were annotated by database searches as well as manually. Overall, 31 O-glycosylation sites and regions belonging to 22 proteins were identified, the majority being acute-phase proteins. Strikingly, also 11 novel O-glycosylation sites and regions were identified. In total 23 O-glycosylation sites could be pinpointed. Interestingly, the use of Proteinase K proved to be particularly beneficial in this context. The identified O-glycan compositions most probably correspond to mono- and disialylated core-1

  2. Plasma-assisted catalytic storage reduction system

    DOEpatents

    Penetrante, Bernardino M.; Vogtlin, George E.; Merritt, Bernard T.; Brusasco, Raymond M.

    2000-01-01

    A two-stage method for NO.sub.x reduction in an oxygen-rich engine exhaust comprises a plasma oxidative stage and a storage reduction stage. The first stage employs a non-thermal plasma treatment of NO.sub.x gases in an oxygen-rich exhaust and is intended to convert NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons. The second stage employs a lean NO.sub.x trap to convert such NO.sub.2 to environmentally benign gases that include N.sub.2, CO.sub.2, and H.sub.2 O. By preconverting NO to NO.sub.2 in the first stage with a plasma, the efficiency of the second stage for NO.sub.x reduction is enhanced. For example, an internal combustion engine exhaust is connected by a pipe to a first chamber in which a non-thermal plasma converts NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons, such as propene. A flow of such hydrocarbons (C.sub.x H.sub.y) is input from usually a second pipe into at least a portion of the first chamber. The NO.sub.2 from the plasma treatment proceeds to a storage reduction catalyst (lean NO.sub.x trap) that converts NO.sub.2 to N.sub.2, CO.sub.2, and H.sub.2 O, and includes a nitrate-forming catalytic site. The hydrocarbons and NO.sub.x are simultaneously reduced while passing through the lean-NO.sub.x trap catalyst. The method allows for enhanced NO.sub.x reduction in vehicular engine exhausts, particularly those having relatively high sulfur contents.

  3. Plasma-assisted catalytic storage reduction system

    DOEpatents

    Penetrante, Bernardino M.; Vogtlin, George E.; Merritt, Bernard T.; Brusasco, Raymond M.

    2002-01-01

    A two-stage method for NO.sub.x reduction in an oxygen-rich engine exhaust comprises a plasma oxidative stage and a storage reduction stage. The first stage employs a non-thermal plasma treatment of NO.sub.x gases in an oxygen-rich exhaust and is intended to convert NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons. The second stage employs a lean NO.sub.x trap to convert such NO.sub.2 to environmentally benign gases that include N.sub.2, CO.sub.2, and H.sub.2 O. By preconverting NO to NO.sub.2 in the first stage with a plasma, the efficiency of the second stage for NO.sub.x reduction is enhanced. For example, an internal combustion engine exhaust is connected by a pipe to a first chamber in which a non-thermal plasma converts NO to NO.sub.2 in the presence of O.sub.2 and hydrocarbons, such as propene. A flow of such hydrocarbons (C.sub.x H.sub.y) is input from usually a second pipe into at least a portion of the first chamber. The NO.sub.2 from the plasma treatment proceeds to a storage reduction catalyst (lean NO.sub.x trap) that converts NO.sub.2 to N.sub.2, CO.sub.2, and H.sub.2 O, and includes a nitrate-forming catalytic site. The hydrocarbons and NO.sub.x are simultaneously reduced while passing through the lean-NO.sub.x trap catalyst. The method allows for enhanced NO.sub.x reduction in vehicular engine exhausts, particularly those having relatively high sulfur contents.

  4. The formation of tungsten doped Al2O3/ZnO coatings on aluminum by plasma electrolytic oxidation and their application in photocatalysis

    NASA Astrophysics Data System (ADS)

    Stojadinović, Stevan; Vasilić, Rastko; Radić, Nenad; Tadić, Nenad; Stefanov, Plamen; Grbić, Boško

    2016-07-01

    Tungsten doped Al2O3/ZnO coatings are formed by plasma electrolytic oxidation of aluminum substrate in supporting electrolyte (0.1 M boric acid + 0.05 M borax + 2 g/L ZnO) with addition of different concentrations of Na2WO4·2H2O. The morphology, crystal structure, chemical composition, and light absorption characteristics of formed surface coatings are investigated. The X-ray diffraction and X-ray photoelectron spectroscopy results indicate that formed surface coatings consist of alpha and gamma phase of Al2O3, ZnO, metallic tungsten and WO3. Obtained results showed that incorporated tungsten does not have any influence on the absorption spectra of Al2O3/ZnO coatings, which showed invariable band edge at about 385 nm. The photocatalytic activity of undoped and tungsten doped Al2O3/ZnO coatings is estimated by the photodegradation of methyl orange. The photocatalytic activity of tungsten doped Al2O3/ZnO coatings is higher thanof undoped Al2O3/ZnO coatings; the best photocatalytic activity is ascribed to coatings formed in supporting electrolyte with addition of 0.3 g/L Na2WO4·2H2O. Tungsten in Al2O3/ZnO coatings acts as a charge trap, thus reducing the recombination rate of photogenerated electron-hole pairs. The results of PL measurements are in agreement with photocatalytic activity. Declining PL intensity corresponds to increasing photocatalytic activity of the coatings, indicating slower recombination of electron-hole pairs.

  5. Impact of ozonation, anion exchange resin and UV/H2O2 pre-treatments to control fouling of ultrafiltration membrane for drinking water treatment.

    PubMed

    Pramanik, Biplob Kumar; Pramanik, Sagor Kumar; Sarker, Dipok Chandra; Suja, Fatihah

    2017-06-01

    The effects of ozonation, anion exchange resin (AER) and UV/H 2 O 2 were investigated as a pre-treatment to control organic fouling (OF) of ultrafiltration membrane in the treatment of drinking water. It was found that high molecular weight (MW) organics such as protein and polysaccharide substances were majorly responsible for reversible fouling which contributed to 90% of total fouling. The decline rate increased with successive filtration cycles due to deposition of protein content over time. All pre-treatment could reduce the foulants of a Ultrafiltration membrane which contributed to the improvement in flux, and there was a greater improvement of flux by UV/H 2 O 2 (61%) than ozonation (43%) which in turn was greater than AER (23%) treatment. This was likely due to the effective removal/breakdown of high MW organic content. AER gave greater removal of biofouling potential components (such as biodegradable dissolved organic carbon and assimilable organic carbon contents) compared to UV/H 2 O 2 and ozonation treatment. Overall, this study demonstrated the potential of pre-treatments for reducing OF of ultrafiltration for the treatment of drinking water.

  6. Production of Sn/SnO2/MWCNT composites by plasma oxidation after thermal evaporation from pure Sn targets onto buckypapers.

    PubMed

    Alaf, M; Gultekin, D; Akbulut, H

    2012-12-01

    In this study, tin/tinoxide/multi oxide/multi walled carbon nano tube (Sn/SnO2/MWCNT) composites were produced by thermal evaporation and then subsequent plasma oxidation. Buckypapers having controlled porosity were prepared by vacuum filtration from functionalized MWCNTs. Pure metallic tin was thermally evaporated on the buckypapers in argon atmosphere with different thicknesses. It was determined that the evaporated pure tin nano crystals were mechanically penetrated into pores of buckypaper to form a nanocomposite. The tin/MWCNT composites were subjected to plasma oxidation process at oxygen/argon gas mixture. Three different plasma oxidation times (30, 45 and 60 minutes) were used to investigate oxidation and physical and microstructural properties. The effect of coating thickness and oxidation time was investigated to understand the effect of process parameters on the Sn and SnO2 phases after plasma oxidation. Quantitative phase analysis was performed in order to determine the relative phase amounts. The structural properties were studied by field-emission gun scanning electron microscopy (FEG-SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD).

  7. Flux of OH and O radicals onto a surface by an atmospheric-pressure helium plasma jet measured by laser-induced fluorescence

    NASA Astrophysics Data System (ADS)

    Yonemori, Seiya; Ono, Ryo

    2014-03-01

    The atmospheric-pressure helium plasma jet is of emerging interest as a cutting-edge biomedical device for cancer treatment, wound healing and sterilization. Reactive oxygen species such as OH and O radicals are considered to be major factors in the application of biological plasma. In this study, density distribution, temporal behaviour and flux of OH and O radicals on a surface are measured using laser-induced fluorescence. A helium plasma jet is generated by applying pulsed high voltage of 8 kV with 10 kHz using a quartz tube with an inner diameter of 4 mm. To evaluate the relation between the surface condition and active species production, three surfaces are used: dry, wet and rat skin. When the helium flow rate is 1.5 l min-1, radial distribution of OH density on the rat skin surface shows a maximum density of 1.2 × 1013 cm-3 at the centre of the plasma-mediated area, while O atom density shows a maximum of 1.0 × 1015 cm-3 at 2.0 mm radius from the centre of the plasma-mediated area. Their densities in the effluent of the plasma jet are almost constant during the intervals of the discharge pulses because their lifetimes are longer than the pulse interval. Their density distribution depends on the helium flow rate and the surface humidity. With these results, OH and O production mechanisms in the plasma jet and their flux onto the surface are discussed.

  8. Using H2O2 treatments for the degradation of cyanobacteria and microcystins in a shallow hypertrophic reservoir.

    PubMed

    Papadimitriou, Theodoti; Kormas, Konstantinos; Dionysiou, Dionysios D; Laspidou, Chrysi

    2016-11-01

    Toxins produced by cyanobacteria in freshwater ecosystems constitute a serious health risk worldwide for humans that may use the affected water bodies for recreation, drinking water, and/or irrigation. Cyanotoxins have also been deemed responsible for loss of animal life in many places around the world. This paper explores the effect of H 2 O 2 treatments on cyanobacteria and microcystins in natural samples from a hypertrophic reservoir in microcosm experiments. According to the results, cyanobacteria were more easily affected by H 2 O 2 than by other phytoplanktonic groups. This was shown by the increase in the fractions of chlorophyll-a (a proxy for phytoplankton) and chlorophyll-b (a proxy for green algae) over total phytoplankton pigments and the decrease in the fraction of phycocyanin (a proxy for cyanobacteria) over total phytoplankton pigments. Thus, while an overall increase in phytoplankton occurred, a preferential decrease in cyanobacteria was observed with H 2 O 2 treatments over a few hours. Moreover, significant degradation of total microcystins was observed under H 2 O 2 treatments, while more microcystins were degraded when UV radiation was used in combination with H 2 O 2 . The combination of H 2 O 2 and ultraviolet (UV) treatment in natural samples resulted in total microcystin concentrations that were below the World Health Organization limit for safe consumption of drinking water of 1 μg/L. Although further investigation into the effects of H 2 O 2 addition on ecosystem function must be performed, our results show that the application of H 2 O 2 could be a promising method for the degradation of microcystins in reservoirs and the reduction of public health risks related to the occurrence of harmful algal blooms.

  9. Combined UV-C/H2O2-VUV processes for the treatment of an actual slaughterhouse wastewater.

    PubMed

    Naderi, Kambiz Vaezzadeh; Bustillo-Lecompte, Ciro Fernando; Mehrvar, Mehrab; Abdekhodaie, Mohammad Jafar

    2017-05-04

    In this study, a three-factor, three-level Box-Behnken design with response surface methodology were used to maximize the TOC removal and minimize the H 2 O 2 residual in the effluent of the combined UV-C/H 2 O 2 -VUV system for the treatment of an actual slaughterhouse wastewater (SWW) collected from one of the meat processing plants in Ontario, Canada. The irradiation time and the initial concentrations of total organic carbon (TOC o ) and hydrogen peroxide (H 2 O 2o ) were the three predictors, as independent variables, studied in the design of experiments. The multiple response approach was used to obtain desirability response surfaces at the optimum factor settings. Subsequently, the optimum conditions to achieve the maximum percentage TOC removal of 46.19% and minimum H 2 O 2 residual of 1.05% were TOC o of 213 mg L -1 , H 2 O 2o of 450 mg L -1 , and irradiation time of 9 min. The attained optimal operating conditions were validated with a complementary test. Consequently, the TOC removal of 45.68% and H 2 O 2 residual of 1.03% were achieved experimentally, confirming the statistical model reliability. Three individual processes, VUV alone, VUV/H 2 O 2 , and UV-C/H 2 O 2 , were also evaluated to compare their performance for the treatment of the actual SWW using the optimum parameters obtained in combined UV-C/H 2 O 2 -VUV processes. Results confirmed that an adequate combination of the UV-C/H 2 O 2 -VUV processes is essential for an optimized TOC removal and H 2 O 2 residual. Finally, respirometry analyses were also performed to evaluate the biodegradability of the SWW and the BOD removal efficiency of the combined UV-C/H 2 O 2 -VUV processes.

  10. Diagnostics of N2 Ar plasma mixture excited in a 13.56 MHz hollow cathode discharge system: application to remote plasma treatment of polyamide surface

    NASA Astrophysics Data System (ADS)

    Saloum, S.; Naddaf, M.; Alkhaled, B.

    2008-02-01

    N2-x% Ar plasma gas mixture, generated in a hollow cathode RF discharge system, has been characterized by both optical emission spectroscopy (OES) and double Langmuir probe, as a function of experimental parameters: total pressure (5-33 Pa), and different fractions of argon (7 <= x <= 80), at a constant applied RF power of 300 W. N2 dissociation degree has been investigated qualitatively by both the actinometry method and the ratio I_N/I_{N_2} of the atomic nitrogen line emission intensity at 672.3 nm to the vibrational band (0-0) of the N2 second positive system at 337.1 nm. Both methods showed that the increase in argon fraction enhances the dissociation of N2, with a maximum at x = 50 for the pressure of 5 Pa, although the two methods give two opposite trends as a function of total pressure. Spectroscopic measurements showed that the vibrational temperature of the N2 second positive system increases with both argon fraction and total pressure increase, it lies between 4900 and 12 300 K. Langmuir probe measurements showed that, in the remote zone, the electron temperature falls in the range 1.57-1.75 eV, the N_{2}^{+} density varies between 5 × 109 and 1.4 × 1010 cm-3 and that both the plasma ionization degree and electron temperature increase towards the source. In addition, the process of plasma-polyamide (PA) surface interaction, in the remote plasma zone, has been studied through OES analysis during plasma treatment of PA to monitor the possible emissions due to the polymer etching. An increase in atomic nitrogen line (672.3 nm) intensity is obtained, atomic carbon line (833.52 nm) and the band emission (0-0) from the CN (B 2Σ+-X 2Σ+) violet system were observed. The PA surface modification has been confirmed through the improvement of its hydrophilic character as the water contact angle measured after the plasma treatment significantly decreased.

  11. Effects of addition of supramolecular assembly on the anatase nanocrystalline precipitation of sol-gel derived SiO2-TiO2 coating films by hot-water treatment.

    PubMed

    Katagiri, Kiyofumi; Harada, Genki; Matsuda, Atsunori; Kogure, Toshihiro; Muto, Hiroyuki; Sakai, Mototsugu

    2006-06-01

    Effects of the addition of a supramolecular assembly of cetyltrimethylammonium bromide in SiO2-TiO2 gel films on the formation of anatase type TiO2 nanocrystals with hot-water treatment were investigated. Anatase nanocrystals were formed in the whole SiO2-TiO2 gel films with the addition of cetyltrimethylammonium bromide by the treatment, whereas the nanocrystals were formed only on the film surface in the case of gel films without cetyltrimethylammonium bromide. Cetyltrimethylammonium bromide molecules in the SiO2-TiO2 gel films were completely removed by the hot-water treatment and the following UV irradiation. In the usual procedure for preparation of porous materials, the removal of template molecular assemblies required high temperature treatment over 400 degrees C. In this system, all the processes were performed at temperatures less than 100 degrees C. Additionally, the porous structure produced by the removal of micellar assembly allowed anatase nanocrystals to be formed inside the films. Therefore, the method presented in this work provides us with the novel photocatalyst coatings of porous membrane with highly-dispersed TiO2 nanocrystals via low temperature process.

  12. Removal of NO in NO/N2, NO/N2/O2, NO/CH4/N2, and NO/CH4/O2/N2 systems by flowing microwave discharges.

    PubMed

    Hueso, José L; Gonzalez-Elipe, Agustín R; Cotrino, José; Caballero, Alfonso

    2007-02-15

    In this paper, continuing previous work, we report on experiments carried out to investigate the removal of NO from simulated flue gas in nonthermal plasmas. The plasma-induced decomposition of small concentrations of NO in N2 used as the carrier gas and O2 and CH4 as minority components has been studied in a surface wave discharge induced with a surfatron launcher. The reaction products and efficiency have been monitored by mass spectrometry as a function of the composition of the mixture. NO is effectively decomposed into N2 and O2 even in the presence of O2, provided always that enough CH4 is also present in the mixture. Other majority products of the plasma reactions under these conditions are NH3, CO, and H2. In the absence of O2, decomposition of NO also occurs, although in that case HCN accompanies the other reaction products as a majority component. The plasma for the different reaction mixtures has been characterized by optical emission spectroscopy. Intermediate excited species of NO*, C*, CN*, NH*, and CH* have been monitored depending on the gas mixture. The type of species detected and their evolution with the gas composition are in agreement with the reaction products detected in each case. The observations by mass spectrometry and optical emission spectroscopy are in agreement with the kinetic reaction models available in literature for simple plasma reactions in simple reaction mixtures.

  13. RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition

    NASA Astrophysics Data System (ADS)

    Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.

    2013-11-01

    Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.

  14. In situ glow discharge plasma electrolytic synthesis of reduced TiO2 for enhanced visible light photocatalysis

    NASA Astrophysics Data System (ADS)

    Feng, Guang; Wu, Botao; Qayyum Khan, Abdul; Zeng, Heping

    2018-05-01

    Reduced titanium dioxide (TiO2‑x) due to its extraordinary visible light absorption has been widely investigated in photodegradation and water splitting nowadays. However, conventional routes to synthesize reduced TiO2 usually demand multiple preparation steps, harsh controlled conditions or expensive facilities. Here we developed a single-step in situ approach to prepare the gray TiO2‑x nanoparticles (sub-10 nm) effectively by the glow discharge plasma electrolysis (GDPE) under atmospheric pressure. The co-existence of self-doped oxygen vacancies and Ti3+ in the generated TiO2‑x nanoparticles is demonstrated by electron paramagnetic resonance (EPR). The tunable ratio of bulk/surface defect can be realized by controlling the glow discharge power directly. It should be noticed that Ti3+ in the synthesized TiO2‑x are quite stable in ambient air. The UV–vis spectra of gray TiO2‑x show an enhanced visible light absorption, which leads to high visible-light photocatalytic activity. Moreover, the as-prepared TiO2‑x after 6 months storage still shows excellent stability during photocatalytic reactions. Owing to its simplicity and effectivity, this preparation method with GDPE should provide a large-scale production for TiO2‑x with high photoactivity.

  15. Plasma dynamic synthesis and obtaining ultrafine powders of iron oxides with high content of ε-Fe2O3

    NASA Astrophysics Data System (ADS)

    Sivkov, Alexander; Naiden, Evgenii; Ivashutenko, Alexander; Shanenkov, Ivan

    2016-05-01

    The ultrafine iron oxide powders were successfully synthesized using the plasma dynamic synthesis method, based on the use of a coaxial magnetoplasma accelerator with the iron electrode system. The synthesis was implemented in the high-speed iron-containing plasma jet, flowing into the space of the sealed chamber, filled with the gaseous mixture of oxygen and argon at different ratios. The XRD investigations showed that the synthesized products were heterophase and consisted of three main phases such as magnetite Fe3O4, hematite α-Fe2O3 and ε-Fe2O3. The SEM data confirmed the presence of three particle types: the hollow spheroids with sizes about hundreds of micrometers (magnetite), the particles with sizes up to 100 μm from the porous material of sintered submicron particles (hematite), and nanoscale particles (ε-phase). We found that at the higher oxygen concentration the content of ε-Fe2O3 is increased up to 50% at the same time with decreasing the Fe3O4 phase. The magnetic properties of the products are mainly determined by magnetite characteristics and are significantly reduced with decreasing its content in the powder. In order to investigate the synthesized ε-Fe2O3 on the ability to absorb the electromagnetic radiation in the millimeter wavelength range, we separated the product with the higher ε-phase concentration. The fraction mainly, consisting of ε-Fe2O3, showed the occurrence of the natural resonance at frequencies of 8.3 GHz and 130 GHz.

  16. Utilization of useless pesticides in a plasma reactor

    NASA Astrophysics Data System (ADS)

    Lozhechnik, A. V.; Mossé, A. L.; Savchin, V. V.; Skomorokhov, D. S.; Khvedchin, I. V.

    2011-09-01

    Investigations on destruction of isophene C14H18O7N2 and the butyl ether of 2,4-dichlorophenoxyacetic acid (Cl2C6H3OCH2COOCH2CH(CH3)2) are performed. The plasma treatment of toxic waste is implemented in a plasma reactor with a three-jet mixing chamber. Air is used as the plasma-forming gas.

  17. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  18. A modeling understanding on the phosphorous removal performances of A2O and reversed A2O processes in a full-scale wastewater treatment plant.

    PubMed

    Xie, Wen-Ming; Zeng, Raymond J; Li, Wen-Wei; Wang, Guo-Xiang; Zhang, Li-Min

    2018-05-31

    Reversed A 2 O process (anoxic-anaerobic-aerobic) and conventional A 2 O process (anaerobic-anoxic-aerobic) are widely used in many wastewater treatment plants (WWTPs) in Asia. However, at present, there are still no consistent results to figure out which process has better total phosphorous (TP) removal performance and the mechanism for this difference was not clear yet. In this study, the treatment performances of both processes were compared in the same full-scale WWTP and the TP removal dynamics was analyzed by a modeling method. The treatment performance of full-scale WWTP showed the TP removal efficiency of the reversed A 2 O process was more efficient than in the conventional A 2 O process. The modeling results further reveal that the TP removal depends highly on the concentration and composition of influent COD. It had more efficient TP removal than the conventional A 2 O process only under conditions of sufficient influent COD and high fermentation products content. This study may lay a foundation for appropriate selection and optimization of treatment processes to suit practical wastewater properties.

  19. Plasmas for environmental issues: from hydrogen production to 2D materials assembly

    NASA Astrophysics Data System (ADS)

    Tatarova, E.; Bundaleska, N.; Sarrette, J. Ph; Ferreira, C. M.

    2014-12-01

    It is well recognized at present that the unique, high energy density plasma environment provides suitable conditions to dissociate/atomize molecules in remediation systems, to convert waste and biomass into sustainable energy sources, to purify water, to assemble nanostructures, etc. The remarkable plasma potential is based on its ability to supply simultaneously high fluxes of charged particles, chemically active molecules, radicals (e.g. O, H, OH), heat, highly energetic photons (UV and extreme UV radiation), and strong electric fields in intrinsic sheath domains. Due to this complexity, low-temperature plasma science and engineering is a huge, highly interdisciplinary field that spans many research disciplines and applications across many areas of our daily life and industrial activities. For this reason, this review deals only with some selected aspects of low-temperature plasma applications for a clean and sustainable environment. It is not intended to be a comprehensive survey, but just to highlight some important works and achievements in specific areas. The selected issues demonstrate the diversity of plasma-based applications associated with clean and sustainable ambiance and also show the unity of the underlying science. Fundamental plasma phenomena/processes/features are the common fibers that pass across all these areas and unify all these applications. Browsing through different topics, we try to emphasize these phenomena/processes/features and their uniqueness in an attempt to build a general overview. The presented survey of recently published works demonstrates that plasma processes show a significant potential as a solution for waste/biomass-to-energy recovery problems. The reforming technologies based on non-thermal plasma treatment of hydrocarbons show promising prospects for the production of hydrogen as a future clean energy carrier. It is also shown that plasmas can provide numerous agents that influence biological activity. The simultaneous

  20. Low temperature (150 °C) fabrication of high-performance TiO{sub 2} films for dye-sensitized solar cells using ultraviolet light and plasma treatments of TiO{sub 2} paste containing organic binder

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zen, Shungo, E-mail: zen@streamer.t.u-tokyo.ac.jp; Ono, Ryo, E-mail: ryo-ono@k.u-tokyo.ac.jp; Inoue, Yuki

    2015-03-14

    Dye-sensitized solar cells (DSSCs) require annealing of TiO{sub 2} photoelectrodes at 450 °C to 550 °C. However, such high-temperature annealing is unfavorable because it limits the use of materials that cannot withstand high temperatures, such as plastic substrates. In our previous paper, a low-temperature annealing technique of TiO{sub 2} photoelectrodes using ultraviolet light and dielectric barrier discharge treatments was proposed to reduce the annealing temperature from 450 °C to 150 °C for a TiO{sub 2} paste containing an organic binder. Here, we measure the electron diffusion length in the TiO{sub 2} film, the amount of dye adsorption on the TiO{sub 2} film, and themore » sheet resistance of a glass substrate of samples manufactured with the 150 °C annealing method, and we discuss the effect that the 150 °C annealing method has on those properties of DSSCs.« less

  1. Effect of heat treatment on the efficient adsorption of Cd2+ ions by nanosized SiO2, TiO2 and their composite

    NASA Astrophysics Data System (ADS)

    Waseem, M.; Muntha, S. T.; Nawaz, M.; Rehman, W.; Rehman, M. A.; Shah, K. H.

    2017-01-01

    In this study nanosized SiO2, TiO2 and their composite were synthesized via the oil in water (o/w) microemulsion method and their thermal treatment was performed at 378, 573, 973 and 1273 K. The physicochemical properties of the samples were studied by surface area measurements, scanning electron microscopy, Fourier transform infra-red spectroscopy and x-ray diffraction analysis. The Brunauer, Emmett and Teller surface area of all the adsorbents increases from 378 to 573 K, while it decreases upon further heat treatment. The average crystallite size decreases by heating the samples from 378 to 573 K while it increases when the adsorbents were thermally heat treated at 973 and 1273 K. The intensity of a few IR bands was reduced along with the disappearance of most of the bands at higher temperatures. The appearance of the beta-cristobalite phase in SiO2 and the rutile phase in TiO2 was confirmed from the diffraction data. The heat treated samples were subjected to preliminary adsorption of Cd2+ ions from aqueous solution at 293 K. Based on the preliminary adsorption experiments, SiO2, TiO2 and their composite heat treated at 573 K were selected for further adsorption studies. The Langmuir model was found to be fitted to the sorption data of TiO2 and the nanocomposite while the adsorption of Cd2+ ions by the SiO2 nanoparticles was explained well based on the Freundlich model. In the present study, the maximum Cd2+ adsorption capacity of SiO2, TiO2 and their composite was found to be 79.72, 98.55 and 107.17 mg g-1, respectively. The q m and K f values obtained in the present study were found to be far better than those reported in the literature. The negative values of ΔG confirm the feasibility of an adsorption process at higher temperatures. The positive values of ΔH and ΔS represent the endothermic and physical nature of the adsorption process with the increased randomness of Cd2+ ions at the solid/solution interface.

  2. Selective Iron(III) ion uptake using CuO-TiO2 nanostructure by inductively coupled plasma-optical emission spectrometry

    PubMed Central

    2012-01-01

    Background CuO-TiO2 nanosheets (NSs), a kind of nanomaterials is one of the most attracting class of transition doped semiconductor materials due to its interesting and important optical, electrical, and structural properties and has many technical applications, such as in metal ions detection, photocatalysis, Chemi-sensors, bio-sensors, solar cells and so on. In this paper the synthesis of CuO-TiO2 nanosheets by the wet-chemically technique is reported. Methods CuO-TiO2 NSs were prepared by a wet-chemical process using reducing agents in alkaline medium and characterized by UV/vis., FT-IR spectroscopy, X-ray photoelectron spectroscopy (XPS), powder X-ray diffraction (XRD), and field-emission scanning electron microscopy (FE-SEM) etc. Results The structural and optical evaluation of synthesized NSs were measured by XRD pattern, Fourier transform infrared (FT-IR) and UV–vis spectroscopy, respectively which confirmed that the obtained NSs are well-crystalline CuO-TiO2 and possessing good optical properties. The morphological analysis of CuO-TiO2 NSs was executed by FE-SEM, which confirmed that the doped products were sheet-shaped and growth in large quantity. Here, the analytical efficiency of the NSs was applied for a selective adsorption of iron(III) ion prior to detection by inductively coupled plasma-optical emission spectrometry (ICP-OES). The selectivity of NSs towards various metal ions, including Au(III), Cd(II), Co(II), Cr(III), Fe(III), Pd(II), and Zn(II) was analyzed. Conclusions Based on the selectivity study, it was confirmed that the selectivity of doped NSs phase was the most towards Fe(III) ion. The static adsorption capacity for Fe(III) was calculated to be 110.06 mgg−1. Results from adsorption isotherm also verified that the adsorption process was mainly monolayer-adsorption onto a surface containing a finite number of CuO-TiO2 NSs adsorption sites. PMID:23244218

  3. Comparison of the growth kinetics of In{sub 2}O{sub 3} and Ga{sub 2}O{sub 3} and their suboxide desorption during plasma-assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vogt, Patrick, E-mail: vogt@pdi-berlin.de; Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de

    2016-08-08

    We present a comprehensive study of the In{sub 2}O{sub 3} growth kinetics during plasma-assisted molecular beam epitaxy and compare it to that of the related oxide Ga{sub 2}O{sub 3} [P. Vogt and O. Bierwagen, Appl. Phys. Lett. 108, 072101 (2016)]. The growth rate and desorbing fluxes were measured during growth in-situ by a laser reflectometry set-up and line-of-sight quadrupole mass spectrometer, respectively. We extracted the In incorporation as a function of the provided In flux, different growth temperatures T{sub G}, and In-to-O flux ratios r. The data are discussed in terms of the competing formation of In{sub 2}O{sub 3} andmore » desorption of the suboxide In{sub 2}O and O. The same three growth regimes as in the case of Ga{sub 2}O{sub 3} can be distinguished: (i) In-transport limited, O-rich (ii) In{sub 2}O-desorption limited, O-rich, and (iii) O-transport limited, In-rich. In regime (iii), In droplets are formed on the growth surface at low T{sub G}. The growth kinetics follows qualitatively that of Ga{sub 2}O{sub 3} in agreement with their common oxide and suboxide stoichiometry. The quantitative differences are mainly rationalized by the difference in In{sub 2}O and Ga{sub 2}O desorption rates and vapor pressures. For the In{sub 2}O, Ga{sub 2}O, and O desorption, we extracted the activation energies and frequency factors by means of Arrhenius-plots.« less

  4. Physicochemical mechanisms of plasma-liquid interactions within plasma channels in liquid

    NASA Astrophysics Data System (ADS)

    Franclemont, Joshua; Fan, Xiangru; Mededovic Thagard, Selma

    2015-10-01

    The goal of this study is to advance the fundamental understanding of the physical and chemical mechanisms by which excited radical species produced by electrical plasmas directly in water, OH radicals especially, induce chemical changes in aqueous organic compounds and to exploit this for the development and optimization of drinking and wastewater plasma-based treatment systems. To achieve this goal, this study measured and correlated the production rate of hydrogen peroxide (H2O2) with physicochemical properties of 11 organic compounds. The observed individual correlations between the investigated physicochemical properties and the resulting H2O2 concentrations were used to develop an equation that would allow predicting the measured H2O2 concentration from physicochemical properties of a compound. Results reveal that the production rate of H2O2 directly depends on the surface tension of the solution and compounds’ bulk liquid concentration, hydrophobicity (K ow value), and molecular volume. Other properties such as vapor pressure, Henry’s constant, enthalpy of vaporization, ionization energy, electron affinity, and molecular dipole moment do not affect the H2O2 chemistry. K ow value and surface tension of the solution determine the compound’s concentration at the plasma interface. Once at the interface, the molecular volume determines the rate at which the molecule will react with OH radicals.

  5. Preparation and solar-light photocatalytic activity of TiO2 composites: TiO2/kaolin, TiO2/diatomite, and TiO2/zeolite

    NASA Astrophysics Data System (ADS)

    Li, Y.; Li, S. G.; Wang, J.; Li, Y.; Ma, C. H.; Zhang, L.

    2014-12-01

    Three TiO2 loaded composites, TiO2/kaolin, TiO2/diatomite, and TiO2/zeolite, were prepared in order to improve the solar-light photocatalytic activity of TiO2. The results showed that the photocatalytic activity could obviously be enhanced by loading appropriate amount of inorganic mineral materials. Meanwhile, TiO2 content, heat-treatment temperature and heat-treatment time on the photocatalytic activity were reviewed. Otherwise, the effect of solar light irradiation time and dye concentration on the photocatalytic degradation of Acid Red B was investigated. Furthermore, the degradation mechanism and adsorption process were also discussed.

  6. Elevated Temperature Solid Particle Erosion Performance of Plasma-Sprayed Co-based Composite Coatings with Additions of Al2O3 and CeO2

    NASA Astrophysics Data System (ADS)

    Nithin, H. S.; Desai, Vijay; Ramesh, M. R.

    2017-11-01

    In this paper, investigation into solid particle erosion behavior of atmospheric plasma-sprayed composite coating of CoCrAlY reinforced with Al2O3 and CeO2 oxides on Superni 76 at elevated temperature of 600 °C is presented. Alumina particles are used as erodent at two impact angles of 30° and 90°. The microstructure, porosity, hardness, toughness and adhesion properties of the as-sprayed coatings are studied. The effects of temperature and phase transformation in the coatings during erosion process are analyzed using XRD and EDS techniques. Optical profilometer is used for accurate elucidation of erosion volume loss. CoCrAlY/CeO2 coating showed better erosion resistance with a volume loss of about 50% of what was observed in case of CoCrAlY/Al2O3/YSZ coating. Lower erosion loss is observed at 90° as compared to 30° impact angle. The erosion mechanism evaluated using SEM micrograph revealed that the coatings experienced ductile fracture exhibiting severe deformation with unusual oxide cracks. Reinforced metal oxides provide shielding effect for erodent impact, enabling better erosion resistance. The oxidation of the coating due to high-temperature exposure reforms erosion process into oxidation-modified erosion process.

  7. Plasma treatment of polymers for improved adhesion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer surfaces for improved adhesion are reviewed: noble and reactive gas treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changes are discussed, as are the mechanisms of adhesion to polymeric adhesives, particularly epoxy. Noble gas plasma etching of flouropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhesion to epoxy. Reactive gas plasmas also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble andmore » reactive gas (exept for hydrogen) etching of polyolefins results in surface oxidation and improved adhesion via hydrogen bonding of these oxygen containing groups across the interface. The introduction of amine groups to a polymer surface by amonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical groups surrounding the amine. 41 refs.« less

  8. Band alignment of ZnO/multilayer MoS{sub 2} interface determined by x-ray photoelectron spectroscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xinke, E-mail: xkliu@szu.edu.cn, E-mail: liuwj@szu.edu.cn; He, Jiazhu; Chen, Le

    2016-08-15

    The energy band alignment between ZnO and multilayer (ML)-MoS{sub 2} was characterized using high-resolution x-ray photoelectron spectroscopy. The ZnO film was deposited using an atomic layer deposition tool, and ML-MoS{sub 2} was grown by chemical vapor deposition. A valence band offset (VBO) of 3.32 eV and a conduction band offset (CBO) of 1.12 eV were obtained for the ZnO/ML-MoS{sub 2} interface without any treatment. With CHF{sub 3} plasma treatment, a VBO and a CBO across the ZnO/ML-MoS{sub 2} interface were found to be 3.54 eV and 1.34 eV, respectively. With the CHF{sub 3} plasma treatment, the band alignment of the ZnO/ML-MoS{sub 2} interface hasmore » been changed from type II or staggered band alignment to type III or misaligned one, which favors the electron-hole pair separation. The band alignment difference is believed to be dominated by the down-shift in the core level of Zn 2p or the interface dipoles, which is caused by the interfacial layer rich in F.« less

  9. TiO2-SnS2 nanocomposites: solar-active photocatalytic materials for water treatment.

    PubMed

    Kovacic, Marin; Kusic, Hrvoje; Fanetti, Mattia; Stangar, Urska Lavrencic; Valant, Matjaz; Dionysiou, Dionysios D; Bozic, Ana Loncaric

    2017-08-01

    The study is aimed at evaluating TiO 2 -SnS 2 composites as effective solar-active photocatalysts for water treatment. Two strategies for the preparation of TiO 2 -SnS 2 composites were examined: (i) in-situ chemical synthesis followed by immobilization on glass plates and (ii) binding of two components (TiO 2 and SnS 2 ) within the immobilization step. The as-prepared TiO 2 -SnS 2 composites and their sole components (TiO 2 or SnS 2 ) were inspected for composition, crystallinity, and morphology using Fourier transform infrared spectroscopy (FTIR), thermogravimetric analysis (TGA), X-ray diffraction (XRD), and scanning electron microscopy/energy-dispersive X-ray spectroscopy (SEM/EDX) analyses. Diffuse reflectance spectroscopy (DRS) was used to determine band gaps of immobilized TiO 2 -SnS 2 and to establish the changes in comparison to respective sole components. The activity of immobilized TiO 2 -SnS 2 composites was tested for the removal of diclofenac (DCF) in aqueous solution under simulated solar irradiation and compared with that of single component photocatalysts. In situ chemical synthesis yielded materials of high crystallinity, while their morphology and composition strongly depended on synthesis conditions applied. TiO 2 -SnS 2 composites exhibited higher activity toward DCF removal and conversion in comparison to their sole components at acidic pH, while only in situ synthesized TiO 2 -SnS 2 composites showed higher activity at neutral pH.

  10. Treatment of prostate cancer cell lines and primary cells using low temperature plasma

    NASA Astrophysics Data System (ADS)

    O'Connell, Deborah; Hirst, Adam; Frame, Fiona F.; Maitland, Norman J.

    2014-10-01

    The mechanisms of cell death after plasma treatment of both benign and cancerous prostate epithelial cells are investigated. Prostate cancer tissue was obtained with patient consent from targeted needle core biopsies following radical prostatectomy. Primary cells were cultured from cancer tissue and plated onto a chamber slide at a density of 10,000 cells per well in 200 microliter of stem cell media (SCM). The treated sample was previously identified as Gleason grade 7 cancer through tissue histo-pathology. A dielectric barrier discharge (DBD) jet configuration, with helium as a carrier gas, and 0.3% O2 admixture was used for treating the cells. Reactive oxygen and nitrogen species (RONS) produced by the plasma are believed to be the main mediators of the plasma-cell interaction and response. We found the concentration of reactive oxygen species (ROS) induced inside the cells increased with plasma exposure. Exposure to the plasma for >3 minutes showed high levels of DNA damage compared to untreated and hydrogen peroxide controls. Cell viability and cellular recovery are also investigated and will be presented. All findings were common to both cell lines, suggesting the potential of LTP therapy for both benign and malignant disease.

  11. PTCR characteristics and microstructure of porous (Ba,Sr)TiO3 ceramics prepared by spark plasma sintering

    NASA Astrophysics Data System (ADS)

    Lee, Ki-Ju; Tang, Dongxu; Park, K.; Cho, Won-Seung

    2010-02-01

    Porous Y-doped (Ba,Sr)TiO3 ceramics were prepared by the spark plasma sintering of (Ba,Sr)TiO3 powders with different amounts of carbon black, and by subsequently burning out the carbon black acting as a pore precursor. The microstructure, PTCR and gas-sensing characteristics for porous Y-doped (Ba,Sr)TiO3 ceramics were investigated. Spark plasma sintered (Ba,Sr)TiO3 ceramics revealed a very fine microstructure containing submicron-sized grains with a cubic phase and revealed an increased porosity after the carbon black was burned out. As a result of reoxidation treatment, the grain size of the (Ba,Sr)TiO3 ceramics increased to a few μm and the cubic phase transformed into a tetragonal phase. The phase transformation of (Ba,Sr)TiO3 ceramics was affected by grain size. The PTCR jump in the (Ba,Sr)TiO3 ceramics prepared by adding 40 vol.% carbon black showed an excellent value of 4.72 × 106, which was ten times higher than the PTCR jump in (Ba,Sr)TiO3 ceramics. The electrical resistivity of the porous (Ba,Sr)TiO3 ceramics was recovered as the atmosphere changed from a reducing gas (N2) to an oxidizing gas (O2) under consecutive heating and cooling cycles.

  12. Modelling the helium plasma jet delivery of reactive species into a 3D cancer tumour

    NASA Astrophysics Data System (ADS)

    Szili, Endre J.; Oh, Jun-Seok; Fukuhara, Hideo; Bhatia, Rishabh; Gaur, Nishtha; Nguyen, Cuong K.; Hong, Sung-Ha; Ito, Satsuki; Ogawa, Kotaro; Kawada, Chiaki; Shuin, Taro; Tsuda, Masayuki; Furihata, Mutsuo; Kurabayashi, Atsushi; Furuta, Hiroshi; Ito, Masafumi; Inoue, Keiji; Hatta, Akimitsu; Short, Robert D.

    2018-01-01

    Cold atmospheric plasmas have attracted significant worldwide attention for their potential beneficial effects in cancer therapy. In order to further improve the effectiveness of plasma in cancer therapy, it is important to understand the generation and transport of plasma reactive species into tissue fluids, tissues and cells, and moreover the rates and depths of delivery, particularly across physical barriers such as skin. In this study, helium (He) plasma jet treatment of a 3D cancer tumour, grown on the back of a live mouse, induced apoptosis within the tumour to a depth of 2.8 mm. The He plasma jet was shown to deliver reactive oxygen species through the unbroken skin barrier before penetrating through the entire depth of the tumour. The depth and rate of transport of He plasma jet generated H2O2, NO3 - and NO2 -, as well as aqueous oxygen [O2(aq)], was then tracked in an agarose tissue model. This provided an approximation of the H2O2, NO3 -, NO2 - and O2(aq) concentrations that might have been generated during the He plasma jet treatment of the 3D tumour. It is proposed that the He plasma jet can induce apoptosis within a tumour by the ‘deep’ delivery of H2O2, NO3 - and NO2 - coupled with O2(aq); the latter raising oxygen tension in hypoxic tissue.

  13. Surface improvement of EPDM rubber by plasma treatment

    NASA Astrophysics Data System (ADS)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  14. Plasma Onco-Immunotherapy: Novel Approach to Cancer Treatment

    NASA Astrophysics Data System (ADS)

    Fridman, Alexander

    2015-09-01

    Presentation is reviewing the newest results obtained by researchers of A.J. Drexel Plasma Institute on direct application of non-thermal plasma for direct treatment of different types of cancer by means of specific stimulation of immune system in the frameworks of the so-called onco-immunotherapy. Especial attention is paid to analysis of depth of penetration of different plasma-medical effects, from ROS, RNS, and ions to special biological signaling and immune system related processes. General aspects of the plasma-stimulation of immune system are discussed, pointing out specific medical applications. Most of experiments have been carried out using nanosecond pulsed DBD at low power and relatively low level of treatment doses, guaranteeing non-damage no-toxicity treatment regime. The nanosecond pulsed DBD physics is discussed mostly regarding its space uniformity and control of plasma parameters relevant to plasma medical treatment, and especially relevant to depth of penetration of different plasma medical effects. Detailed mechanism of the plasma-induced onco-immunotherapy has been suggested based upon preliminary in-vitro experiments with DBD treatment of different cancer cells. Sub-elements of this mechanism related to activation of macrophages and dendritic cells, specific stressing of cancer cells and the immunogenic cell death (ICD) are to be discussed based on results of corresponding in-vitro experiments. In-vivo experiments focused on the plasma-induced onco-immunotherapy were carried out in collaboration with medical doctors from Jefferson University hospital of Philadelphia. Todays achievements and nearest future prospective of clinical test focused on plasma-controlled cancer treatment are discussed in conclusion.

  15. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  16. Influence of Operating Parameters on Surface Properties of RF Glow Discharge Oxygen Plasma Treated TiO2/PET Film for Biomedical Application

    EPA Science Inventory

    Thin transparent titania (TiO2) films were coated on the surface of flexible poly (ethylene terephthalate) (PET) surface using standard sol gel techniques. The TiO2/PET thin film surfaces were further modified by exposing the films to a RF glow discharge oxygen plasma. The exposu...

  17. One-reactor plasma assisted fabrication of ZnO@TiO 2 multishell nanotubes: assessing the impact of a full coverage on the photovoltaic performance.

    PubMed

    Filippin, Alejandro Nicolas; Macias-Montero, Manuel; Saghi, Zineb; Idígoras, Jesús; Burdet, Pierre; Sanchez-Valencia, Juan R; Barranco, Angel; Migdley, Paul A; Anta, Juan A; Borras, Ana

    2017-08-29

    This paper addresses the fabrication of vertically aligned ZnO@TiO 2 multishell nanotubes by a combined full vacuum-plasma approach at mild temperatures. The growth is carried out within the premises of a one-reactor approach, i.e. minimizing the number of vacuum chambers and sample transferences. In this way, the interface between ZnO and TiO 2 is fully preserved from humidity thus increasing ZnO durability and stability. These nanostructures are studied by scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM) and energy dispersive X-ray spectroscopy in STEM (EDX-STEM). High density one-dimensional arrays of these nanotubes formed on FTO substrates are applied as photoanode in a dye-sensitized solar cell (DSC). The evolution of the dye adsorption capacity and solar cells parameters are explored as a function of the crystallinity and thickness of the TiO 2 shell. The results show the critical effect of a full coverage by TiO 2 of ZnO core to explain the mixed results found in the literature.

  18. In-situ etch rate study of Hf{sub x}La{sub y}O{sub z} in Cl{sub 2}/BCl{sub 3} plasmas using the quartz crystal microbalance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marchack, Nathan; Kim, Taeseung; Chang, Jane P., E-mail: jpchang@seas.ucla.edu

    2015-05-15

    The etch rate of Hf{sub x}La{sub y}O{sub z} films in Cl{sub 2}/BCl{sub 3} plasmas was measured in-situ in an inductively coupled plasma reactor using a quartz crystal microbalance and corroborated by cross-sectional SEM measurements. The etch rate depended on the ion energy as well as the plasma chemistry. In contrast to other Hf-based ternary oxides, the etch rate of Hf{sub x}La{sub y}O{sub z} films was higher in Cl{sub 2} than in BCl{sub 3}. In the etching of Hf{sub 0.25}La{sub 0.12}O{sub 0.63}, Hf appeared to be preferentially removed in Cl{sub 2} plasmas, per surface compositional analysis by x-ray photoelectron spectroscopy andmore » the detection of HfCl{sub 3} generation in mass spectroscopy. These findings were consistent with the higher etch rate of Hf{sub 0.25}La{sub 0.12}O{sub 0.63} than that of La{sub 2}O{sub 3}.« less

  19. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  20. Different heat treatment of CeO2 nanoparticle composited with ZnO to enhance photocatalytic performance

    NASA Astrophysics Data System (ADS)

    Taufik, A.; Shabrany, H.; Saleh, R.

    2017-04-01

    In this study, ZnO/CeO2 nanocomposites were prepared with four variations of the molar ratio of ZnO to CeO2 nanoparticles. Both ZnO and CeO2 nanoparticles were synthesized using the sol-gel method at low temperature, followed by different heat treatments for CeO2 nanoparticles. Thermal phase transformation studies of the CeO2 nanoparticles were observed at annealing temperatures of 400-800°C. The complete crystalline structure of CeO2 nanoparticles was obtained at an annealing temperature of 800°C. The structural and optical properties of all samples were observed using several characterization techniques, such as X-ray diffraction (XRD), ultraviolet-visible diffuse reflectance spectroscopy, and Brunauer, Emmett, and Teller (BET) surface area analysis. The structural characterization results revealed that the prepared CeO2 nanoparticles were quite crystalline, with a cubic structure. The photocatalytic activities of all samples were tested under visible irradiation. The obtained results showed that ZnO/CeO2 nanocomposites with a molar ratio 1:0.3 exhibited the highest photocatalytic activity. Further understanding of the role of primary active species underlying the reaction mechanism involved in photocatalytic activity were carried out in controlled experiments by adding several scavengers. The detailed mechanism and its correlation with the properties of ZnO/CeO2 nanocomposites were discuss.

  1. Effect of K2FeO4/US treatment on textile dyeing sludge disintegration and dewaterability.

    PubMed

    Ning, Xun-an; Feng, Yinfang; Wu, Junji; Chen, Changmin; Wang, Yujie; Sun, Jian; Chang, Kenlin; Zhang, Yaping; Yang, Zuoyi; Liu, Jingyong

    2015-10-01

    The effect of potassium ferrate/ultrasonic (K2FeO4/US) treatment on the physicochemical features of textile dyeing sludge was studied. The soluble chemical oxygen demand (SCOD), deoxyribonucleic acid (DNA), sludge volume index (SVI), sludge viscosity, capillary suction time (CST) and particle size were measured to understand the observed changes in the sludge physicochemical features. The results showed that the combined K2FeO4/US treatment presented great advantages for disrupting the sludge floc structure over K2FeO4 or ultrasonic treatments alone. The optimal parameters of sludge disintegration were found to be a K2FeO4 treatment time of 60 min, a K2FeO4 dosage of 0.5936 g/g SS, an ultrasonic time of 15 min and an ultrasonic intensity of 0.72 W/mL. The initial median diameter of the sludge particles was 15.24 μm, and this value decreased by 35.89%. The CST was initially 59.6 s and increased by 231%, whereas the SVI was 97.78 mL/g and decreased by 25.89%. Scanning electron microscope (SEM) images indicated that the sludge surface was irregular and loose with a large amount of channels or voids during K2FeO4/US treatment. K2FeO4/US treatment synergistically enhanced the sludge solubilization and reached 668.67 mg/L SCOD, which is 31.81% greater than the additive value obtained with K2FeO4 treatment alone (215.95 mg/L) or with ultrasonic treatment alone (240 mg/L). Copyright © 2015 Elsevier Ltd. All rights reserved.

  2. Cold low pressure O2 plasma treatment of Crocus sativus: An efficient way to eliminate toxicogenic fungi with minor effect on molecular and cellular properties of saffron.

    PubMed

    Hosseini, Seyed Iman; Farrokhi, Naser; Shokri, Khadijeh; Khani, Mohammad Reza; Shokri, Babak

    2018-08-15

    In this study cold low pressure radiofrequency oxygen plasma was used for the first time to inactivate toxicogenic fungi proliferation on saffron. Varieties of plasma produced reactive oxygen species which were investigated by optical emission spectroscopy. The data were indicative of the absence of UV radiation. Effects of plasma treatment on antioxidant activity, metabolic content, colour, odour and flavour parameters and physical impact on saffron were investigated. A range of plasma powers and exposure times were assayed in suppression of fungal growth. Amongst which power of 60 W for 15 min was used to eradicate Aspergillus and other microorganisms. The ferric reducing antioxidant power was changed from 1778.21 to 1674.25 mM/g dry weight following plasma treatment. Moreover, crocin ester, picrocrocin and safranal metabolites reduced insignificantly. Additionally, plasma had no significant impact on colour, odour and flavour of saffron. Copyright © 2018 Elsevier Ltd. All rights reserved.

  3. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes

    PubMed Central

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-01

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10−5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime. PMID:28059160

  4. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes.

    PubMed

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-06

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO 2 , NO, H 2 O, as well as the related fragments during the O 2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO 2 during the complex surface chemical reaction of the ligand and O 2 plasma were monitored using the QCM. The remote PEALD ZrO 2 /zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10 -5  g/m 2 /day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.

  5. Low-temperature remote plasma enhanced atomic layer deposition of ZrO2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Chen, Zheng; Wang, Haoran; Wang, Xiao; Chen, Ping; Liu, Yunfei; Zhao, Hongyu; Zhao, Yi; Duan, Yu

    2017-01-01

    Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10-5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.

  6. Cold plasma treatment in wound care: efficacy and risk assessment

    NASA Astrophysics Data System (ADS)

    Stoffels, Eva

    2007-10-01

    Cold atmospheric plasma is an ideal medium for non-destructive modification of vulnerable surfaces. One of the most promising medical applications of cold plasma treatment is wound healing. Potential advantages in wound healing have been demonstrated in vitro: the plasma does not necrotize the cells and does not affect the extracellular matrix [1], has clear bactericidal or bacteriostatic effects [2], and stimulates fibroblast cells towards faster attachment and proliferation [3]. However, safety issues, such as the potential cytotoxicity of the plasma must be clarified prior to clinical implementation. This work comprises the recent facts on sub-lethal plasma effects on mammalian cells, as well as studies on apoptosis induction and quantitative assessment of DNA damage. Fibroblast, smooth muscle and endothelial cells were treated using the standard cold plasma needle [1,2]; intra- and extracellular oxidant levels as well as the influence of the plasma on intracellular antioxidant balance were monitored using appropriate fluorescent markers [1]. We have studied long-term cellular damage was monitored using flow cytometry to determine the DNA profiles in treated cells. Dose-response curves were obtained: increased proliferation as well as apoptosis were visualized under different treatment conditions. The results from the in vitro studies are satisfying. [1] I.E. Kieft, ``Plasma needle: exploring biomedical applications of non-thermal plasmas'', PhD Thesis, Eindhoven University of Technology (2005). [2] R.E.J. Sladek, ``Plasma needle: non-thermal atmospheric plasmas in dentistry'' PhD Thesis, Eindhoven University of Technology (2006). [3] I.E. Kieft, D. Darios, A.J.M. Roks, E. Stoffels, IEEE Trans. Plasma Sci. 34(4), 2006, pp. 1331-1336.

  7. Non-equilibrium plasma prevention of Schistosoma japonicum transmission

    NASA Astrophysics Data System (ADS)

    Wang, Xing-Quan; Wang, Feng-Peng; Chen, Wei; Huang, Jun; Bazaka, Kateryna; Ostrikov, Kostya (Ken)

    2016-10-01

    Schistosoma japonicum is a widespread human and animal parasite that causes intestinal and hepatosplenic schistosomiasis linked to colon, liver and bladder cancers, and anemia. Estimated 230 million people are currently infected with Schistosoma spp, with 779 million people at risk of contracting the parasite. Infection occurs when a host comes into contact with cercariae, a planktonic larval stage of the parasite, and can be prevented by inactivating the larvae, commonly by chemical treatment. We investigated the use of physical non-equilibrium plasma generated at atmospheric pressure using custom-made dielectric barrier discharge reactor to kill S. japonicum cercariae. Survival rate decreased with treatment time and applied power. Plasmas generated in O2 and air gas discharges were more effective in killing S. japonicum cercariae than that generated in He, which is directly related to the mechanism by which cercariae are inactivated. Reactive oxygen species, such as O atoms, abundant in O2 plasma and NO in air plasma play a major role in killing of S. japonicum cercariae via oxidation mechanisms. Similar level of efficacy is also shown for a gliding arc discharge plasma jet generated in ambient air, a system that may be more appropriate for scale-up and integration into existing water treatment processes.

  8. As(III) oxidation by MnO2 during groundwater treatment.

    PubMed

    Gude, J C J; Rietveld, L C; van Halem, D

    2017-03-15

    The top layer of natural rapid sand filtration was found to effectively oxidise arsenite (As(III)) in groundwater treatment. However, the oxidation pathway has not yet been identified. The aim of this study was to investigate whether naturally formed manganese oxide (MnO 2 ), present on filter grains, could abiotically be responsible for As(III) oxidation in the top of a rapid sand filter. For this purpose As(III) oxidation with two MnO 2 containing powders was investigated in aerobic water containing manganese(II) (Mn(II)), iron(II) (Fe(II)) and/or iron(III) (Fe(III)). The first MnO 2 powder was a very pure - commercially available - natural MnO 2 powder. The second originated from a filter sand coating, produced over 22 years in a rapid filter during aeration and filtration. Jar test experiments showed that both powders oxidised As(III). However, when applying the MnO 2 in aerated, raw groundwater, As(III) removal was not enhanced compared to aeration alone. It was found that the presence of Fe(II)) and Mn(II) inhibited As(III) oxidation, as Fe(II) and Mn(II) adsorption and oxidation were preferred over As(III) on the MnO 2 surface (at pH 7). Therefore it is concluded that just because MnO 2 is present in a filter bed, it does not necessarily mean that MnO 2 will be available to oxidise As(III). However, unlike Fe(II), the addition of Fe(III) did not hinder As(III) oxidation on the MnO 2 surface; resulting in subsequent effective As(V) removal by the flocculating hydrous ferric oxides. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. Formation and removal of genotoxic activity during UV/H(2)O(2)-GAC treatment of drinking water.

    PubMed

    Heringa, M B; Harmsen, D J H; Beerendonk, E F; Reus, A A; Krul, C A M; Metz, D H; Ijpelaar, G F

    2011-01-01

    The objective of this study was to determine the genotoxic activity of water after UV/H(2)O(2) oxidation and GAC filtration. Pre-treated surface water from three locations was treated with UV/H(2)O(2) with medium pressure (MP) lamps and passed through granulated activated carbon (GAC). Samples taken before and after each treatment step were extracted and concentrated by solid phase extraction (SPE) and analyzed for genotoxicity using the Comet assay with HepG2 cells and the Ames II assay. The Comet assay showed no genotoxic response in any of the samples. In the Ames II, no genotoxic response was obtained with the TAMix (a mix of six strains), but the TA98 strain showed an increase in genotoxic activity after MP-UV/H(2)O(2) for all three locations. GAC post treatment effectively reduced the activities to control levels at two of the three locations and to below the level of the pre-treated water at one site. The results indicate that UV/H(2)O(2) treatment may lead to the formation of genotoxic by-products, which can be removed by subsequent GAC filtration. Copyright © 2010 Elsevier Ltd. All rights reserved.

  10. Optimization of Al2O3/TiO2 nanolaminate thin films prepared with different oxide ratios, for use in organic light-emitting diode encapsulation, via plasma-enhanced atomic layer deposition.

    PubMed

    Kim, Lae Ho; Jeong, Yong Jin; An, Tae Kyu; Park, Seonuk; Jang, Jin Hyuk; Nam, Sooji; Jang, Jaeyoung; Kim, Se Hyun; Park, Chan Eon

    2016-01-14

    Encapsulation is essential for protecting the air-sensitive components of organic light-emitting diodes (OLEDs), such as the active layers and cathode electrodes. Thin film encapsulation approaches based on an oxide layer are suitable for flexible electronics, including OLEDs, because they provide mechanical flexibility, the layers are thin, and they are easy to prepare. This study examined the effects of the oxide ratio on the water permeation barrier properties of Al2O3/TiO2 nanolaminate films prepared by plasma-enhanced atomic layer deposition. We found that the Al2O3/TiO2 nanolaminate film exhibited optimal properties for a 1 : 1 atomic ratio of Al2O3/TiO2 with the lowest water vapor transmission rate of 9.16 × 10(-5) g m(-2) day(-1) at 60 °C and 90% RH. OLED devices that incorporated Al2O3/TiO2 nanolaminate films prepared with a 1 : 1 atomic ratio showed the longest shelf-life, in excess of 2000 hours under 60 °C and 90% RH conditions, without forming dark spots or displaying edge shrinkage.

  11. A comparison of the C III, O V, F VI, and Ne VII Delta n = 0 (2-2) line emissions from a laboratory plasma with theoretical predictions and astrophysical observations

    NASA Technical Reports Server (NTRS)

    Finkenthal, M.; Yu, . L.; Lippmann, S.; Huang, L. K.; Moos, H. W.; Stratton, B. C.; Bhatia, A. K.

    1987-01-01

    Spectra of the Delta n = 0 (2-2) transitions of Be I-like ions, C III, O V, F VI, and Ne VII emitted from the TEXT tokamak, were measured with photometrically calibrated instrumentation and compared to the predictions of several models which differ in their treatment of electron impact excitation, using either the distorted wave or R-matrix approach. It was found that the ions from C III to Ne VII were located near the edge of the plasma, at densities between 10 to the 12th and 13th/cu cm. The experimental line ratios were compared with several sets of computations. Agreement is obtained between the experimental data and computations by using the R-matrix technique. This leads to the conclusion that the effect resonances must be included in collision strength calculations, particularly at low nuclear charge. The results show that the line ratios studied may be used with confidence as electron density diagnostics for laboratory or astrophysical plasmas.

  12. Friction and Wear Behavior of Plasma-Sprayed Al2O3-13 wt.%TiO2 Coatings Under the Lubrication of Liquid Paraffin

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaoqin; An, Yulong; Hou, Guoliang; Zhou, Huidi; Chen, Jianmin

    2014-04-01

    Two types of ceramic composite coatings (denoted as N-AT13 coating and M-AT13 coating) were fabricated on 1Cr18Ni9Ti stainless steel substrate from ultra-fine and coarse Al2O3-13%TiO2 feedstocks by air plasma spraying. The friction and wear behavior of as-prepared coatings sliding against Al2O3 and stainless steel balls under the lubrication of liquid paraffin was evaluated with an SRV friction and wear tester (Optimol, Germany). The fractured and worn surfaces of the coatings were observed using a scanning electron microscope and a field-emission scanning electron microscope; and the wear mechanisms of the coatings were discussed based on scanning electron microscopic analysis and energy dispersive spectrometric analysis. Results show that N-AT13 coating possesses a unique microstructure and strong inter-splat bonding, thereby showing increased microhardness and bonding strength as well as much better friction-reduction and wear resistance than M-AT13 coating. Moreover, there exist differences in the wear mechanisms of N-AT13 and M-AT13 coatings which slide against ceramic and stainless steel balls under the lubrication of liquid paraffin. Namely, with the increase of normal load, the burnishing of N-AT13 coating coupled with Al2O3 ball is gradually transformed to grain-abrasion and deformation, while M-AT13 coating is dominated by grain-pullout and brittle fracture in the whole range of tested normal load.

  13. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  14. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  15. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that <100> oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  16. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  17. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  18. Oxygen plasma treatment of HKUST-1 for porosity retention upon exposure to moisture.

    PubMed

    Bae, Jaeyeon; Jung, Jin-Woo; Park, Hyo Yul; Cho, Chang-Hee; Park, Jinhee

    2017-11-07

    Despite their remarkable properties, metal-organic frameworks (MOFs) present vulnerable structures that are sensitive to moisture; therefore, their application to real field situations is challenging. Herein, an O 2 plasma technique was introduced as a new method for the activation and protection of porosity in HKUST-1. In an unprecedented manner, O 2 plasma-treated HKUST-1 retains its porosity after a long exposure to moisture as compared to pristine HKUST-1. Porosity retention was examined by N 2 adsorption/desorption measurements of non-activated HKUST-1 after exposure to moisture.

  19. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  20. Fasting plasma triglycerides predict the glycaemic response to treatment of Type 2 diabetes by gastric electrical stimulation. A novel lipotoxicity paradigm

    PubMed Central

    Lebovitz, H E; Ludvik, B; Yaniv, I; Haddad, W; Schwartz, T; Aviv, R

    2013-01-01

    Background Non-stimulatory, meal-mediated electrical stimulation of the stomach (TANTALUS-DIAMOND) improves glycaemic control and causes modest weight loss in patients with Type 2 diabetes who are inadequately controlled on oral anti-diabetic medications. The magnitude of the glycaemic response in clinical studies has been variable. A preliminary analysis of data from patients who had completed 6 months of treatment indicated that the glycaemic response to the electrical stimulation was inversely related to the baseline fasting plasma triglyceride level. Method An analysis of 40 patients who had had detailed longitudinal studies for 12 months. Results Twenty-two patients with fasting plasma triglycerides ≤ 1.7 mmol/l had mean decreases in HbA1c after 3, 6 and 12 months of gastric contraction modulation treatment of −15 ± 2.1 mmol/mol (−1.39 ± 0.20%), −16 ± 2.2 mmol/mol (−1.48 ± 0.20%) and −14 ± 3.0 mmol/mol (−1.31 ± 0.26%), respectively. In contrast, 18 patients with fasting plasma triglyceride > 1.7 mmol/l had mean decreases in HbA1c of −7 ± 1.7 mmol/mol (−0.66 ± 0.16%), −5 ± 1.6 mmol/mol (−0.44 ± 0.18%) and −5 ± 1.7 mmol/mol (−0.42 ± 0.16%), respectively. Pearson's correlation coefficient between fasting plasma triglyceride and decreases in HbA1c at 12 months of treatment was 0.34 (P < 0.05). Homeostasis model assessment of insulin resistance was unchanged during 12 months of treatment in patients with high baseline fasting triglycerides, while it progressively improved in patients with low fasting plasma triglycerides. Patients with low fasting plasma triglycerides had a tendency to lose more weight than those with high fasting plasma triglycerides, but this did not achieve statistical significance. Conclusions The data presented suggest the existance of a triglyceride lipotoxic mechanism that interferes with gastric/neural mediated pathways that can regulate glycaemic control in patients with type 2 diabetes. The data

  1. Environmental and economic vision of plasma treatment of waste in Makkah

    NASA Astrophysics Data System (ADS)

    Galaly, Ahmed Rida; van Oost, Guido

    2017-10-01

    An environmental and economic assessment of the development of a plasma-chemical reactor equipped with plasma torches for the environmentally friendly treatment of waste streams by plasma is outlined with a view to the chemical and energetic valorization of the sustainability in the Kingdom of Saudi Arabia (KSA). This is especially applicable in the pilgrimage season in the city of Makkah, which is a major challenge since the amount of waste was estimated at about 750 thousand tons through Arabic Year 1435H (2015), and is growing at a rate of 3%-5% annually. According to statistics, the value of waste in Saudi Arabia ranges between 8 and 9 billion EUR. The Plasma-Treatment Project (PTP) encompasses the direct plasma treatment of all types of waste (from source and landfill), as well as an environmental vision and economic evaluation of the use of the gas produced for fuel and electricity production in KSA, especially in the pilgrimage season in the holy city Makkah. The electrical power required for the plasma-treatment process is estimated at 5000 kW (2000 kW used for the operation of the system and 3000 kW sold), taking into account the fact that: (1) the processing capacity of solid waste is 100 tons per day (2) and the sale of electricity amounts to 23.8 MW at 0.18 EUR per kWh. (3) The profit from the sale of electricity per year is estimated at 3.27 million EUR and the estimated profit of solid-waste treatment amounts to 6 million EUR per year and (4) the gross profit per ton of solid waste totals 8 million EUR per year. The present article introduces the first stage of the PTP, in Makkah in the pilgrimage season, which consists of five stages: (1) study and treatment of waste streams, (2) slaughterhouse waste treatment, (3) treatment of refuse-derived fuel, (4) treatment of car tires and (5) treatment of slag (the fifth stage associated with each stage from the four previous stages).

  2. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  3. Spatial structure of radio frequency ring-shaped magnetized discharge sputtering plasma using two facing ZnO/Al2O3 cylindrical targets for Al-doped ZnO thin film preparation

    NASA Astrophysics Data System (ADS)

    Sumiyama, Takashi; Fukumoto, Takaya; Ohtsu, Yasunori; Tabaru, Tatsuo

    2017-05-01

    Spatial structure of high-density radio frequency ring-shaped magnetized discharge plasma sputtering with two facing ZnO/Al2O3 cylindrical targets mounted in ring-shaped hollow cathode has been measured and Al-doped ZnO (AZO) thin film is deposited without substrate heating. The plasma density has a peak at ring-shaped hollow trench near the cathode. The radial profile becomes uniform with increasing the distance from the target cathode. A low ion current flowing to the substrate of 0.19 mA/cm2 is attained. Large area AZO films with a resistivity of 4.1 - 6.7×10-4 Ω cm can be prepared at a substrate room temperature. The transmittance is 84.5 % in a visible region. The surface roughnesses of AZO films are 0.86, 0.68, 0.64, 1.7 nm at radial positions of r = 0, 15, 30, 40 mm, respectively, while diffraction peak of AZO films is 34.26°. The grains exhibit a preferential orientation along (002) axis.

  4. A cost- and time-saving strategy of spraying TiO2 self-cleaning coatings in tubular substrates by air cold plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Lujie; Yu, Shuang; Wang, Kaile; Zhang, Jue; Fang, Jing

    2017-11-01

    In this study, using an atmospheric pressure air plasma jet generated by a dielectric barrier structure with hollow electrodes (HEDBS), we developed an ultrafast process for spraying TiO2 self-cleaning films inside tubular substrates. Importantly, SEM images showed that the TiO2 particles were dispersed evenly in the tubular substrates. Furthermore, Raman and XRD pattern indicated the anatase structure of the HEDBS-spayed TiO2 coating after heating at 270 °C. Further results of the self cleaning test suggested that the proposed cost- and time-saving HEDBS approach with air working gas could provide a feasible way for synthesizing thin TiO2 nanofilms.

  5. Langmuir Probe Measurements of Inductively Coupled Plasma in CF4/AR/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i)), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad lip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  6. Langmuir Probe Measurements of Inductively Coupled Plasmas in CF4/Ar/O2 Mixtures

    NASA Technical Reports Server (NTRS)

    Rao, M. V. V. S.; Cruden, Brett; Sharma, Surendra; Meyyappan, Meyya

    2001-01-01

    Inductively coupled plasmas of CF4:Ar:O2, which have been of importance to material processing, were studied in the GEC cell at 80:10:10, 60:20:20, and 40:30:30 mixture ratios. Radial distributions of plasma potential (V(sub p)), electron and ion number densities (n(sub e) and n(sub i), electron temperature (T(sub e)), and electron energy distribution functions (EEDFs) were measured in the mid-plane of plasma across the electrodes in the pressure range of 10-50 mTorr, and RF (radio frequency) power of 200 and 300 W. V(sub p), n(sub e) and n(sub i), which peak in the center of the plasma, increase with decrease of pressure. T(sub e) also increases with pressure but peaks toward the electrode edge. Both V(sub p) and T(sub e) remain nearly independent of RF power, whereas n(sub e) and n(sub i) increase with power. In all conditions the EEDFs exhibit non-Maxwellian shape and are more like Druyvesteyn form at higher energies. They exhibit a broad dip in the energy range 0-10 eV suggesting an electron loss mechanism, which could be due to via resonance electron attachment processes producing negative ions in this rich electronegative gas mixture. This behavior is more prominent towards the electrode edge.

  7. Treatment Options for Plasma Cell Neoplasms (Including Multiple Myeloma)

    MedlinePlus

    ... Health Professional Plasma Cell Neoplasms Treatment Research Plasma Cell Neoplasms (Including Multiple Myeloma) Treatment (PDQ®)–Patient Version General Information About Plasma Cell Neoplasms Go to Health Professional Version Key Points ...

  8. Treatment Option Overview (Plasma Cell Neoplasms Including Multiple Myeloma)

    MedlinePlus

    ... Health Professional Plasma Cell Neoplasms Treatment Research Plasma Cell Neoplasms (Including Multiple Myeloma) Treatment (PDQ®)–Patient Version General Information About Plasma Cell Neoplasms Go to Health Professional Version Key Points ...

  9. Plasma sprayed coatings on crankshaft used steels

    NASA Astrophysics Data System (ADS)

    Mahu, G.; Munteanu, C.; Istrate, B.; Benchea, M.

    2017-08-01

    Plasma spray coatings may be an alternative to conventional heat treatment of main journals and crankpins of the crankshaft. The applications of plasma coatings are various and present multiple advantages compared to electric arc wire spraying or flame spraying. The study examines the layers sprayed with the following powders: Cr3C2- 25(Ni 20Cr), Al2O3- 13TiO2, Cr2O3-SiO2- TiO2 on the surface of steels used in the construction of a crankshaft (C45). The plasma spray coatings were made with the Spray wizard 9MCE facility at atmospheric pressure. The samples were analyzed in terms of micro and morphological using optical microscopy, scanning electron microscopy and X-ray diffraction. Wear tests on samples that have undergone simulates extreme working conditions of the crankshafts. In order to emphasize adherence to the base material sprayed layer, were carried out tests of microscratches and micro-indentation. Results have showed a relatively compact morphological aspect given by the successive coatings with splat-like specific structures. Following the microscratch analysis it can be concluded that Al2O3-13TiO2 coating has a higher purpose in terms of hardness compared to Cr3C2-(Ni 20Cr) and Cr2O3-SiO2- TiO2 powders. Thermal coatings of the deposited powders have increased the mechanical properties of the material. The results stand to confirm that plasma sprayed Al2O3-13TiO2 powder is in fact a efficient solution for preventing mechanical wear, even with a faulty lubrication system.

  10. Plasma needle: treatment of living cells and tissues

    NASA Astrophysics Data System (ADS)

    Stoffels, Eva

    2003-10-01

    Non-thermal plasmas are capable of refined treatment of heat sensitive surfaces. Recently, many non-thermal sources working under atmospheric pressure have been constructed. Their main applications are various surface treatments: cleaning, etching, changing the wettability/adhesion, and bacterial decontamination. A new research at the Eindhoven University of Technology focuses on in vivo treatment by means of a novel non-thermal plasma source (the plasma needle). At present, a fundamental study has been undertaken to identify all possible responses of living objects exposed to the plasma. Plasma treatment does not lead to cell death (necrosis), which is a cause of inflammation. On the contrary, we observe various sophisticated reactions of mammalian cells, e.g. cell detachment (loss of cell contact) and programmed cell death (apoptosis). Moreover, under certain conditions the plasma is capable of killing bacteria, while eukaryotic cells remain unharmed. These findings may result in development of new techniques, like bacterial sterilization of infected (living) tissues or removal of cells without inflammatory response, and on a longer time scale to new methods in the health care. Possible applications include treatment of skin ailments, aiding wound healing and sterilization of dental cavities.

  11. Effect of growth parameters on crystallinity and properties of ZnO films grown by plasma assisted MOCVD

    NASA Astrophysics Data System (ADS)

    Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.

    2007-07-01

    Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.

  12. Apparatus and method for improving electrostatic precipitator performance by plasma reactor conversion of SO.sub.2 to SO.sub.3

    DOEpatents

    Huang, Hann-Sheng; Gorski, Anthony J.

    1999-01-01

    An apparatus and process that utilize a low temperature nonequilibrium plasma reactor, for improving the particulate removal efficiency of an electrostatic precipitator (ESP) are disclosed. A portion of the flue gas, that contains a low level of SO.sub.2 O.sub.2 H.sub.2 O, and particulate matter, is passed through a low temperature plasma reactor, which defines a plasma volume, thereby oxidizing a portion of the SO.sub.2 present in the flue gas into SO.sub.3. An SO.sub.2 rich flue gas is thereby generated. The SO.sub.3 rich flue gas is then returned to the primary flow of the flue gas in the exhaust treatment system prior to the ESP. This allows the SO.sub.3 to react with water to form H.sub.2 SO.sub.4 that is in turn is absorbed by fly ash in the gas stream in order to improve the removal efficiency of the EPS.

  13. Ionization instability induced striations in low frequency and pulsed He/H2O atmospheric pressure plasmas

    NASA Astrophysics Data System (ADS)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J.

    2018-01-01

    In previous work [Kawamura et al., Plasma Sources Sci. Technol. 25, 054009 (2016)] and [Kawamura et al., J. Phys. D: Appl. Phys. 50, 145204 (2017)], 1D kinetic particle-in-cell (PIC) simulations of narrow gap (1 to 4 mm), high frequency (27 MHz) or dc-driven, He/2%H2O atmospheric pressure plasmas (APPs) showed an ionization instability resulting in standing striations (spatial oscillations) in the bulk plasma. We developed a steady-state striation theory which showed that the striations are due to non-local electron kinetics. In both the high frequency and dc-driven cases, the equilibrium electron density n0 in the plasma bulk was stationary. In this work, we first conduct 1D PIC simulations of a 1 mm gap He/2%H2O APP, driven by a sinusoidal current at a low frequency of f = 50 kHz such that ω = 2πf is well below the ionization frequency νiz. In this case, n0 varies with time, and we observe a time-varying instability which quasistatically depends on n0(t). At each phase of the rf cycle, the discharge resembles a dc discharge at the same n0. At higher frequencies (200 kHz-1 MHz), ω approaches νiz, and quasistatic equilibrium at each phase breaks down. The discharge is also driven with a 200 kHz, 50% duty cycle square wave pulse with a short rise and fall time of 0.1 μs in an attempt to directly measure the striation growth rate s during the on-cycle before it saturated. However, the spike in current during the rise time leads to a spike in electron temperature Te and hence νiz and s at the beginning of the rise which saturated during the beginning of the on-cycle. To predict the instability growth rate and saturation during and after the current spike, we extend our striation theory to include time-varying n0, Te, νiz, as well as terms for the nonlinear saturation and noise floor of the striation amplitude. The time-varying global model predictions are compared to the PIC simulations, showing reasonable agreement.

  14. Carbon materials modified by plasma treatment as electrodes for supercapacitors

    NASA Astrophysics Data System (ADS)

    Lota, Grzegorz; Tyczkowski, Jacek; Kapica, Ryszard; Lota, Katarzyna; Frackowiak, Elzbieta

    The carbon material was modified by RF plasma with various reactive gases: O 2, Ar and CO 2. Physicochemical properties of the final carbon products were characterized using different techniques such as gas adsorption method and XPS. Plasma modified materials enriched in oxygen functionalities were investigated as electrodes for supercapacitors in acidic medium. The electrochemical measurements have been carried out using cyclic voltammetry, galvanostatic charge/discharge and impedance spectroscopy. The electrochemical measurements have confirmed that capacity characteristics are closely connected with a type of plasma exposition. Modification processes have an influence on the kind and amount of surface functional groups in the carbon matrix. The moderate increase of capacity of carbon materials modified by plasma has been observed using symmetric two-electrode systems. Whereas investigations made in three-electrode system proved that the suitable selection of plasma modification parameters allows to obtain promising negative and positive electrode materials for supercapacitor application.

  15. 19.2% Efficient InP Heterojunction Solar Cell with Electron-Selective TiO2 Contact.

    PubMed

    Yin, Xingtian; Battaglia, Corsin; Lin, Yongjing; Chen, Kevin; Hettick, Mark; Zheng, Maxwell; Chen, Cheng-Ying; Kiriya, Daisuke; Javey, Ali

    2014-12-17

    We demonstrate an InP heterojunction solar cell employing an ultrathin layer (∼10 nm) of amorphous TiO 2 deposited at 120 °C by atomic layer deposition as the transparent electron-selective contact. The TiO 2 film selectively extracts minority electrons from the conduction band of p-type InP while blocking the majority holes due to the large valence band offset, enabling a high maximum open-circuit voltage of 785 mV. A hydrogen plasma treatment of the InP surface drastically improves the long-wavelength response of the device, resulting in a high short-circuit current density of 30.5 mA/cm 2 and a high power conversion efficiency of 19.2%.

  16. Fabrication of Ti substrate grain dependent C/TiO2 composites through carbothermal treatment of anodic TiO2.

    PubMed

    Rüdiger, Celine; Favaro, Marco; Valero-Vidal, Carlos; Calvillo, Laura; Bozzolo, Nathalie; Jacomet, Suzanne; Hejny, Clivia; Gregoratti, Luca; Amati, Matteo; Agnoli, Stefano; Granozzi, Gaetano; Kunze-Liebhäuser, Julia

    2016-04-07

    Composite materials of titania and graphitic carbon, and their optimized synthesis are highly interesting for application in sustainable energy conversion and storage. We report on planar C/TiO2 composite films that are prepared on a polycrystalline titanium substrate by carbothermal treatment of compact anodic TiO2 with acetylene. This thin film material allows for the study of functional properties of C/TiO2 as a function of chemical composition and structure. The chemical and structural properties of the composite on top of individual Ti substrate grains are examined by scanning photoelectron microscopy and micro-Raman spectroscopy. Through comparison of these data with electron backscatter diffraction, it is found that the amount of generated carbon and the grade of anodic film crystallinity correlate with the crystallographic orientation of the Ti substrate grains. On top of Ti grains with ∼(0001) orientations the anodic TiO2 exhibits the highest grade of crystallinity, and the composite contains the highest fraction of graphitic carbon compared to Ti grains with other orientations. This indirect effect of the Ti substrate grain orientation yields new insights into the activity of TiO2 towards the decomposition of carbon precursors.

  17. Al{sub 2}O{sub 3} multi-density layer structure as a moisture permeation barrier deposited by radio frequency remote plasma atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jung, Hyunsoo; Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741; Jeon, Heeyoung

    2014-02-21

    Al{sub 2}O{sub 3} films deposited by remote plasma atomic layer deposition have been used for thin film encapsulation of organic light emitting diode. In this study, a multi-density layer structure consisting of two Al{sub 2}O{sub 3} layers with different densities are deposited with different deposition conditions of O{sub 2} plasma reactant time. This structure improves moisture permeation barrier characteristics, as confirmed by a water vapor transmission rate (WVTR) test. The lowest WVTR of the multi-density layer structure was 4.7 × 10{sup −5} gm{sup −2} day{sup −1}, which is one order of magnitude less than WVTR for the reference single-density Al{submore » 2}O{sub 3} layer. This improvement is attributed to the location mismatch of paths for atmospheric gases, such as O{sub 2} and H{sub 2}O, in the film due to different densities in the layers. This mechanism is analyzed by high resolution transmission electron microscopy, elastic recoil detection, and angle resolved X-ray photoelectron spectroscopy. These results confirmed that the multi-density layer structure exhibits very good characteristics as an encapsulation layer via location mismatch of paths for H{sub 2}O and O{sub 2} between the two layers.« less

  18. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    NASA Astrophysics Data System (ADS)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  19. Effects of Electric Discharge Plasma Treatment on the Thermal Conductivity of Polymer-Metal Nitride/Carbide Composites

    NASA Astrophysics Data System (ADS)

    Parali, Levent; Kurbanov, Mirza A.; Bayramov, Azad A.; Tatardar, Farida N.; Sultanakhmedova, Ramazanova I.; Xanlar, Huseynova Gulnara

    2015-11-01

    High-density polymer composites with semiconductor or dielectric fillers such as aluminum nitride (AIN), aluminum oxide (Al2O3), titanium carbide (TiC), titanium nitride (TiN), boron nitride (BN), silicon nitride (Si3N4), and titanium carbonitride (TiCN) were prepared by the hot pressing method. Each powder phase of the composites was exposed to an electric discharge plasma process before composite formation. The effects of the electric discharge plasma process and the filler content (volume fraction) on the thermal conductivity, volt-ampere characteristics, thermally stimulated depolarization current, as well as electrical and mechanical strength were investigated. The results of the study indicate that, with increasing filler volume fraction, the thermal conductivity of the samples also increased. Furthermore, the thermal conductivity, and electrophysical and mechanical properties of the high-density polyethylene + 70% BN composite modified using the electric discharge plasma showed improvement when compared with that without electric discharge plasma treatment.

  20. Highly vibrationally excited O2 molecules in low-pressure inductively-coupled plasmas detected by high sensitivity ultra-broad-band optical absorption spectroscopy

    NASA Astrophysics Data System (ADS)

    Foucher, Mickaël; Marinov, Daniil; Carbone, Emile; Chabert, Pascal; Booth, Jean-Paul

    2015-08-01

    Inductively-coupled plasmas in pure O2 (at pressures of 5-80 mTorr and radiofrequency power up to 500 W) were studied by optical absorption spectroscopy over the spectral range 200-450 nm, showing the presence of highly vibrationally excited O2 molecules (up to vʺ = 18) by Schumann-Runge band absorption. Analysis of the relative band intensities indicates a vibrational temperature up to 10,000 K, but these hot molecules only represent a fraction of the total O2 density. By analysing the (11-0) band at higher spectral resolution the O2 rotational temperature was also determined, and was found to increase with both pressure and power, reaching 900 K at 80 mTorr 500 W. These measurements were achieved using a new high-sensitivity ultra-broad-band absorption spectroscopy setup, based on a laser-plasma light source, achromatic optics and an aberration-corrected spectrograph. This setup allows the measurement of weak broadband absorbances due to a baseline variability lower than 2   ×   10-5 across a spectral range of 250 nm.

  1. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  2. Spark plasma sintering synthesis of Ni1-xZnxFe2O4 ferrites: Mössbauer and catalytic study

    NASA Astrophysics Data System (ADS)

    Velinov, Nikolay; Manova, Elina; Tsoncheva, Tanya; Estournès, Claude; Paneva, Daniela; Tenchev, Krassimir; Petkova, Vilma; Koleva, Kremena; Kunev, Boris; Mitov, Ivan

    2012-08-01

    Nickel-zinc ferrite nanoparticles, Ni1-xZnxFe2O4 (x = 0, 0.2, 0.5, 0.8, 1.0) were prepared by combination of chemical precipitation and spark plasma sintering (SPS) techniques and conventional thermal treatment of the obtained precursors. The phase composition and structural properties of the obtained materials were investigated by X-ray diffraction and Mössbauer spectroscopy and their catalytic activity in methanol decomposition was tested. A strong effect of reaction medium leading to the transformation of ferrites to a complex mixture of different iron containing phases was detected. A tendency of formation of Fe-carbide was found for the samples synthesized by SPS, while predominantly iron-nickel alloys ware registered in TS obtained samples. The catalytic activity and selectivity in methanol decomposition to CO and methane depended on the current phase composition of the obtained ferrites, which was formed by the influence of the reaction medium.

  3. Plasma temperature during methylene blue/light treatment influences virus inactivation capacity and product quality.

    PubMed

    Gravemann, U; Handke, W; Sumian, C; Alvarez, I; Reichenberg, S; Müller, T H; Seltsam, A

    2018-02-27

    Photodynamic treatment using methylene blue (MB) and visible light is in routine use for pathogen inactivation of human plasma in different countries. Ambient and product temperature conditions for human plasma during production may vary between production sites. The influence of different temperature conditions on virus inactivation capacity and plasma quality of the THERAFLEX MB-Plasma procedure was investigated in this study. Plasma units equilibrated to 5 ± 2°C, room temperature (22 ± 2°C) or 30 ± 2°C were treated with MB/light and comparatively assessed for the inactivation capacity for three different viruses, concentrations of MB and its photoproducts, activity of various plasma coagulation factors and clotting time. Reduced solubility of the MB pill was observed at 5 ± 2°C. Photocatalytic degradation of MB increased with increasing temperature, and the greatest formation of photoproducts (mainly azure B) occurred at 30 ± 2°C. Inactivation of suid herpesvirus, bovine viral diarrhoea virus and vesicular stomatitis virus was significantly lower at 5 ± 2°C than at higher temperatures. MB/light treatment affected clotting times and the activity of almost all investigated plasma proteins. Factor VIII (-17·7 ± 8·3%, 22 ± 2°C) and fibrinogen (-14·4 ± 16·4%, 22 ± 2°C) showed the highest decreases in activity. Increasing plasma temperatures resulted in greater changes in clotting time and higher losses of plasma coagulation factor activity. Temperature conditions for THERAFLEX MB-Plasma treatment must be carefully controlled to assure uniform quality of pathogen-reduced plasma in routine production. Inactivation of cooled plasma is not recommended. © 2018 International Society of Blood Transfusion.

  4. Impact of non-thermal plasma treatment on MAPK signaling pathways of human immune cell lines.

    PubMed

    Bundscherer, Lena; Wende, Kristian; Ottmüller, Katja; Barton, Annemarie; Schmidt, Anke; Bekeschus, Sander; Hasse, Sybille; Weltmann, Klaus-Dieter; Masur, Kai; Lindequist, Ulrike

    2013-10-01

    In the field of wound healing research non-thermal plasma (NTP) increasingly draws attention. Next to its intensely studied antibacterial effects, some studies already showed stimulating effects on eukaryotic cells. This promises a unique potential in healing of chronic wounds, where effective therapies are urgently needed. Immune cells do play an important part in the process of wound healing and their reaction to NTP treatment has yet been rarely examined. Here, we studied the impact of NTP treatment using the kinpen on apoptotic and proliferative cell signaling pathways of two human immune cell lines, the CD4(+)T helper cell line Jurkat and the monocyte cell line THP-1. Depending on NTP treatment time the number of apoptotic cells increased in both investigated cell types according to a caspase 3 assay. Western blot analysis pointed out that plasma treatment activated pro-apoptotic signaling proteins like p38 mitogen-activated protein kinase (p38 MAPK) and c-Jun N-terminal kinase 1 and 2 (JNK 1/2) in both cell types. Stronger signals were detected in Jurkat cells at comparable plasma treatment times. Intriguingly, exposure of Jurkat and THP-1 cells to plasma also activated the pro-proliferative signaling molecules extracellular signal-regulated kinase 1/2 (ERK 1/2) and MAPK/ERK kinase 1 and 2 (MEK 1/2). In contrast to Jurkat cells, the anti-apoptotic heat shock protein 27 (HSP27) was activated in THP-1 cells after plasma treatment, indicating a possible mechanism how THP-1 cells may reduce programmed cell death. In conclusion, several signaling cascades were activated in the examined immune cell lines after NTP treatment and in THP-1 monocytes a possible defense mechanism against plasma impacts could be revealed. Therefore, plasma might be a treatment option for wound healing. Copyright © 2013 Elsevier GmbH. All rights reserved.

  5. Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal-insulator-metal applications

    NASA Astrophysics Data System (ADS)

    Choi, Kyeong-Keun; Park, Chan-Gyung; Kim, Deok-kee

    2016-01-01

    The electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition were investigated for through-silicon via (TSV) and metal-insulator-metal applications at temperatures below 300 °C. ZrO2 films were able to be conformally deposited on the scallops of 50-µm-diameter, 100-µm-deep TSV holes. The mean breakdown field of 30-nm-thick ZrO2 films on 30-nm-thick Ta(N) increased about 41% (from 2.7 to 3.8 MV/cm) upon H2 plasma treatment. With the plasma treatment, the breakdown field of the film increased and the temperature coefficient of capacitance decreased significantly, probably as a result of the decreased carbon concentration in the film.

  6. New ZrO2/Al2O3 Nanocomposite Fabricated from Hybrid Nanoparticles Prepared by CO2 Laser Co-Vaporization

    PubMed Central

    Bartolomé, José F.; Smirnov, Anton; Kurland, Heinz-Dieter; Grabow, Janet; Müller, Frank A.

    2016-01-01

    Alumina toughened zirconia (ATZ) and zirconia toughened alumina (ZTA) are currently the materials of choice to meet the need for tough, strong, and bioinert ceramics for medical devices. However, the mechanical properties of ZrO2/Al2O3 dispersion ceramics could be considerably increased by reducing the corresponding grain sizes and by improving the homogeneity of the phase dispersion. Here, we prepare nanoparticles with an intraparticular phase distribution of Zr(1−x)AlxO(2−x/2) and (γ-, δ-)Al2O3 by the simultaneous gas phase condensation of laser co-vaporized zirconia and alumina raw powders. During subsequent spark plasma sintering the zirconia defect structures and transition alumina phases transform to a homogeneously distributed dispersion of tetragonal ZrO2 (52.4 vol%) and α-Al2O3 (47.6 vol%). Ceramics sintered by spark plasma sintering are completely dense with average grain sizes in the range around 250 nm. Outstanding mechanical properties (flexural strength σf = 1500 MPa, fracture toughness KIc = 6.8 MPa m1/2) together with a high resistance against low temperature degradation make these materials promising candidates for next generation bioceramics in total hip replacements and for dental implants. PMID:26846310

  7. New ZrO2/Al2O3 Nanocomposite Fabricated from Hybrid Nanoparticles Prepared by CO2 Laser Co-Vaporization

    NASA Astrophysics Data System (ADS)

    Bartolomé, José F.; Smirnov, Anton; Kurland, Heinz-Dieter; Grabow, Janet; Müller, Frank A.

    2016-02-01

    Alumina toughened zirconia (ATZ) and zirconia toughened alumina (ZTA) are currently the materials of choice to meet the need for tough, strong, and bioinert ceramics for medical devices. However, the mechanical properties of ZrO2/Al2O3 dispersion ceramics could be considerably increased by reducing the corresponding grain sizes and by improving the homogeneity of the phase dispersion. Here, we prepare nanoparticles with an intraparticular phase distribution of Zr(1-x)AlxO(2-x/2) and (γ-, δ-)Al2O3 by the simultaneous gas phase condensation of laser co-vaporized zirconia and alumina raw powders. During subsequent spark plasma sintering the zirconia defect structures and transition alumina phases transform to a homogeneously distributed dispersion of tetragonal ZrO2 (52.4 vol%) and α-Al2O3 (47.6 vol%). Ceramics sintered by spark plasma sintering are completely dense with average grain sizes in the range around 250 nm. Outstanding mechanical properties (flexural strength σf = 1500 MPa, fracture toughness KIc = 6.8 MPa m1/2) together with a high resistance against low temperature degradation make these materials promising candidates for next generation bioceramics in total hip replacements and for dental implants.

  8. Overview of current applications in plasma medicine

    NASA Astrophysics Data System (ADS)

    Ryan, Thomas P.; Stalder, Kenneth R.

    2017-02-01

    Plasma medicine is a rapidly growing field of treatment, with the number and type of medical applications growing annually, such as dentistry, cancer treatment, wound treatment, Antimicrobial (bacteria, biofilm, virus, fungus, prions), and surface sterilization. Work promoting muscle and blood vessel regeneration and osteointegration is being investigated. This review paper will cover the latest treatments using gas-based plasmas in medicine. Disinfection of water and new commercial systems will also be reviewed, as well as vaccine deactivation. With the rapid increase in new investigators, development of new devices and systems for treatment, and wider clinical applications, Plasma medicine is becoming a powerful tool in in the field of medicine. There are a wide range of Plasma sources that allows customization of the effect. These variations include frequency (DC to MHz), voltage capacity (kV), gas source (He, Ar; O2, N2, air, water vapor; combinations), direct/indirect target exposure, and water targets.

  9. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  10. Photocatalytic characteristic and photodegradation kinetics of toluene using N-doped TiO2 modified by radio frequency plasma.

    PubMed

    Shie, Je-Lueng; Lee, Chiu-Hsuan; Chiou, Chyow-San; Chen, Yi-Hung; Chang, Ching-Yuan

    2014-01-01

    This study investigates the feasibility of applications of the plasma surface modification of photocatalysts and the removal of toluene from indoor environments. N-doped TiO2 is prepared by precipitation methods and calcined using a muffle furnace (MF) and modified by radio frequency plasma (RF) at different temperatures with light sources from a visible light lamp (VLL), a white light-emitting diode (WLED) and an ultraviolet light-emitting diode (UVLED). The operation parameters and influential factors are addressed and prepared for characteristic analysis and photo-decomposition examination. Furthermore, related kinetic models are established and used to simulate the experimental data. The characteristic analysis results show that the RF plasma-calcination method enhanced the Brunauer Emmett Teller surface area of the modified photocatalysts effectively. For the elemental analysis, the mass percentages of N for the RF-modified photocatalyst are larger than those of MF by six times. The aerodynamic diameters of the RF-modifiedphotocatalyst are all smaller than those of MF. Photocatalytic decompositions of toluene are elucidated according to the Langmuir-Hinshelwood model. Decomposition efficiencies (eta) of toluene for RF-calcined methods are all higher than those of commercial TiO2 (P25). Reaction kinetics ofphoto-decomposition reactions using RF-calcined methods with WLED are proposed. A comparison of the simulation results with experimental data is also made and indicates good agreement. All the results provide useful information and design specifications. Thus, this study shows the feasibility and potential use of plasma modification via LED in photocatalysis.

  11. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    PubMed

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  12. Dielectric barrier discharge and jet type plasma surface modifications of hybrid polymeric poly (ε-caprolactone)/chitosan scaffolds.

    PubMed

    Ozkan, Ozan; Turkoglu Sasmazel, Hilal

    2018-04-01

    In this study, dry air plasma jet and dielectric barrier discharge Ar + O 2 or Ar + N 2 plasma modifications and their effects on wettability, topography, functionality and biological efficiency of the hybrid polymeric poly (ε-caprolactone)/chitosan scaffolds were reported. The samples treated with Ar + O 2 dielectric barrier discharge plasma (80 sccm O 2 flow rate, 3-min treatment) or with dry air plasma jet (15-cm nozzle-sample distance, 13-min treatment) had the closest wettability (49.11 ± 1.83 and 53.60 ± 0.95, respectively) to the commercial tissue culture polystyrene used for cell cultivation. Scanning electron microscopy images and X-ray photoelectron spectrometry analysis showed increase in topographical roughness and OH/NH 2 functionality, respectively. Increased fluid uptake capacity for the scaffolds treated with Ar + O 2 dielectric barrier discharge plasma (73.60% ± 1.78) and dry air plasma jet (72.48% ± 0.75) were also noted. Finally, initial cell attachment as well as seven-day cell viability, growth and proliferation performances were found to be significantly better for both plasma treated scaffolds than for untreated scaffolds.

  13. Influence of O2 or H2O in a plasma jet and its environment on plasma electrical and biochemical performances

    NASA Astrophysics Data System (ADS)

    Adhikari, Ek R.; Samara, Vladimir; Ptasinska, Sylwia

    2018-05-01

    Because environmental conditions, such as room temperature and humidity, fluctuate arbitrarily, effects of atmospheric pressure plasma jets (APPJs) used in medical applications operating at various places and time might vary. Therefore, understanding the possible effects of air components in and outside APPJs is essential for clinical use, which requires reproducibility of plasma performance. These air components can influence the formation of reactive species in the APPJ, and the type and amount of these species formed depend on the feed gas inside the APPJ and the plasma jet environment. In this study, we monitored changes in plasma current and power, as well as in the level of DNA damage attributable to plasma irradiation, by adjusting the fraction of oxygen and water vapor in the plasma jet environment and feed gas. Here, DNA was used as a molecular probe to identify chemical changes that occurred in the plasma jet under these various environmental conditions. The damaged and undamaged fractions of DNA were quantified using agarose gel electrophoresis. We obtained an optimal amount of oxygen or water vapor in the plasma jet environment, as well as in the feed gas, which increased the level of DNA damage significantly. This increase can be attributed primarily to the formation of reactive species caused by water and oxygen decomposition in the APPJ detected with mass spectrometry. Moreover, we observed that the plasma power remained the same or decreased when gas was added to the jet environment or the feed gas, respectively, but in both cases, DNA damage increased. This indicates the superiority of plasma chemistry over the electrical power applied for APPJ ignition of the plasma sources used in medical applications.

  14. The relationship between plasma concentration of metoprolol and CYP2D6 genotype in patients with ischemic heart disease.

    PubMed

    Wojtczak, Anna; Wojtczak, Maciej; Skrętkowicz, Jadwiga

    2014-06-01

    Metoprolol is the one of the most commonly used β-blockers in the treatment of ischemic heart disease and it is extensively metabolized in the liver undergoing oxidation by CYP2D6 isoenzyme of cytochrome P450. Gene encoding the CYP2D6 enzyme is characterized by genetic polymorphism. The CYP2D6 oxidation polymorphism has a major impact on the effectiveness and safety of the treatment. The aim of the study was to evaluate the relationship between plasma concentration of metoprolol and the CYP2D6 genotype in patients with ischemic heart disease. Fifty patients were interviewed and subsequently enrolled into the study. The patients received metoprolol twice daily at a dose of 50mg. The blood samples were analyzed for two major defective alleles for CYP2D6 - CYP2D6*4 and CYP2D6*3--by the polymerase chain reaction-restriction fragment length polymorphism (PCR-RFLP) method. Metoprolol concentration in plasma was determined by using the new and unique high-performance liquid chromatography (HPLC) method in the author's own modification with Corona CAD detector (Charged Aerosol Detection). In the test group, genotypes conditioning poor oxidation (PM) occurred in 3 patients (6%), while 47 patients (94%) had genotypes coding for extensive metabolism (EM). Patients with PM genotypes had significantly higher plasma concentrations of metoprolol than the patients with EM genotype (mean 92.25 ± SD 36.78 ng/ml vs. mean 168.22 ± SD 5.61 ng/ml, respectively). Established relationships were statistically significant (NIR test, p=0.0009). This study demonstrated that the CYP2D6 genotype remains a major determinant of the metoprolol plasma concentrations. The pharmacogenetic effect is likely to have consequences on both, the clinical benefit of metoprolol treatment and adverse drug reactions. The use of Corona CAD detector seems to be a very good alternative method for the determination of metoprolol concentration in plasma. Copyright © 2014 Institute of Pharmacology, Polish Academy

  15. Effect of heat treatment on the properties of SiO2-CaO-MgO-P 2O 5 bioactive glasses.

    PubMed

    Zhou, Yue; Li, Hongying; Lin, Kaili; Zhai, Wanying; Gu, Weiming; Chang, Jiang

    2012-09-01

    Since the invention of 45S5 Bioglass, researchers never stopped exploring new generation bioactive glass (BG) materials for wider applications in regenerative medicine, among which a novel SiO(2)-CaO-MgO-P(2)O(5) bioactive glass (BG20) is an excellent candidate. However, apart from their biocompatibility and bioactivity, a porous structure is also a must for a tissue engineering scaffold in successfully fixing bone defect. The porosity is the outcome of the high temperature (500-1,000 °C) treatment in the fabricating process of the bioglass scaffold. Under the high temperature, the amorphous glass material will become crystallized at certain percentage in the glass matrix, and possibly leading to consequent changes in the mechanical strength, biodegradability and bioactivity. To elucidate the effect of phase transition on the change of the properties of BG20, the experiments in this report were designed to fine-tuning the heat treating temperatures to fabricate a series of BG20 powders with different crystallization structures. X-ray diffraction revealed a positive correlation between the heating temperature and the crystallization, as well as the compressive strength of the materials. In vitro degradation and ion analysis by ICP-AES demonstrated a similar releasing behavior of different ions including Mg(2+), Ca(2+) and Si(4+), which in common is the tendency of decreasing of the ion concentration along with the increasing of the treating temperature. Cell proliferation assay using both mouse fibroblasts (NIH3T3) and bone marrow stromal cells (BMSCs) showed little toxicity of the ionic extract of the BG20 powders at all the treating temperatures, while fibroblasts demonstrated a significant promoting in the percentage of proliferation. Furthermore, reverse-transcription and polymerase chain reaction analysis on two representative marker genes for early osteogenesis and endochondral ossification, respectively, type I collagen alpha 1 and Indian Hedge-hog, showed

  16. Bioactivity and cell proliferation in radiopaque gel-derived CaO-P2O5-SiO2-ZrO2 glass and glass-ceramic powders.

    PubMed

    Montazerian, Maziar; Yekta, Bijan Eftekhari; Marghussian, Vahak Kaspari; Bellani, Caroline Faria; Siqueira, Renato Luiz; Zanotto, Edgar Dutra

    2015-10-01

    In this study, 10 mol% ZrO2 was added to a 27CaO-5P2O5-68SiO2 (mol%) base composition synthesized via a simple sol-gel method. This composition is similar to that of a frequently investigated bioactive gel-glass. The effects of ZrO2 on the in vitro bioactivity and MG-63 cell proliferation of the glass and its derivative polycrystalline (glass-ceramic) powder were investigated. The samples were characterized using thermo-gravimetric and differential thermal analysis (TG/DTA), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and scanning electron microscopy (SEM) coupled to energy dispersive X-ray spectroscopy (EDS). Release of Si, Ca, P and Zr into simulated body fluid (SBF) was determined by inductively coupled plasma (ICP). Upon heat treatment at 1000 °C, the glass powder crystallized into an apatite-wollastonite-zirconia glass-ceramic powder. Hydroxycarbonate apatite (HCA) formation on the surface of the glass and glass-ceramic particles containing ZrO2 was confirmed by FTIR and SEM. Addition of ZrO2 to the base glass composition decreased the rate of HCA formation in vitro from one day to three days, and hence, ZrO2 could be employed to control the rate of apatite formation. However, the rate of HCA formation on the glass-ceramic powder containing ZrO2 crystal was equal to that in the base glassy powder. Tests with a cultured human osteoblast-like MG-63 cells revealed that the glass and glass-ceramic materials stimulated cell proliferation, indicating that they are biocompatible and are not cytotoxic in vitro. Moreover, zirconia clearly increased osteoblast proliferation over that of the Zr-free samples. This increase is likely associated with the lower solubility of these samples and, consequently, a smaller variation in the media pH. Despite the low solubility of these materials, bioactivity was maintained, indicating that these glassy and polycrystalline powders are potential candidates for bone graft substitutes and bone cements with

  17. Chlorobenzene degeradation by non-thermal plasma combined with EG-TiO2/ZnO as a photocatalyst: Effect of photocatalyst on CO2 selectivity and byproducts reduction.

    PubMed

    Ghorbani Shahna, Farshid; Bahrami, Abdulrahman; Alimohammadi, Iraj; Yarahmadi, Rassuol; Jaleh, Babak; Gandomi, Mastaneh; Ebrahimi, Hossein; Ad-Din Abedi, Kamal

    2017-02-15

    The non-thermal plasma (NTP) technique, which suffers from low selectivity in complete oxidation of volatile organic compounds to CO 2 and H 2 O, creates unwanted and harmful byproducts. NTP in concert with photocatalyst can resolve this limitation due to additional oxidation. TiO 2 and ZnO nanoparticles were coated on the surface of the expanded graphite and placed downstream of the NTP reactor under UV light. In this study, to compare the performance of NTP and the combined system, chlorobenzene removal, selectivity of CO 2 and byproducts formation were investigated. The results showed that the combined system enhanced both the removal efficiency and CO 2 selectivity. The output gas of the NTP reactor contained chlorobenzene, phosgene, O 3 , NO, NO 2 , CO, CO 2 , HCL and CL. The bulk of these byproducts was oxidized on the surface of the nanocomposite; as a result, the content of the byproducts in the output gas of the combined system decreased dramatically. The removal efficiency and CO 2 selectivity increased by rising the applied voltage and residence time because the collision between active species and pollutant molecules increases. Based on these results, the combined system is preferred due to a higher performance and lower formation of harmful byproducts. Copyright © 2016 Elsevier B.V. All rights reserved.

  18. Nanoblast synthesis and consolidation of (La0.8Sr0.2)(Ga0.9Mg0.1)O(3-delta) under Spark plasma sintering conditions.

    PubMed

    Vasylkiv, Oleg; Borodianska, Hanna; Badica, Petre; Zhen, Yongda; Tok, Alfred

    2009-01-01

    Four-cation nanograined strontium and magnesium doped lanthanum gallate (La0.8Sr0.2) (Ga0.9Mg0.1)O(3-delta) (LSGM) and its composite with 2 wt% of ceria (LSGM-Ce) were prepared. Morphologically homogeneous nanoreactors, i.e., complex intermediate metastable aggregates of desired composition were assembled by spray atomization technique, and subsequently loaded with nanoparticles of highly energetic C3H6N6O6. Rapid nanoblast calcination technique was applied and the final composition was synthesized within the preliminary localized volumes of each single nanoreactor on the first step of spark plasma treatment. Subsequent SPS consolidations of nanostructured extremely active LSGM and LSGM-Ce powders were achieved by rapid treatment under pressures of 90-110 MPa. This technique provided the heredity of the final structure of nanosize multimetal oxide, allowed the prevention of the uncontrolled agglomeration during multicomponent aggregates assembling, subsequent nanoblast calcination, and final ultra-rapid low-temperature SPS consolidation of nanostructured ceramics. LaSrGaMgCeO(3-delta) nanocrystalline powder consisting of approximately 11 nm crystallites was consolidated to LSGM-Ce nanoceramic with average grain size of approximately 14 nm by low-temperature SPS at 1250 degrees C. Our preliminary results indicate that nanostructured samples of (La0.8Sr0.2)(Ga0.9Mg0.1)O(3-delta) with 2 wt% of ceria composed of approximataley 14 nm grains can exhibit giant magnetoresistive effect in contrast to the usual paramagnetic properties measured on the samples with larger grain size.

  19. Simultaneous desulfurization and denitrification of flue gas by ·OH radicals produced from O2+ and water vapor in a duct.

    PubMed

    Bai, Mindi; Zhang, Zhitao; Bai, Mindong

    2012-09-18

    In the present study, simultaneous flue gas desulfurization and denitrification are achieved with ·OH radicals generated from O(2)(+) reacting with water vapor in a duct. The O(2)(+) ions are generated by a strong ionization dielectric barrier discharge and then injected into the duct. Compared with conventional gas discharge treatment, the present method does not need a plasma reaction reactor, additional catalysts, reductants, or oxidants. The main recovered products are the liquids H(2)SO(4) and HNO(3), which can be used in many processes. Removal rates of 97% for NO and 82% for SO(2) are obtained under the following optimal experimental conditions: molar ratio of reactive oxygen species (O(2)(+), O(3)) to SO(2) and NO, 5; inlet flue gas temperature, 65 °C; reaction time, 0.94 s; and H(2)O volume fraction, 8%. Production of O(2)(+) and the plasma reaction mechanisms are discussed, and the recovered acid is characterized. The experimental results show that the present method performs better for denitrification than for desulfurization. Compared with conventional air discharge flue gas treatments, the present method has lower initial investment and operating costs, and the equipment is more compact.

  20. Chemical corrosion by chlorides on ancient-like bronzes and treatment by hydrogen glow discharge plasma

    NASA Astrophysics Data System (ADS)

    Papadopoulou, O.; Novakovic, J.; Vassiliou, P.; Filippaki, E.; Bassiakos, Y.

    2013-12-01

    Three representative ancient-like bronzes are employed for the chemical synthesis of Cu2(OH)3Cl rich patinas in order to study the influence of the alloying elements in the evolution of the chloride attack and to further conduct stabilization treatment via Hydrogen Glow Discharge Plasma (HGDP) at low temperature and pressure. The corrosion behavior of specimens having Sn and Pb as main alloying elements is governed by a decuprification mechanism and by the formation of Sn-Pb-O enriched barrier layers. In the case of the Zn containing alloy, dezincification is more pronounced at the corrosion initial stages, and copper species predominate the corrosion products evolution. A three-hour HGDP treatment leads to Cu+ production and metallic Cu, Sn, Zn, and Pb redeposition, as a result of metal cation reduction. This process is accompanied by partial removal of Cl species, O diminution, and change in coloration. The further increase of the Cl/O atomic ratio measured on the post-treated surfaces leads to the formation of nantokite and thus to the conclusion that the stabilization of objects with extensive Cl attack is not feasible by HGDP without preliminary chemical treatment.

  1. Over-expression of Trxo1 increases the viability of tobacco BY-2 cells under H2O2 treatment

    PubMed Central

    Ortiz-Espín, Ana; Locato, Vittoria; Camejo, Daymi; Schiermeyer, Andreas; De Gara, Laura; Sevilla, Francisca; Jiménez, Ana

    2015-01-01

    Background and Aims Reactive oxygen species (ROS), especially hydrogen peroxide, play a critical role in the regulation of plant development and in the induction of plant defence responses during stress adaptation, as well as in plant cell death. The antioxidant system is responsible for controlling ROS levels in these processes but redox homeostasis is also a key factor in plant cell metabolism under normal and stress situations. Thioredoxins (Trxs) are ubiquitous small proteins found in different cell compartments, including mitochondria and nuclei (Trxo1), and are involved in the regulation of target proteins through reduction of disulphide bonds, although their role under oxidative stress has been less well studied. This study describes over-expression of a Trxo1 for the first time, using a cell-culture model subjected to an oxidative treatment provoked by H2O2. Methods Control and over-expressing PsTrxo1 tobacco (Nicotiana tabacum) BY-2 cells were treated with 35 mm H2O2 and the effects were analysed by studying the growth dynamics of the cultures together with oxidative stress parameters, as well as several components of the antioxidant systems involved in the metabolism of H2O2. Analysis of different hallmarks of programmed cell death was also carried out. Key Results Over-expression of PsTrxo1 caused significant differences in the response of TBY-2 cells to high concentrations of H2O2, namely higher and maintained viability in over-expressing cells, whilst the control line presented a severe decrease in viability and marked indications of oxidative stress, with generalized cell death after 3 d of treatment. In over-expressing cells, an increase in catalase activity, decreases in H2O2 and nitric oxide contents and maintenance of the glutathione redox state were observed. Conclusions A decreased content of endogenous H2O2 may be responsible in part for the delayed cell death found in over-expressing cells, in which changes in oxidative parameters and

  2. Over-expression of Trxo1 increases the viability of tobacco BY-2 cells under H2O2 treatment.

    PubMed

    Ortiz-Espín, Ana; Locato, Vittoria; Camejo, Daymi; Schiermeyer, Andreas; De Gara, Laura; Sevilla, Francisca; Jiménez, Ana

    2015-09-01

    Reactive oxygen species (ROS), especially hydrogen peroxide, play a critical role in the regulation of plant development and in the induction of plant defence responses during stress adaptation, as well as in plant cell death. The antioxidant system is responsible for controlling ROS levels in these processes but redox homeostasis is also a key factor in plant cell metabolism under normal and stress situations. Thioredoxins (Trxs) are ubiquitous small proteins found in different cell compartments, including mitochondria and nuclei (Trxo1), and are involved in the regulation of target proteins through reduction of disulphide bonds, although their role under oxidative stress has been less well studied. This study describes over-expression of a Trxo1 for the first time, using a cell-culture model subjected to an oxidative treatment provoked by H2O2. Control and over-expressing PsTrxo1 tobacco (Nicotiana tabacum) BY-2 cells were treated with 35 mm H2O2 and the effects were analysed by studying the growth dynamics of the cultures together with oxidative stress parameters, as well as several components of the antioxidant systems involved in the metabolism of H2O2. Analysis of different hallmarks of programmed cell death was also carried out. Over-expression of PsTrxo1 caused significant differences in the response of TBY-2 cells to high concentrations of H2O2, namely higher and maintained viability in over-expressing cells, whilst the control line presented a severe decrease in viability and marked indications of oxidative stress, with generalized cell death after 3 d of treatment. In over-expressing cells, an increase in catalase activity, decreases in H2O2 and nitric oxide contents and maintenance of the glutathione redox state were observed. A decreased content of endogenous H2O2 may be responsible in part for the delayed cell death found in over-expressing cells, in which changes in oxidative parameters and antioxidants were less extended after the oxidative

  3. A novel approach to the pacemaker infection with non-thermal atmospheric pressure plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Yuchen; Li, Yu; Li, Yinglong; Yu, Shuang; Li, Haiyan; Zhang, Jue

    2017-08-01

    Although the pacemaker (PM) is a key cardiac implantable electrical device for life-threatening arrhythmias treatment, the related infection is a challenge. Thus, the aim of this study is to validate cold plasma as a potential technology for the disinfection of infected pacemakers. Fifty donated PMs were cleaned and sterilized before use and then infected with Staphylococcus aureus ( S. aureus). Then, each experimental group was treated with cold plasma treatment for 1 min, 3 min, 5 min and 7 min, while the control group was immersed with sterilized water. Effectiveness of disinfection was evaluated by using CFU counting method and confocal laser scanning microscopy (CLSM). The physicochemical properties of water treated with cold plasma at different time were evaluated, including water temperature change and oxidation reduction potential (ORP). The major reactive species generated by the cold plasma equipment during cold plasma were analyzed with optical emission spectroscopy (OES). No live bacteria were detected with CFU counting method after 7 min of cold plasma treatment, which matches with the CLSM results. The ORP value of water and H2O2 concentration changed significantly after treating with cold plasma. Furthermore, reactive oxygen species (ROS) and reactive nitrogen species (RNS), especially NO, O (777 nm) and O (844 nm) were probably key inactivation agents in cold plasma treatment. These results indicate that cold plasma could be an effective technology for the disinfection of implantable devices.

  4. Bioactivity of sol-gel-derived TiO2 coating on polyetheretherketone: In vitro and in vivo studies.

    PubMed

    Shimizu, Takayoshi; Fujibayashi, Shunsuke; Yamaguchi, Seiji; Yamamoto, Koji; Otsuki, Bungo; Takemoto, Mitsuru; Tsukanaka, Masako; Kizuki, Takashi; Matsushita, Tomiharu; Kokubo, Tadashi; Matsuda, Shuichi

    2016-04-15

    A polyetheretherketone (PEEK) surface was modified using a sol-gel-derived TiO2 coating in order to confer bone-bonding ability. To enhance the bonding strength of the coating layer, pretreatment with either O2 plasma or sandblasting was performed prior to sol-gel coating. Additionally, post-treatment with acid was carried out to confer apatite (calcium phosphate)-forming ability to the surface. Biomechanical and histological analyses performed using an in vivo rabbit tibia model showed that PEEK surfaces modified with sol-gel-derived TiO2 and acid post-treatment had better bone-bonding properties than uncoated PEEK surfaces. These modified surfaces also performed well in terms of their in vitro cell responses due to their modified surface chemistries and topographies. Although O2 plasma or sandblasting treatment were, for the most part, equivocal in terms of performance, we conclude that sol-gel-derived TiO2 coating followed by acid post-treatment significantly improves the bone bonding ability of PEEK surfaces, thus rendering them optimal for their use in surgical implants. The role of polyetheretherketone (PEEK) as an alternative biomaterial to conventional metallic implant materials has become increasingly important. However, its low bone bonding ability is yet to be resolved. This in vivo and in vitro investigation on the functionalization of PEEK surfaces highlights the utility of this material in clinical interventions that require implants, and may extend range of applications of PEEK. Copyright © 2016 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  5. Plasma-water interactions at atmospheric pressure in a dc microplasma

    NASA Astrophysics Data System (ADS)

    Patel, Jenish; Němcová, Lucie; Mitra, Somak; Graham, William; Maguire, Paul; Švrček, Vladimir; Mariotti, Davide

    2013-09-01

    Plasma-liquid interactions generate a variety of chemical species that are very useful for the treatment of many materials and that makes plasma-induced liquid chemistry (PiLC) very attractive for industrial applications. The understanding of plasma-induced chemistry with water can open up a vast range of plasma-activated chemistry in liquid with enormous potential for the synthesis of chemical compounds, nanomaterials synthesis and functionalization. However, this basic understanding of the chemistry occurring at the plasma-liquid interface is still poor. In the present study, different properties of water are analysed when processed by plasma at atmospheric-pressure with different conditions. In particular, pH, temperature and conductivity of water are measured against current and time of plasma processing. We also observed the formation of molecular oxygen (O2) and hydrogen peroxide (H2O2) for the same plasma conditions. The current of plasma processing was found to affect the water properties and the production of hydrogen peroxide in water. The relation between the number of electrons injected from plasma in water and the number of H2O2 molecules was established and based on these results a scenario of reactions channels activated by plasma-water interface is concluded.

  6. Structure and microhardness of the plasma sprayed composite coatings after combined treatment

    NASA Astrophysics Data System (ADS)

    Ivannikov, A. Yu; Kalita, V. I.; Komlev, D. I.; Radyuk, A. A.; Bagmutov, V. P.; Zakharov, I. N.; Parshev, S. N.; Denisevich, D. S.

    2018-04-01

    The principal aim of this study was to evaluate the effect of combination of electromechanical treatment (EMT) and ultrasonic treatment on structure and microhardness of air plasma sprayed composite coatings from Ni–20Cr alloy and R6M5 high speed steel (HSS). The results of the microstructural studies showed fundamental changes of the treated by the EMT plasma sprayed coating with the formation of nanostructured crystalline phases. As a consequence of the coating thus formed, the number of pores in the coating structure reduced from 10.0±1.5% to 2.0±0.5%, the surface microhardness increased from 3100±500 MPa to 7900±400 MPa. Additional ultrasonic treatment on the selected mode decreased surface waviness, which was formed on the surface of the plasma sprayed composite coatings after the EMT. The obtained results revealed the high potential of the combined treatment for post-treatment of the plasma sprayed coatings.

  7. In vitro evaluation of bioactivity of SiO2-CaO-P2O5-Na2O-CaF2-ZnO glass-ceramics

    NASA Astrophysics Data System (ADS)

    Riaz, Madeeha; Zia, Rehana; Saleemi, Farhat; Bashir, Farooq; Hossain, Tousif; Kayani, Zohra

    2014-09-01

    Zinc is an essential trace element that stimulates bone formation but it is also known as an inhibitor of apatite crystal growth. In this work addition of ZnO to SiO2-CaO-P2O5-Na2O-CaF2 glass-ceramic system was made by conventional melt-quenching technique. DSC curves showed that the addition of ZnO moved the endothermic and exothermic peaks to lower temperatures. X-ray diffraction analysis did not reveal any additional phase caused by ZnO addition and showed the presence of wollastonite and hydroxyapatite crystalline phases only in all the glass-ceramic samples. As bio-implant apatite forming ability is an essential condition, the surface reactivity of the prepared glass-ceramic specimens was studied in vitro in Kokubo's simulated body fluid (SBF) [1] with ion concentration nearly equal to human blood plasma for 30 days at 37 °C under static condition. Atomic absorption spectroscopy (AAS) was used to study the changes in element concentrations in soaking solutions and XRD, FT-IR and SEM were used to elucidate surface properties of prepared glass-ceramics, which confirmed the formation of HCAp on the surface of all glass-ceramics. It was found that the addition of ZnO had a positive effect on bioactivity of glass-ceramics and made it a potential candidate for restoration of damaged bones.

  8. Cosmetic wastewater treatment using the Fenton, Photo-Fenton and H2O2/UV processes.

    PubMed

    Marcinowski, Piotr P; Bogacki, Jan P; Naumczyk, Jeremi H

    2014-01-01

    Advanced Oxidation Processes (AOPs), such as the Fenton, photo-Fenton and H2O2/UV processes, have been investigated for the treatment of cosmetic wastewaters that were previously coagulated by FeCl3. The Photo-Fenton process at pH 3.0 with 1000/100 mg L(-1) H2O2/Fe(2+) was the most effective (74.0% Chemical Oxygen Demand (COD) removal). The Fenton process with 1200/500 mg L(-1) H2O2/Fe(2+) achieved a COD removal of 72.0%, and the H2O2/UV process achieved a COD removal of 47.0%. Spreading the H2O2 doses over time to obtain optimal conditions did not improve COD removal. The kinetics of the Fenton and photo-Fenton processes may be described by the following equation: d[COD]/dt = -a[COD] t(m) (t represents time and a and m are constants). The rate of COD removal by the H2O2/UV process may be described by a second-order reaction equation. Head Space, Solid-Phase MicroExtraction, Gas Chromatography and Mass Spectrometry (HS-SPME-GC-MS) were used to identify 48 substances in precoagulated wastewater. Among these substances, 26 were fragrances. Under optimal AOP conditions, over 99% of the identified substances were removed in 120 min.

  9. Enhancing Electrochemical Performance of Graphene Fiber-Based Supercapacitors by Plasma Treatment.

    PubMed

    Meng, Jie; Nie, Wenqi; Zhang, Kun; Xu, Fujun; Ding, Xin; Wang, Shiren; Qiu, Yiping

    2018-04-25

    Graphene fiber-based supercapacitors (GFSCs) hold high power density, fast charge-discharge rate, ultralong cycling life, exceptional mechanical/electrical properties, and safe operation conditions, making them very promising to power small wearable electronics. However, the electrochemical performance is still limited by the severe stacking of graphene sheets, hydrophobicity of graphene fibers, and complex preparation process. In this work, we develop a facile but robust strategy to easily enhance electrochemical properties of all-solid-state GFSCs by simple plasma treatment. We find that 1 min plasma treatment under an ambient condition results in 33.1% enhancement of areal specific capacitance (36.25 mF/cm 2 ) in comparison to the as-prepared GFSC. The energy density reaches 0.80 μW h/cm 2 in polyvinyl alcohol/H 2 SO 4 gel electrolyte and 18.12 μW h/cm 2 in poly(vinylidene difluoride)/ethyl-3-methylimidazolium tetrafluoroborate electrolyte, which are 22 times of that of as-prepared ones. The plasma-treated GFSCs also exhibit ultrahigh rate capability (69.13% for 40 s plasma-treated ones) and superior cycle stability (96.14% capacitance retention after 20 000 cycles for 1 min plasma-treated ones). This plasma strategy can be extended to mass-manufacture high-performance carbonaceous fiber-based supercapacitors, such as graphene and carbon nanotube-based ones.

  10. Effect of Rapid Thermal Annealing on the Electrical Characteristics of ZnO Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Remashan, Kariyadan; Hwang, Dae-Kue; Park, Seong-Ju; Jang, Jae-Hyung

    2008-04-01

    Thin-film transistors (TFTs) with a bottom-gate configuration were fabricated with an RF magnetron sputtered undoped zinc oxide (ZnO) channel layer and plasma-enhanced chemical vapor deposition (PECVD) grown silicon nitride as a gate dielectric. Postfabrication rapid thermal annealing (RTA) and subsequent nitrous oxide (N2O) plasma treatment were employed to improve the performance of ZnO TFTs in terms of on-current and on/off current ratio. The RTA treatment increases the on-current of the TFT significantly, but it also increases its off-current. The off-current of 2×10-8 A and on/off current ratio of 3×103 obtained after the RTA treatment were improved to 10-10 A and 105, respectively, by the subsequent N2O plasma treatment. The better device performance can be attributed to the reduction of oxygen vacancies at the top region of the channel due to oxygen incorporation from the N2O plasma. X-ray photoelectron spectroscopy (XPS) analysis of the TFT samples showed that the RTA-treated ZnO surface has more oxygen vacancies than as-deposited samples, which results in the increased drain current. The XPS study also showed that the subsequent N2O plasma treatment reduces oxygen vacancies only at the surface of ZnO so that the better off-current and on/off current ratio can be obtained.

  11. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  12. Catalytic Effect of Activated Carbon and Activated Carbon Fiber in Non-Equilibrium Plasma-Based Water Treatment

    NASA Astrophysics Data System (ADS)

    Zhang, Yanzong; Zheng, Jingtang; Qu, Xianfeng; Yu, Weizhao; Chen, Honggang

    2008-06-01

    Catalysis and regeneration efficiency of granular activated carbon (GAC) and activated carbon fiber (ACF) were investigated in a non-equilibrium plasma water treatment reactor with a combination of pulsed streamer discharge and GAC or ACF. The experimental results show that the degradation efficiency of methyl orange (MO) by the combined treatment can increase 22% (for GAC) and 24% (for ACF) respectively compared to pulsed discharge treatment alone, indicating that the combined treatment has a synergetic effect. The MO degradation efficiency by the combined treatment with pulsed discharge and saturated GAC or ACF can increase 12% and 17% respectively compared to pulsed discharge treatment alone. Both GAC and ACF show catalysis and the catalysis of ACF is prominent. Meanwhile, the regeneration of GAC and ACF are realized in this process. When H2O2 is introduced into the system, the utilization efficiency of ozone and ultraviolet light is improved and the regeneration efficiency of GAC and ACF is also increased.

  13. Insights on the High-Temperature Operational Limits of ZrO2-Y2O3 TBCs Manufactured via Air Plasma Spray

    NASA Astrophysics Data System (ADS)

    Lima, Rogerio S.; Marple, Basil R.

    2017-03-01

    The effective high-temperature operation limit of a ZrO2-7-8 wt.%Y2O3 (YSZ) thermal barrier coating (TBC) manufactured via air plasma spray (APS) is considered to be 1300 °C. This is related to the metastable tetragonal t'-phase formed during the rapid quenching of the YSZ particles during spraying. The t'-phase transforms into the equilibrium tetragonal and cubic phases at temperatures ≥ 1300 °C, which can lead to the formation of the monoclinic phase of YSZ upon cooling to room temperature. This formation of the monoclinic phase is accompanied by a volume expansion that leads to TBC failure due to extensive micro-cracking. To further investigate this limitation, an APS YSZ TBC was sprayed on a CMSX-4 substrate. By using a thermal (laser) gradient cyclic testing, a temperature gradient was generated across the TBC/substrate system. The YSZ T- front and substrate backside T- back temperature levels were 1500 and 1000 °C, respectively. In cycle conditions (5-min or 1-h hot and 2-min cool), no TBC failure has been observed. This behavior was partially attributed to the unexpected absence of the monoclinic phase of the YSZ in the cycled coatings. Although preliminary, these results are promising regarding increasing the effective high-temperature operational limits of APS YSZ TBCs.

  14. Spectroscopy Study of Ar + CO2 Plasmas in ASTRAL.

    NASA Astrophysics Data System (ADS)

    Munoz, Jorge; Boivin, Robert; Kamar, Ola; Loch, Stuart; Ballance, Connor

    2006-10-01

    A spectroscopy study of the ASTRAL (Auburn Steady sTate Research fAciLity) helicon plasma source running Ar + CO2 gas mix is presented. ASTRAL produces Ar plasmas: ne = 10^10 to 10^13 cm-3, Te = 2 to 10 eV and Ti = 0.03 to 0.5 eV. A series of 7 large coils produce an axial magnetic field up to 1.3 kGauss. A fractional helix antenna is used to introduce rf power up to 2 kWatt. A spectrometer which features a 0.33 m Criss-Cross monochromator and a CCD camera is used for this study. Very different plasmas are produced following the relative importance of CO2 in the gas mixture. At low CO2 concentration, the plasmas are similar to those obtained with pure Ar with weak CO2, CO2^+, CO and CO^+ bands. The usual blue plasma core associated with intense Ar II transitions is observed with however a significant white glow coming from the outer plasma regions. At higher CO2 concentration, the plasma becomes essentially molecular and can be described as an intense white plasma column. Molecular dissociative processes associated with the production of strong C and O atomic lines are observed under specific plasma conditions. The atomic spectral lines are compared with ADAS modeling results. This study indicates the possible advantages of using a helicon source to control the CO2 plasma chemistry for industrial applications.

  15. Magnetic properties evolution of the CoxFe3-xO4/SiO2 system due to advanced thermal treatment at 700 °C and 1000 °C

    NASA Astrophysics Data System (ADS)

    Dippong, Thomas; Levei, Erika Andrea; Tanaselia, Claudiu; Gabor, Mihai; Nasui, Mircea; Barbu Tudoran, Lucian; Borodi, Gheorghe

    2016-07-01

    The CoxFe3-xO4 (x=0.5-2.5) system embedded in the silica matrix was synthesised by sol-gel method using cobalt nitrate, iron nitrate, 1.4-butanediol and tetraethyl orthosilicate. Five different Co/Fe molar ratios in the presence of diol and one without diol were used for the synthesis. The obtained gels were subjected to thermal treatment at 700 °C and 1000 °C. The oxide species formed in the silica matrix, the optimum temperature for the CoFe2O4 phase formation, the evolution of nanocrystallites size and magnetic properties with the calcination temperature were studied. The formed oxide species were studied using X-ray diffraction, Fourier transformed infrared spectrometry, the Co/Fe molar ratio was confirmed using inductively coupled plasma optical emission spectrometry, the nanocrystallites size, shape and clustering was identified by transmission electron microscopy and scanning electron microscopy, while the formation of magnetic phases was investigated by hysteresis and magnetization derivatives measurements.

  16. 19.2% Efficient InP Heterojunction Solar Cell with Electron-Selective TiO2 Contact

    PubMed Central

    2015-01-01

    We demonstrate an InP heterojunction solar cell employing an ultrathin layer (∼10 nm) of amorphous TiO2 deposited at 120 °C by atomic layer deposition as the transparent electron-selective contact. The TiO2 film selectively extracts minority electrons from the conduction band of p-type InP while blocking the majority holes due to the large valence band offset, enabling a high maximum open-circuit voltage of 785 mV. A hydrogen plasma treatment of the InP surface drastically improves the long-wavelength response of the device, resulting in a high short-circuit current density of 30.5 mA/cm2 and a high power conversion efficiency of 19.2%. PMID:25679010

  17. 19.2% Efficient InP Heterojunction Solar Cell with Electron-Selective TiO 2 Contact

    DOE PAGES

    Yin, Xingtian; Battaglia, Corsin; Lin, Yongjing; ...

    2014-09-25

    We demonstrate an InP heterojunction solar cell employing an ultrathin layer (~10 nm) of amorphous TiO 2 deposited at 120°C by atomic layer deposition as the transparent electron-selective contact. The TiO 2 film selectively extracts minority electrons from the conduction band of p-type InP while blocking the majority holes due to the large valence band offset, enabling a high maximum open-circuit voltage of 785 mV. Lastly, a hydrogen plasma treatment of the InP surface drastically improves the long-wavelength response of the device, resulting in a high short-circuit current density of 30.5 mA/cm 2 and a high power conversion efficiency ofmore » 19.2%.« less

  18. Hybrid zero valent iron (ZVI)/H2O2 oxidation process for landfill leachate treatment with novel nanosize metallic calcium/iron composite.

    PubMed

    Lee, Son Dong; Mallampati, Srinivasa Reddy; Lee, Byoung Ho

    2017-04-01

    A novel nanosize metallic calcium/iron dispersed reagent was synthesized and tested as coagulant/catalyst in a hybrid zero valent iron (ZVI)/H 2 O 2 oxidation process to treat leachate. Two different types of leachates, one from municipal solid waste (MSW) tipping hall (MSWIL) and second from an MSW landfill site (MSWLL), were collected and characterized. The morphology, elemental composition, and mineral phases of the nano-Ca/CaO and nano-Fe/Ca/CaO were characterized by scanning electron microscopy-electron dispersive spectroscopy (SEM-EDS) and x-ray powder diffraction (XRD) analysis. The coagulation process with 2.5 g L -1 nano-Ca/CaO attained 64.0, 56.0, and 20.7% removal of color, chemical oxygen demand (COD), and total suspended solids (TSS) in MSWLL. With only 1.0 g L -1 of nano-Fe/Ca/CaO, relatively high color, COD and TSS removal was achieved in MSWLL at 67.5, 60.2, and 37.7%, respectively. The heavy metal removal efficiency reached 91-99% after treatment with nano-Fe/Ca/CaO in both leachate samples. The coupling process, using 1.0 g L -1 of nano-Fe/Ca/CaO and 20 mM H 2 O 2 doses, achieved enhancement removal of color, COD, and TSS, up to 95%, 96%, and 66%, respectively, without initial pH control. After this treatment, the color, COD, TSS, and heavy metals were significantly decreased, fitting the Korean discharge regulation limit. A hybrid coupled zero valent iron (ZVI)/H 2 O 2 oxidation process with novel nanosized metallic calcium/iron dispersed reagent proved to be a suitable treatment for dealing with leachate samples. Conventional treatments (biological or physicochemical) are not sufficient anymore to reach the level of purification needed to fully reduce the negative impact of landfill leachates on the environment. This implies that new treatment alternatives species must be proposed. A coupled zero valent iron (ZVI)/H 2 O 2 oxidation process proved to be a suitable treatment for dealing with leachate samples. Coagulation with nFe/Ca/CaO allows 91

  19. Use of Cold Atmospheric Plasma to Detoxify Hazelnuts from Aflatoxins

    PubMed Central

    Siciliano, Ilenia; Spadaro, Davide; Prelle, Ambra; Vallauri, Dario; Cavallero, Maria Chiara; Garibaldi, Angelo; Gullino, Maria Lodovica

    2016-01-01

    Aflatoxins, produced by Aspergillus flavus and A. parasiticus, can contaminate different foodstuffs, such as nuts. Cold atmospheric pressure plasma has the potential to be used for mycotoxin detoxification. In this study, the operating parameters of cold atmospheric pressure plasma were optimized to reduce the presence of aflatoxins on dehulled hazelnuts. First, the effect of different gases was tested (N2, 0.1% O2 and 1% O2, 21% O2), then power (400, 700, 1000, 1150 W) and exposure time (1, 2, 4, and 12 min) were optimized. In preliminary tests on aflatoxin standard solutions, this method allowed to obtain a complete detoxification using a high power for a few minutes. On hazelnuts, in similar conditions (1000 W, 12 min), a reduction in the concentration of total aflatoxins and AFB1 of over 70% was obtained. Aflatoxins B1 and G1 were more sensitive to plasma treatments compared to aflatoxins B2 and G2, respectively. Under plasma treatment, aflatoxin B1 was more sensitive compared to aflatoxin G1. At the highest power, and for the longest time, the maximum temperature increment was 28.9 °C. Cold atmospheric plasma has the potential to be a promising method for aflatoxin detoxification on food, because it is effective and it could help to maintain the organoleptic characteristics. PMID:27128939

  20. Microdischarge Sources of O2(singlet Delta)

    DTIC Science & Technology

    2006-07-15

    A two-dimensional model of the MCSD has been developed which includes the details of the Ar/O2 plasma chemistry and yields a self-consistent...the details of the plasma chemistry in oxygen mixtures must be taken into account to predict correctly the plasma conductivity. This must be done

  1. Mössbauer study and magnetic properties of MgFe2O4 crystallized from the glass system B2O3/K2O/P2O5/MgO/Fe2O3

    NASA Astrophysics Data System (ADS)

    Shabrawy, S. El; Bocker, C.; Miglierini, M.; Schaaf, P.; Tzankov, D.; Georgieva, M.; Harizanova, R.; Rüssel, C.

    2017-01-01

    An iron containing magnesium borate glass with the mol% composition 51.7 B2O3/9.3 K2O /1 P2O5/27.6MgO/10.4Fe2O3was prepared by the conventional melts quenching method followed by a thermal treatment process at temperatures in the range from 530 to 604 °C.The thermally treated samples were characterized by X-ray diffraction, scanning and transmission electron microscopy. It was shown that superparamagnetic MgFe2O4 nanoparticles were formed during thermal treatment. The size of the spinel type crystals was in the range from 6 to 15 nm. Mössbauer spectra of the powdered glass ceramic samples and the extracted nanoparticles after dissolving the glass matrix in diluted acid were recorded at room temperature. The deconvolution of the spectra revealed the crystallization of two spinel phases MgFe2O4 (as a dominant phase) and superparamagnetic maghemite, γ-Fe2O3 (as a secondary phase). Room temperature magnetic measurements showed that, increasing the crystallization temperature changed the superparamagnetic behavior of the samples to ferrimagnetic behavior. The Curie temperatures of the samples were measured and showed a higher value than that of the pure bulk MgFe2O4.

  2. UV and VUV photolysis vs. UV/H2O2 and VUV/H2O2, treatment for removal of clofibric acid from aqueous solution.

    PubMed

    Li, Wenzhen; Lu, Shuguang; Qiu, Zhaofu; Lin, Kuangfei

    2011-07-01

    Clofibric acid (CA), a metabolite of lipid regulators, was investigated in ultra-pure water and sewage treatment plant (STP) effluent at 10 degrees C under UV, vacuum UV (VUV), UV/H2O2 and VUV/H2O2 processes. The influences of NO3-, HCO3- and humic acid (HA) on CA photolysis in all processes were examined. The results showed that all the experimental data well fitted the pseudo-first-order kinetic model, and the apparent rate constant (k(ap)) and half-life time (t(1/2)) were calculated accordingly. Direct photolysis of CA through UV irradiation was the main process, compared with the indirect oxidation of CA due to the slight generation of hydroxyl radicals dissociated from water molecules under UV irradiation below 200 nm monochromatic wavelength emission. In contrast, indirect oxidation was the main CA degradation mechanism in UV/H2O2 and VUV/H2O2, and VUV/H2O2 was the most effective process for CA degradation. The addition of 20 mg L(-1) HA could significantly inhibit CA degradation, whereas, except for UV irradiation, the inhibitive effects of NO3- and HCO3- (1.0 x 10(-3) and 0.1 mol L(-1), respectively) on CA degradation were observed in all processes, and their adverse effects were more significant in UV/H2O2 and VUV/H2O2 processes, particularly at the high NO3- and HCO3- concentrations. The degradation rate decreased 1.8-4.9-fold when these processes were applied to a real STP effluent owing to the presence of complex constituents. Of the four processes, VUV/H2O2 was the most effective, and the CA removal efficiency reached over 99% after 40 min in contrast to 80 min in both the UV/H2O2 and VUV processes and 240 min in the UV process.

  3. Strength improvement and purification of Yb 2Si 2O 7-SiC nanocomposites by surface oxidation treatment

    DOE PAGES

    Nguyen, Son T.; Nakayama, Tadachika; Suematsu, Hisayuki; ...

    2017-04-03

    A two-step processing was developed to prepare Yb 2Si 2O 7-SiC nanocomposites. Yb 2Si 2O 7-Yb 2SiO 5-SiC composites were first fabricated by a solid state reaction/hot-pressing method. The composites were then annealed at 1250°C in air for 2 h to activate the oxidation of SiC, which effectively transformed the Yb 2SiO 5 into Yb 2Si 2O 7. The surface cracks purposely induced can be fully healed during the oxidation treatment. The treated composites have improved flexural strength compared to their pristine composites. As a result, the mechanism for crack-healing and silicate transformation have been proposed and discussed in detail.

  4. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure

    NASA Astrophysics Data System (ADS)

    Luan, P.; Oehrlein, G. S.

    2018-04-01

    The interaction of cold temperature plasma sources with materials can be separated into two types: ‘direct’ and ‘remote’ treatments. Compared to the ‘direct’ treatment which involves energetic charged species along with short-lived, strongly oxidative neutral species, ‘remote’ treatment by the long-lived weakly oxidative species is less invasive and better for producing uniformly treated surfaces. In this paper, we examine the prototypical case of remote plasma oxidation (RPO) of polymer materials by employing a surface micro-discharge (in a N2/O2 mixture environment) treatment on polystyrene. Using material characterization techniques including real-time ellipsometry, x-ray photoelectron spectroscopy, and Fourier-transform infrared spectroscopy, the time evolution of polymer film thickness, refractive index, surface, and bulk chemical composition were evaluated. These measurements revealed three consecutive stages of polymer transformation, i.e. surface adsorption and oxidation, bulk film permeation and thickness expansion followed by the material removal as a result of RPO. By correlating the observed film thickness changes with simultaneously obtained chemical information, we found that the three stages were due to the three effects of weakly oxidative species on polymers: (1) surface oxidation and nitrate (R-ONO2) chemisorption, (2) bulk oxidation, and (3) etching. Our results demonstrate that surface adsorption and oxidation, bulk oxidation, and etching can all happen during one continuous plasma treatment. We show that surface nitrate is only adsorbed on the top few nanometers of the polymer surface. The polymer film expansion also provided evidence for the diffusion and reaction of long-lived plasma species in the polymer bulk. Besides, we found that the remote plasma etched surface was relatively rich in O-C=O (ester or carboxylic acid). These findings clarify the roles of long-lived weakly oxidative plasma species on polymers and advance

  5. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  6. Studies of Plasma-Sprayed Alumina

    NASA Astrophysics Data System (ADS)

    Ilavsky, Jan

    1994-05-01

    Phase transformations and porosity of the plasma sprayed alumina deposits were examined. The dependence of the phase transformations on deposit chemistry was established. Porosity changes during heat treatment were studied and a model for the porosity is proposed. A novel technique in the field of plasma sprayed deposits--small-angle neutron scattering (SANS)--was successfully applied. Deposits were manufactured using the water-stabilized plasma spray system, PAL160, with an input of 160 kW. Phase transformations of the plasma sprayed alumina deposits were studied using XRD and DTA. The deposits were manufactured from 99.9% alumina, alumina-chromia (1.5% Cr_2O_3), gray alumina (3.7% TiO_2) and alumina -titania (17% TiO_2). The addition of chromia increases the temperature of the alpha phase formation by about 40^circ C and the addition of TiO_2 reduces this temperature by about 150^circ C for gray alumina and by about 175^ circC for alumina-titania. The amount of metastable theta phase was found to depend on the chemistry of the feedstock. Porosities of the deposits, made from alumina and gray alumina, were studied using mercury intrusion porosimetry, weighing method (Archimedean porosimetry), image analysis and SANS. Samples were studied in the as -sprayed condition and after heat treatment for 2 hours at 1300^circC and 1500 ^circC. Porosity depends on the deposit chemistry and on the heat treatment and varies from 5% to about 11%. Different porosity measurement techniques yield different results. Surface areas of 1.5 to 7.5 times 10^4 cm^2 /cm^3 (times 10^6 m^{ -1}) were measured using SANS and depend on heat treatment and on the deposit chemistry. The phase transformations can be associated with an increase in pore surface area and decrease in surface area at 1500 ^circC can be associated with sintering. The effective pore radius, R_{ rm eff}, as measured by SANS is a measure of the pore sizes in the 0.08 to 10 μm size range. The R_{rm eff} depends on deposit

  7. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    NASA Astrophysics Data System (ADS)

    Shimoeda, Hironao; Kondo, Hiroki; Ishikawa, Kenji; Hiramatsu, Mineo; Sekine, Makoto; Hori, Masaru

    2014-04-01

    Cauliflorous nanographene sheets were hierarchically regrown on the spearlike structures of carbon nanowalls (CNWs) produced by O2-plasma etching. The spears on the CNWs acted as a stem for the growth of flowerlike flaky nanographene sheets, where the root of the nanoflower was located at a defect or disordered site. The defects on the graphitic structures were induced by irradiation with oxygen-related radicals and ions in the O2-based plasmas and acted as sites for the nucleation of flowerlike nanographene. The porous carbon nanostructures regrown after O2-plasma treatment have a relatively higher surface area and are thus promising materials for electrochemical applications.

  8. New Treatment Options for Osteosarcoma - Inactivation of Osteosarcoma Cells by Cold Atmospheric Plasma.

    PubMed

    Gümbel, Denis; Gelbrich, Nadine; Weiss, Martin; Napp, Matthias; Daeschlein, Georg; Sckell, Axel; Ender, Stephan A; Kramer, Axel; Burchardt, Martin; Ekkernkamp, Axel; Stope, Matthias B

    2016-11-01

    Cold atmospheric plasma has been shown to inhibit tumor cell growth and induce tumor cell death. The aim of the study was to investigate the effects of cold atmospheric plasma treatment on proliferation of human osteosarcoma cells and to characterize the underlying cellular mechanisms. Human osteosarcoma cells (U2-OS and MNNG/HOS) were treated with cold atmospheric plasma and seeded in culture plates. Cell proliferation, p53 and phospho-p53 protein expression and nuclear morphology were assessed. The treated human osteosarcoma cell lines exhibited attenuated proliferation rates by up to 66%. The cells revealed an induction of p53, as well as phospho-p53 expression, by 2.3-fold and 4.5-fold, respectively, compared to controls. 4',6-diamidino-2-phenylindole staining demonstrated apoptotic nuclear condensation following cold atmospheric plasma treatment. Cold atmospheric plasma treatment significantly attenuated cell proliferation in a preclinical in vitro osteosarcoma model. The resulting increase in p53 expression and phospho-activation in combination with characteristic nuclear changes indicate this was through induction of apoptosis. Copyright© 2016 International Institute of Anticancer Research (Dr. John G. Delinassios), All rights reserved.

  9. Using atmospheric pressure plasma treatment for treating grey cotton fabric.

    PubMed

    Kan, Chi-Wai; Lam, Chui-Fung; Chan, Chee-Kooi; Ng, Sun-Pui

    2014-02-15

    Conventional wet treatment, desizing, scouring and bleaching, for grey cotton fabric involves the use of high water, chemical and energy consumption which may not be considered as a clean process. This study aims to investigate the efficiency of the atmospheric pressure plasma (APP) treatment on treating grey cotton fabric when compared with the conventional wet treatment. Grey cotton fabrics were treated with different combinations of plasma parameters with helium and oxygen gases and also through conventional desizing, scouring and bleaching processes in order to obtain comparable results. The results obtained from wicking and water drop tests showed that wettability of grey cotton fabrics was greatly improved after plasma treatment and yielded better results than conventional desizing and scouring. The weight reduction of plasma treated grey cotton fabrics revealed that plasma treatment can help remove sizing materials and impurities. Chemical and morphological changes in plasma treated samples were analysed by FTIR and SEM, respectively. Finally, dyeability of the plasma treated and conventional wet treated grey cotton fabrics was compared and the results showed that similar dyeing results were obtained. This can prove that plasma treatment would be another choice for treating grey cotton fabrics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  10. Determination of porcine plasma follitropin levels during superovulation treatment in cows.

    PubMed

    Demoustier, M M; Beckers, J F; Van Der Zwalmen, P; Closset, J; Gillard, J L; Ectors, F

    1988-08-01

    Porcine follicle stimulating hormone (pFSH) and porcine luteinizing hormone (pLH), are widely used to induce superovulation in cows. An advantage of this treatment is that the LH:FSH ratio can be varied to optimize the growth of the ovarian follicles. However, due to the relatively short half-life of FSH, the superovulatory treatment requires numerous injections. A performant radioimmunoassay system (sensitivity=0.2 ng/ml plasma) was used to determine plasma pFSH levels in cows that were superovulated with 2 daily injections of 4 Armour Units (A.U.) of pFSH for 4 d. From plasma profiles, the half-life and the disappearance of pFSH were estimated at 5 h and at 10 to 12 h, respectively, confirming the necessity of using two daily injections.

  11. Surface monofunctionalized polymethyl pentene hollow fiber membranes by plasma treatment and hemocompatibility modification for membrane oxygenators

    NASA Astrophysics Data System (ADS)

    Huang, Xin; Wang, Weiping; Zheng, Zhi; Fan, Wenling; Mao, Chun; Shi, Jialiang; Li, Lei

    2016-01-01

    The hemocompatibility of polymethyl pentene (PMP) hollow fiber membranes (HFMs) was improved through surface modification for membrane oxygenator applications. The modification was performed stepwise with the following: (1) oxygen plasma treatment, (2) functionalization of monosort hydroxyl groups through NaBH4 reduction, and (3) grafting 2-methacryloyloxyethyl phosphorylcholine (MPC) or heparin. SEM, ATR-FTIR, and XPS analyses were conducted to confirm successful grafting during the modification. The hemocompatibility of PMP HFMs was analyzed and compared through protein adsorption, platelet adhesion, and coagulation tests. Pure CO2 and O2 permeation rates, as well as in vitro gas exchange rates, were determined to evaluate the mass transfer properties of PMP HFMs. SEM results showed that different nanofibril topographies were introduced on the HFM surface. ATR-FTIR and XPS spectra indicated the presence of functionalization of monosort hydroxyl group and the grafting of MPC and heparin. Hemocompatibility evaluation results showed that the modified PMP HFMs presented optimal hemocompatibility compared with pristine HFMs. Gas permeation results revealed that gas permeation flux increased in the modified HFMs because of dense surface etching during the plasma treatment. The results of in vitro gas exchange rates showed that all modified PMP HFMs presented decreased gas exchange rates because of potential surface fluid wetting. The proposed strategy exhibits a potential for fabricating membrane oxygenators for biomedical applications to prevent coagulation formation and alter plasma-induced surface topology and composition.

  12. Coaxial nanofibers containing TiO2 in the shell for water treatment applications

    NASA Astrophysics Data System (ADS)

    Kizildag, N.; Geltmeyer, J.; Ucar, N.; De Buysser, K.; De Clerck, K.

    2017-10-01

    In recent years, the basic electrospinning setup has undergone many modifications carried out to enhance the quality and improve the functionality of the resulting nanofibers. Being one of these modifications, coaxial electrospinning has attracted great attention. It enables to use different materials in nanofiber production and produce multi-layered and functional nanofibers in one step. In this study, TiO2 has been added to the shell layer of coaxial nanofibers to develop functional nanofibers which may be used in water treatment applications. The coaxial nanofibers containing TiO2 in the shell layer are compared to uniaxial nanofibers containing TiO2 in bulk fiber structure, regarding their morphology and photocatalytic activity. Uniform uniaxial and coaxial nanofibers with TiO2 were obtained. The average nanofiber diameter of coaxial nanofibers were higher. Coaxial nanofibers, which contained lower amount of TiO2, displayed similar performance to uniaxial nanofibers with TiO2 in terms of photocatalytic degradation ability against isoproturon.

  13. Osteoconductive Potential of Barrier NanoSiO2 PLGA Membranes Functionalized by Plasma Enhanced Chemical Vapour Deposition

    PubMed Central

    Terriza, Antonia; Vilches-Pérez, Jose I.; de la Orden, Emilio; Yubero, Francisco; Gonzalez-Caballero, Juan L.; González-Elipe, Agustin R.; Vilches, José; Salido, Mercedes

    2014-01-01

    The possibility of tailoring membrane surfaces with osteoconductive potential, in particular in biodegradable devices, to create modified biomaterials that stimulate osteoblast response should make them more suitable for clinical use, hopefully enhancing bone regeneration. Bioactive inorganic materials, such as silica, have been suggested to improve the bioactivity of synthetic biopolymers. An in vitro study on HOB human osteoblasts was performed to assess biocompatibility and bioactivity of SiO2 functionalized poly(lactide-co-glycolide) (PLGA) membranes, prior to clinical use. A 15 nm SiO2 layer was deposited by plasma enhanced chemical vapour deposition (PECVD), onto a resorbable PLGA membrane. Samples were characterized by X-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and infrared spectroscopy (FT-IR). HOB cells were seeded on sterilized test surfaces where cell morphology, spreading, actin cytoskeletal organization, and focal adhesion expression were assessed. As proved by the FT-IR analysis of samples, the deposition by PECVD of the SiO2 onto the PLGA membrane did not alter the composition and other characteristics of the organic membrane. A temporal and spatial reorganization of cytoskeleton and focal adhesions and morphological changes in response to SiO2 nanolayer were identified in our model. The novedous SiO2 deposition method is compatible with the standard sterilization protocols and reveals as a valuable tool to increase bioactivity of resorbable PLGA membranes. PMID:24883304

  14. Effects of annealing on the optical, structural, and chemical properties of TiO2 and MgF2 thin films prepared by plasma ion-assisted deposition.

    PubMed

    Woo, Seouk-Hoon; Hwangbo, Chang Kwon

    2006-03-01

    Effects of thermal annealing at 400 degrees C on the optical, structural, and chemical properties of TiO2 single-layer, MgF2 single-layer, and TiO2/MgF2 narrow-bandpass filters deposited by conventional electron-beam evaporation (CE) and plasma ion-assisted deposition (PIAD) were investigated. In the case of TiO2 films, the results show that the annealing of both CE and PIAD TiO2 films increases the refractive index slightly and the extinction coefficient and surface roughness greatly. Annealing decreases the thickness of CE TiO2 films drastically, whereas it does not vary that of PIAD TiO2 films. For PIAD MgF2 films, annealing increases the refractive index and decreases the extinction coefficient drastically. An x-ray photoelectron spectroscopy analysis suggests that an increase in the refractive index and a decrease in the extinction coefficient for PIAD MgF2 films after annealing may be related to the enhanced concentration of MgO in the annealed PIAD MgF2 films and the changes in the chemical bonding states of Mg 2p, F 1s, and O is. It is found that (TiO2/MgF2) multilayer filters, consisting of PIAD TiO2 and CE MgF2 films, are as deposited without microcracks and are also thermally stable after annealing.

  15. Corrosion resistance improvement for 316L stainless steel coronary artery stents by trimethylsilane plasma nanocoatings

    PubMed Central

    Jones, John Eric; Chen, Meng; Yu, Qingsong

    2015-01-01

    To improve their corrosion resistance and thus long-term biocompatibility, 316L stainless steel coronary artery stents were coated with trimethylsilane (TMS) plasma coatings of 20–25 nm in thickness. Both direct current (DC) and radio-frequency (RF) glow discharges were utilized for TMS plasma coatings and additional NH3/O2 plasma treatment to tailor the surface properties. X-ray photoelectron spectroscopy (XPS) was used to characterize the coating surface chemistry. It was found that both DC and RF TMS plasma coatings had Si- and C-rich composition, and the O-and N-contents on the surfaces were substantially increased after NH3/O2 plasma treatment. Surface contact angle measurements showed that DC TMS plasma nanocoating with NH3/O2 plasma treatment generated very hydrophilic surface. The corrosion resistance of TMS plasma coated stents was evaluated through potentiodynamic polarization and electro-chemical impedance spectroscopy (EIS) techniques. The potentiodynamic polarization demonstrated that the TMS plasma coated stents imparted higher corrosion potential and pitting potential, as well as lower corrosion current densities as compared with uncoated controls. The surface morphology of stents before and after potentiodynamic polarization testing was analyzed with scanning electron microscopy, which indicated less corrosion on coated stents than uncoated controls. It was also noted that, from EIS data, the hydrophobic TMS plasma nanocoatings showed stable impedance modulus at 0.1 Hz after 21 day immersion in an electrolyte solution. These results suggest improved corrosion resistance of the 316L stainless steel stents by TMS plasma nanocoatings and great promise in reducing and blocking metallic ions releasing into the bloodstream. PMID:24500866

  16. Electrical characterization of the flowing afterglow of N{sub 2} and N{sub 2}/O{sub 2} microwave plasmas at reduced pressure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Afonso Ferreira, J.; Stafford, L., E-mail: luc.stafford@umontreal.ca; Leonelli, R.

    2014-04-28

    A cylindrical Langmuir probe was used to analyze the spatial distribution of the number density of positive ions and electrons as well as the electron energy distribution function (EEDF) in the flowing afterglow of a 6 Torr N{sub 2} and N{sub 2}/O{sub 2} plasma sustained by a propagating electromagnetic surface wave in the microwave regime. In pure N{sub 2} discharges, ion densities were in the mid 10{sup 14} m{sup −3} in the pink afterglow and in the mid 10{sup 12} m{sup −3} early in the late afterglow. In both pink and late afterglows, the ion population was much higher than the electron population,more » indicating non-macroscopically neutral media. The EEDF was close to a Maxwellian with an electron temperature of 0.5 ± 0.1 eV, except in the pink afterglow where the temperature rose to 1.1 ± 0.2 eV. This latter behavior is ascribed to N{sub 2} vibration-vibration pumping in the pink afterglow that increases the concentration of high N{sub 2} vibrational states and thus rises the electron temperature by vibration-electron collisions. After addition of small amounts of O{sub 2} in the nominally pure N{sub 2} discharge, the charged particles densities and average electron energy first strongly increased and then decreased with increasing O{sub 2} concentration. Based on these data and the evolution of the N{sub 2}{sup +}(B) band emission intensities, it is concluded that a significant change in the positive ion composition of the flowing afterglow occurs, going from N{sub 2}{sup +} in nominally pure N{sub 2} discharges to NO{sup +} after addition of trace amounts of O{sub 2} in N{sub 2}.« less

  17. Observation of abnormal mobility enhancement in multilayer MoS2 transistor by synergy of ultraviolet illumination and ozone plasma treatment

    NASA Astrophysics Data System (ADS)

    Guo, Junjie; Yang, Bingchu; Zheng, Zhouming; Jiang, Jie

    2017-03-01

    Mobility engineering through physical or chemical process is a fruitful approach for the atomically-layered two-dimensional electronic applications. Unfortunately, the usual process with either illumination or oxygen treatment would greatly deteriorate the mobility in two-dimensional MoS2 field-effect transistor (FET). Here, in this work, we report that the mobility can be abnormally enhanced to an order of magnitude by the synergy of ultraviolet illumination (UV) and ozone plasma treatment in multilayer MoS2 FET. This abnormal mobility enhancement is attributed to the trap passivation due to the photo-generated excess carriers during UV/ozone plasma treatment. An energy band model based on Schottky barrier modulation is proposed to understand the underlying mechanism. Raman spectra results indicate that the oxygen ions are incorporated into the surface of MoS2 (some of them are in the form of ultra-thin Mo-oxide) and can further confirm this proposed mechanism. Our results can thus provide a simple approach for mobility engineering in MoS2-based FET and can be easily expanded to other 2D electronic devices, which represents a significant step toward applications of 2D layered materials in advanced cost-effective electronics.

  18. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Avshish; Parveen, Shama; Husain, Samina

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current densitymore » of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.« less

  19. Long-wave plasma radiofrequency ablation for treatment of xanthelasma palpebrarum.

    PubMed

    Baroni, Adone

    2018-03-01

    Xanthelasma palpebrarum is the most common type of xanthoma affecting the eyelids. It is characterized by asymptomatic soft yellowish macules, papules, or plaques over the upper and lower eyelids. Many treatments are available for management of xanthelasma palpebrarum, the most commonly used include surgical excision, ablative CO 2 or erbium lasers, nonablative Q-switched Nd:YAG laser, trichloroacetic acid peeling, and radiofrequency ablation. This study aims to evaluate the effectiveness of RF ablation in the treatment of xanthelasma palpebrarum, with D.A.S. Medical portable device (Technolux, Italia), a radiofrequency tool working with long-wave plasma energy and without anesthesia. Twenty patients, 15 female and 5 male, affected by xanthelasma palpebrarum, were enrolled for long-wave plasma radiofrequency ablation treatment. The treatment consisted of 3/4 sessions that were carried out at intervals of 30 days. Treatments were well tolerated by all patients with no adverse effects and optimal aesthetic results. The procedure is very fast and can be performed without anesthesia because of the low and tolerable pain stimulation. Long-wave plasma radiofrequency ablation is an effective option for treatment of xanthelasma palpebrarum and adds an additional tool to the increasing list of medical devices for aesthetic treatments. © 2018 Wiley Periodicals, Inc.

  20. Sunlit Io Atmospheric [O I] 6300 A and the Plasma Torus

    NASA Technical Reports Server (NTRS)

    Oliversen, Ronald J.; Scherb, Frank; Smyth, William H.; Freed, Melanie E.; Woodward, R. Carey, Jr.; Marcone, Maximus L.; Retherford, Kurt D.; Lupie, Olivia L.; Morgenthaler, Jeffrey P.; Fisher, Richard R. (Technical Monitor)

    2001-01-01

    A large database of sunlit Io [O I] 6300A emission, acquired over the period 1990-1999, with extensive coverage of Io orbital phase angle phi and System III longitude lambda(sub III), exhibits significant long-term and short-term variations in [O I] 6300A emission intensities. The long-term average intensity shows a clear dependence on lambda(sub III), which establishes conclusively that the emission is produced by the interaction between Io's atmosphere and the plasma torus. Two prominent average intensity maxima, 70 deg to 90 deg wide, are centered at lambda(sub III) about 130deg. and about 295 deg. A comparison of data from October 1998 with a three-dimensional plasma torus model, based upon electron impact excitation of atomic oxygen, suggests a basis for study of the torus interaction with Io's atmosphere. The observed short-term, erratic [O I] 6300A intensity variations fluctuate approximately 20% to 50% on time scale of tens of minutes with less frequent fluctuations of a factor of about 2. The most likely candidate to produce these fluctuations is a time-variable energy flux of field-aligned nonthermal electrons identified recently in Galileo PLS data. If true, the short-term [O I] intensity fluctuations may be related to variable field-aligned currents driven by inward and outward torus plasma transport and/or transient high-latitude, field-aligned potential drops. A correlation between the intensity and emission line width indicates molecular dissociation may contribute significantly to the [O I] 6300A emission. The nonthermal electron energy flux may produce O(1-D) by electron impact dissociation of SO2 and SO, with the excess energy going into excitation of O and its kinetic energy. The [O I] 6300A emission database establishes Io as a valuable probe of the torus, responding to local conditions at Io's position.

  1. Comparative study of the degradation of real textile effluents by photocatalytic reactions involving UV/TiO2/H2O2 and UV/Fe2+/H2O2 systems.

    PubMed

    Garcia, J C; Oliveira, J L; Silva, A E C; Oliveira, C C; Nozaki, J; de Souza, N E

    2007-08-17

    This work investigated the treatability of real textile effluents using several systems involving advanced oxidation processes (AOPs) such as UV/H2O2, UV/TiO2, UV/TiO2/H2O2, and UV/Fe2+/H2O2. The efficiency of each technique was evaluated according to the reduction levels observed in the UV absorbance of the effluents, COD, and organic nitrogen reduction, as well as mineralization as indicated by the formation of ammonium, nitrate, and sulfate ions. The results indicate the association of TiO2 and H2O2 as the most efficient treatment for removing organic pollutants from textile effluents. In spite of their efficiency, Fenton reactions based treatment proved to be slower and exhibited more complicated kinetics than the ones using TiO2, which are pseudo-first-order reactions. Decolorization was fast and effective in all the experiments despite the fact that only H2O2 was used.

  2. Highly sensitive biofunctionalized mesoporous electrospun TiO(2) nanofiber based interface for biosensing.

    PubMed

    Mondal, Kunal; Ali, Md Azahar; Agrawal, Ved V; Malhotra, Bansi D; Sharma, Ashutosh

    2014-02-26

    The surface modified and aligned mesoporous anatase titania nanofiber mats (TiO2-NF) have been fabricated by electrospinning for esterified cholesterol detection by electrochemical technique. The electrospinning and porosity of mesoporous TiO2-NF were controlled by use of polyvinylpyrrolidone (PVP) as a sacrificial carrier polymer in the titanium isopropoxide precursor. The mesoporous TiO2-NF of diameters ranging from 30 to 60 nm were obtained by calcination at 470 °C and partially aligned on a rotating drum collector. The functional groups such as -COOH, -CHO etc. were introduced on TiO2-NF surface via oxygen plasma treatment making the surface hydrophilic. Cholesterol esterase (ChEt) and cholesterol oxidase (ChOx) were covalently immobilized on the plasma treated surface of NF (cTiO2-NF) via N-ethyl-N0-(3-dimethylaminopropyl carbodiimide) and N-hydroxysuccinimide (EDC-NHS) chemistry. The high mesoporosity (∼61%) of the fibrous film allowed enhanced loading of the enzyme molecules in the TiO2-NF mat. The ChEt-ChOx/cTiO2-NF-based bioelectrode was used to detect esterified cholesterol using electrochemical technique. The high aspect ratio, surface area of aligned TiO2-NF showed excellent voltammetric and catalytic response resulting in improved detection limit (0.49 mM). The results of response studies of this biosensor show excellent sensitivity (181.6 μA/mg dL(-1)/cm(2)) and rapid detection (20 s). This proposed strategy of biomolecule detection is thus a promising platform for the development of miniaturized device for biosensing applications.

  3. Controllable synthesis of (NH4)Fe2(PO4)2(OH)·2H2O using two-step route: Ultrasonic-intensified impinging stream pre-treatment followed by hydrothermal treatment.

    PubMed

    Dong, Bin; Li, Guang; Yang, Xiaogang; Chen, Luming; Chen, George Z

    2018-04-01

    (NH 4 )Fe 2 (PO 4 ) 2 (OH)·2H 2 O samples with different morphology are successfully synthesized via two-step synthesis route - ultrasonic-intensified impinging stream pre-treatment followed by hydrothermal treatment (UIHT) method. The effects of the adoption of ultrasonic-intensified impinging stream pre-treatment, reagent concentration (C), pH value of solution and hydrothermal reaction time (T) on the physical and chemical properties of the synthesised (NH 4 )Fe 2 (PO 4 ) 2 (OH)·2H 2 O composites and FePO 4 particles were systematically investigated. Nano-seeds were firstly synthesized using the ultrasonic-intensified T-mixer and these nano-seeds were then transferred into a hydrothermal reactor, heated at 170 °C for 4 h. The obtained samples were characterized by utilising XRD, BET, TG-DTA, SEM, TEM, Mastersizer 3000 and FTIR, respectively. The experimental results have indicated that the particle size and morphology of the obtained samples are remarkably affected by the use of ultrasonic-intensified impinging stream pre-treatment, hydrothermal reaction time, reagent concentration, and pH value of solution. When such (NH 4 )Fe 2 (PO 4 ) 2 (OH)·2H 2 O precursor samples were transformed to FePO 4 products after sintering at 650 °C for 10 h, the SEM images have clearly shown that both the precursor and the final product still retain their monodispersed spherical microstructures with similar particle size of about 3 μm when the samples are synthesised at the optimised condition. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Control of multidrug-resistant planktonic Acinetobacter baumannii: biocidal efficacy study by atmospheric-pressure air plasma

    NASA Astrophysics Data System (ADS)

    Zhe, RUAN; Yajun, GUO; Jing, GAO; Chunjun, YANG; Yan, LAN; Jie, SHEN; Zimu, XU; Cheng, CHENG; Xinghao, LIU; Shumei, ZHANG; Wenhui, DU; Paul, K. CHU

    2018-04-01

    In this research, an atmospheric-pressure air plasma is used to inactivate the multidrug-resistant Acinetobacter baumannii in liquid. The efficacy of the air plasma on bacterial deactivation and the cytobiological variations after the plasma treatment are investigated. According to colony forming units, nearly all the bacteria (6-log) are inactivated after 10 min of air plasma treatment. However, 7% of the bacteria enter a viable but non-culturable state detected by the resazurin based assay during the same period of plasma exposure. Meanwhile, 86% of the bacteria lose their membrane integrity in the light of SYTO 9/PI staining assay. The morphological changes in the cells are examined by scanning electron microscopy and bacteria with morphological changes are rare after plasma exposure in the liquid. The concentrations of the long-living RS, such as H2O2, {{{{NO}}}3}-, and O3, in liquid induced by plasma treatment are measured, and they increase with plasma treatment time. The changes of the intracellular ROS may be related to cell death, which may be attributed to oxidative stress and other damage effects induced by RS plasma generated in liquid. The rapid and effective bacteria inactivation may stem from the RS in the liquid generated by plasma and air plasmas may become a valuable therapy in the treatment of infected wounds.

  5. Decolorization of Mordant red 73 azo dye in water using H2O2/UV and photo-Fenton treatment.

    PubMed

    Elmorsi, Taha M; Riyad, Yasser M; Mohamed, Zeinhom H; Abd El Bary, Hassan M H

    2010-02-15

    Decolorization of the Mordant red 73 (MR73) azo dye in water was investigated in laboratory-scale experiments using UV/H(2)O(2) and photo-Fenton treatments. Photodegradation experiments were carried out in a stirred batch photoreactor equipped with a low-pressure mercury lamp as UV source at 254 nm. The effect of operating parameters such as pH, [H(2)O(2)](,) [dye] and the presence of inorganic salts (NaNO(3), NaCl and Na(2)CO(3)) were also investigated. The results indicated that complete dye decolorization was obtained in less than 60 min under optimum conditions. Furthermore, results showed that dye degradation was dependent upon pH, [H(2)O(2)] and initial dye concentration. The presence of chloride ion led to large decreases in the photodegradation rate of MR73 while both nitrate and carbonate ions have a slight effect. The photo-Fenton treatment, in the presence of Fe powder as a source of Fe(2+) ions, was highly efficient and resulted in 99% decolorization of the dye in 15 min. Mineralization of MR73 dye was investigated by determining chemical oxygen demand (COD). In a 3h photoperiod "65%" of the dye was mineralized by the H(2)O(2)/UV process, while the photo-Fenton treatment was more efficient producing 85% mineralization over the same 3-h period.

  6. Comparative study of diethyl phthalate degradation by UV/H2O2 and UV/TiO2: kinetics, mechanism, and effects of operational parameters.

    PubMed

    Song, Chengjie; Wang, Liping; Ren, Jie; Lv, Bo; Sun, Zhonghao; Yan, Jing; Li, Xinying; Liu, Jingjing

    2016-02-01

    The photodegradation of diethyl phthalate (DEP) by UV/H2O2 and UV/TiO2 is studied. The DEP degradation kinetics and multiple crucial factors effecting the clearance of DEP are investigated, including initial DEP concentration ([DEP]0), initial pH values (pH0), UV light intensity, anions (Cl(-), NO(3-), SO4 (2-), HCO3 (-), and CO3 (2-)), cations (Mg(2+), Ca(2+), Mn(2+), and Fe(3+)), and humic acid (HA). Total organic carbon (TOC) removal is tested by two treatments. And, cytotoxicity evolution of DEP degradation intermediates is detected. The relationship between molar ratio ([H2O2]/[DEP] or [TiO2]/[DEP]) and degradation kinetic constant (K) is also studied. And, the cytotoxicity tests of DEP and its degradation intermediates in UV/H2O2 and UV/TiO2 treatments are researched. The DEP removal efficiency of UV/H2O2 treatment is higher than UV/TiO2 treatment. The DEP degradation fitted a pseudo-first-order kinetic pattern under experimental conditions. The K linearly related with molar ratio in UV/H2O2 treatment while nature exponential relationship is observed in the case of UV/TiO2. However, K fitted corresponding trends better in H2O2 treatment than in TiO2 treatment. The Cl(-) is in favor of the DEP degradation in UV/H2O2 treatment; in contrast, it is disadvantageous to the DEP degradation in UV/TiO2 treatment. Other anions are all disadvantageous to the DEP degradation in two treatments. Fe(3+) promotes the degradation rates significantly. And, all other cations in question inhibit the degradation of DEP. HA hinders DEP degradation in two treatments. The intermediates of DEP degradation in UV/TiO2 treatment are less toxic to biological cell than that in UV/H2O2 treatment.

  7. Sterilization effects of atmospheric cold plasma brush

    NASA Astrophysics Data System (ADS)

    Yu, Q. S.; Huang, C.; Hsieh, F.-H.; Huff, H.; Duan, Yixiang

    2006-01-01

    This study investigated the sterilization effects of a brush-shaped plasma created at one atmospheric pressure. A population of 1.0×104-1.0×105 Escherichia coli or Micrococcus luteus bacteria was seeded in filter paper media and then subjected to Ar and/or Ar +O2 plasmas. A complete kill of the Micrococcus luteus required about 3 min argon plasma exposures. With oxygen addition into the argon plasma gas streams, a complete kill of the bacteria needed only less than 1 min plasma exposure for Micrococcus luteus and about 2 min exposure for Escherichia coli. The plasma treatment effects on the different bacteria cell structures were examined using scanning electron microscopy.

  8. Preliminary Study of Thermal Treatment of Coke Wastewater Sludge Using Plasma Torch

    NASA Astrophysics Data System (ADS)

    Li, Mingshu; Li, Shengli; Sun, Demao; Liu, Xin; Feng, Qiubao

    2016-10-01

    Thermal plasma was applied for the treatment of coke wastewater sludge derived from the steel industry in order to investigate the feasibility of the safe treatment and energy recovery of the sludge. A 30 kW plasma torch system was applied to study the vitrification and gas production of coke wastewater sludge. Toxicity leaching results indicated that the sludge treated via the thermal plasma process converted into a vitrified slag which resisted the leaching of heavy metals. CO2 was utilized as working gas to study the production and heat energy of the syngas. The heating value of the gas products by thermal plasma achieved 8.43 kJ/L, indicating the further utilization of the gas products. Considering the utilization of the syngas and recovery heat from the gas products, the estimated treatment cost of coke wastewater sludge via plasma torch was about 0.98 CNY/kg sludge in the experiment. By preliminary economic analysis, the dehydration cost takes an important part of the total sludge treatment cost. The treatment cost of the coke wastewater sludge with 50 wt.% moisture was calculated to be about 1.45 CNY/kg sludge dry basis. The treatment cost of the coke wastewater sludge could be effectively controlled by decreasing the water content of the sludge. These findings suggest that an economic dewatering pretreatment method could be combined to cut the total treatment cost in an actual treatment process.

  9. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    NASA Astrophysics Data System (ADS)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  10. Plasma observations near saturn: initial results from voyager 2.

    PubMed

    Bridge, H S; Bagenal, F; Belcher, J W; Lazarus, A J; McNutt, R L; Sullivan, J D; Gazis, P R; Hartle, R E; Ogilvie, K W; Scudder, J D; Sittler, E C; Eviatar, A; Siscoe, G L; Goertz, C K; Vasyliunas, V M

    1982-01-29

    Results of measurements of plasma electrons and poitive ions made during the Voyager 2 encounter with Saturn have been combined with measurements from Voyager 1 and Pioneer 11 to define more clearly the configuration of plasma in the Saturnian magnetosphere. The general morphology is well represented by four regions: (i) the shocked solar wind plasma in the magnetosheath, observed between about 30 and 22 Saturn radii (RS) near the noon meridian; (ii) a variable density region between approximately 17 RS and the magnetopause; (iii) an extended thick plasma sheet between approximately 17 and approximately 7 RS symmetrical with respect to Saturn's equatorial plane and rotation axis; and (iv) an inner plasma torus that probably originates from local sources and extends inward from L approximately 7 to less than L approximately 2.7 (L is the magnetic shell parameter). In general, the heavy ions, probably O(+), are more closely confined to the equatorial plane than H(+), so that the ratio of heavy to light ions varies along the trajectory according to the distance of the spacecraft from the equatorial plane. The general configuration of the plasma sheet at Saturn found by Voyager 1 is confirmed, with some notable differences and additions. The "extended plasma sheet," observed between L approximately 7 and L approximately 15 by Voyager 1 is considerably thicker as observed by Voyager 2. Inward of L approximately 4, the plasma sheet collapses to a thin region about the equatorial plane. At the ring plane crossing, L approximately 2.7, the observations are consistent with a density of O(+) of approximately 100 per cubic centimeter, with a temperature of approximately 10 electron volts. The location of the bow shock and magnetopause crossings were consistent with those previously observed. The entire magnetosphere was larger during the outbound passage of Voyager 2 than had been previously observed; however, a magnetosphere of this size or larger is expected approximately 3

  11. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: Effect of precursor decomposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muneshwar, Triratna, E-mail: muneshwa@ualberta.ca; Cadien, Ken; Shoute, Gem

    2016-09-15

    Although atomic layer deposition (ALD) of ZnO using diethyl zinc (DEZ) precursor has been extensively reported, variation in growth-per-cycle (GPC) values and the range of substrate temperature (T{sub sub}) for ALD growth between related studies remain unexplained. For identical processes, GPC for the characteristic self-limiting ALD growth is expected to be comparable. Hence, a significant variation in GPC among published ZnO ALD studies strongly suggests a concealed non-ALD growth component. To investigate this, the authors report plasma-enhanced ALD growth of ZnO using DEZ precursor and O{sub 2} inductively coupled plasma. The effect of T{sub sub} on ZnO GPC was studiedmore » with deposition cycles (1) 0.02 s–15 s–6 s–15 s, (2) 0.10 s–15 s–15 s–15 s, and (3) 0.20 s–15 s–30 s–15 s, where the cycle parameters t{sub 1}–t{sub 2}–t{sub 3}–t{sub 4} denote duration of DEZ pulse, post-DEZ purge, plasma exposure, and postplasma purge, respectively. The non-ALD growth characteristics observed at T{sub sub} ≥ 60 °C are discussed and attributed to DEZ precursor decomposition. The authors demonstrate ZnO growth at T{sub sub} = 50 °C to be self-limiting with respect to both t{sub 1} and t{sub 3} giving GPC of 0.101 ± 0.001 nm/cycle. The effect of precursor decomposition related (non-ALD) growth at T{sub sub} ≥ 60 °C is illustrated from comparison of optical dielectric function, electrical resistivity, and surface roughness of ZnO films deposited at T{sub sub} = 50, 125, and 200 °C.« less

  12. Microstructural Evolution of Al2O3-ZrO2 (Y2O3) Composites and its Correlation with Toughness

    NASA Astrophysics Data System (ADS)

    Kim, Hee Seung; Seo, Mi Young; Kim, Ik Jin

    2008-02-01

    The microstructure of zirconia (ZrO2) toughened alumina (Al2O3) ceramics was carefully controlled so as to obtain dense and fine-grained ceramics, thereby improving the properties and reliability of the ceramics for capillary applications in semiconductor bonding technology. Al2O3-ZrO2(Y2O3) composite was produced via Ceramic Injection Molding (CIM) technology, followed by Sinter-HIP process. Room temperature strength, hardness, Young's modulus, thermal expansion coefficient and toughness were determined, as well as surface strengthening induced by the fine grained homogenous microstructure and the thermal treatment. The changes in alumina/zirconia grain size, sintering condition and HIP treatment were found to be correlated.

  13. Variation in diffusion of gases through PDMS due to plasma surface treatment and storage conditions.

    PubMed

    Markov, Dmitry A; Lillie, Elizabeth M; Garbett, Shawn P; McCawley, Lisa J

    2014-02-01

    Polydimethylsiloxane (PDMS) is a commonly used polymer in the fabrication of microfluidic devices due to such features as transparency, gas permeability, and ease of patterning with soft lithography. The surface characteristics of PDMS can also be easily changed with oxygen or low pressure air plasma converting it from a hydrophobic to a hydrophilic state. As part of such a transformation, surface methyl groups are removed and replaced with hydroxyl groups making the exposed surface to resemble silica, a gas impermeable substance. We have utilized Platinum(II)-tetrakis(pentaflourophenyl)porphyrin immobilized within a thin (~1.5 um thick) polystyrene matrix as an oxygen sensor, Stern-Volmer relationship, and Fick's Law of simple diffusion to measure the effects of PDMS composition, treatment, and storage on oxygen diffusion through PDMS. Results indicate that freshly oxidized PDMS showed a significantly smaller diffusion coefficient, indicating that the SiO2 layer formed on the PDMS surface created an impeding barrier. This barrier disappeared after a 3-day storage in air, but remained significant for up to 3 weeks if PDMS was maintained in contact with water. Additionally, higher density PDMS formulation (5:1 ratio) showed similar diffusion characteristics as normal (10:1 ratio) formulation, but showed 60 % smaller diffusion coefficient after plasma treatment that never recovered to pre-treatment levels even after a 3-week storage in air. Understanding how plasma surface treatments contribute to oxygen diffusion will be useful in exploiting the gas permeability of PDMS to establish defined normoxic and hypoxic oxygen conditions within microfluidic bioreactor systems.

  14. Solid-State Reaction Between Fe-Al-Ca Alloy and Al2O3-CaO-FeO Oxide During Heat Treatment at 1473 K (1200 °C)

    NASA Astrophysics Data System (ADS)

    Liu, Chengsong; Yang, Shufeng; Li, Jingshe; Ni, Hongwei; Zhang, Xueliang

    2017-04-01

    The aim of this study was to control the physicochemical characteristics of inclusions in steel through appropriate heat treatment. Using a confocal scanning laser microscope (CSLM) and pipe furnace, the solid-state reactions between Fe-Al-Ca alloy and Al2O3-CaO-FeO oxide during heat treatment at 1473 K (1200 °C) and the influence of these reactions on the compositions of and phases in the alloy and oxide were investigated by the diffusion couple method. Suitable pretreatment of the oxide using a CSLM and production of the diffusion couple of Fe-Al-Ca alloy and Al2O3-CaO-FeO oxide gave good contact between the alloy and oxide. The diffusion couple was then sealed in a quartz tube with a piece of Ti foil to lower oxygen partial pressure and a block of Fe-Al-Ca alloy was introduced to conduct heat treatment experiments. Solid-state reactions between the alloy and oxide during heat treatment at 1473 K (1200 °C) were analyzed and discussed. A dynamic model to calculate the width of the particle precipitation zone based on the Wagner model of internal oxidation of metal was proposed. This model was helpful to understand the solid-state reaction mechanism between Fe-Al-Ca alloy and Al2O3-CaO-FeO oxide.

  15. EPR Oximetry for Investigation of Hyperbaric O2 Pre-treatment for Tumor Radiosensitization.

    PubMed

    Williams, Benjamin B; Hou, Huagang; Coombs, Rachel; Swartz, Harold M

    A number of studies have reported benefits associated with the application of hyperbaric oxygen treatment (HBO) delivered immediately prior to radiation therapy. While these studies provide evidence that pre-treatment with HBO may be beneficial, no measurements of intratumoral pO2 were carried out and they do not directly link the apparent benefits to decreased hypoxic fractions at the time of radiation therapy. While there is empirical evidence and some theoretical basis for HBO to enhance radiation therapy, without direct and repeated measurements of its effects on pO2, it is unlikely that the use of HBO can be understood and optimized for clinical applications. In vivo EPR oximetry is a technique uniquely capable of providing repeated direct measurements of pO2 through a non-invasive procedure in both animal models and human patients. In order to evaluate the ability of pretreatment with HBO to elevate tumor pO2, a novel small animal hyperbaric chamber system was constructed that allows simultaneous in vivo EPR oximetry. This chamber can be placed within the EPR magnet and is equipped with a variety of ports for multiplace gas delivery, thermoregulation, delivery of anesthesia, physiologic monitoring, and EPR detection. Initial measurements were performed in a subcutaneous RIF-1 tumor model in C3H/HeJ mice. The mean baseline pO2 value was 6.0 ± 1.2 mmHg (N = 7) and responses to two atmospheres absolute pressure HBO varied considerably across subjects, within tumors, and over time. When an increase in pO2 was observed, the effect was transient in all but one case, with durations lasting from 5 min to over 20 min, and returned to baseline levels during HBO administration. These results indicate that without direct measurements of pO2 in the tissue of interest, it is likely to be difficult to know the effects of HBO on actual tissue pO2.

  16. Platelet-rich plasma: combinational treatment modalities for musculoskeletal conditions.

    PubMed

    Andia, Isabel; Abate, Michele

    2018-04-01

    Current research on common musculoskeletal problems, including osteoarticular conditions, tendinopathies, and muscle injuries, focuses on regenerative translational medicine. Platelet-rich plasma therapies have emerged as a potential approach to enhance tissue repair and regeneration. Platelet-rich plasma application aims to provide supraphysiological concentrations of platelets and optionally leukocytes at injured/pathological tissues mimicking the initial stages of healing. However, the efficacy of platelet-rich plasma is controversial in chronic diseases because patients' outcomes show partial improvements. Platelet-rich plasma can be customized to specific conditions by selecting the most appropriate formulation and timing for application or by combining platelet-rich plasma with synergistic or complementary treatments. To achieve this goal, researchers should identify and enhance the main mechanisms of healing. In this review, the interactions between platelet-rich plasma and healing mechanisms were addressed and research opportunities for customized treatment modalities were outlined. The development of combinational platelet-rich plasma treatments that can be used safely and effectively to manipulate healing mechanisms would be valuable and would provide insights into the processes involved in physiological healing and pathological failure.

  17. Surface modification of EPDM rubber by plasma treatment.

    PubMed

    Grythe, Kai Frode; Hansen, Finn Knut

    2006-07-04

    The effect of argon, oxygen, and nitrogen plasma treatment of solvent cast EPDM rubber films has been investigated by means of atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and surface energy measurements. Plasma treatment leads to changes in the surface energy from 25 to 70 mN/m. Treatment conditions influenced both the changes in surface energy and the stability, and it became more difficult to obtain good contact angle measurements after longer (> ca. 4 min) treatment times, probably because of an increasingly uneven surface structure. XPS analyses revealed that up to 20 at. % oxygen can be easily incorporated and that variations of approximately 5% can be controlled by the plasma conditions. Oxygen was mainly found in hydroxyl groups, but also as carbonyl and carboxyl. XPS analyses showed more stable surfaces than expected from contact angles, probably because XPS analysis is less surface sensitive than contact angle measurements. AFM measurements revealed different surface structures with the three gases. The surface roughness increased generally with treatment time, and dramatic changes could be observed at longer times. At short times, surface energy changes were much faster than the changes in surface structure, showing that plasma treatment conditions can be utilized to tailor both surface energies and surface structure of EPDM rubber.

  18. Deposition of Polymer Thin Films on ZnO Nanoparticles by a Plasma Treatment

    DTIC Science & Technology

    2001-11-01

    exchange for removing metal ions frori water. If on the surface of these nanoparticles, an extremely thin layer of polyacrylic filr can be coated by a...plasma treatment. The polyacrylic film will react with metallic ions in water. As a result of the high surface-to-volume ratio of these narioparticles, the...experiments performed on a JEM 2010F. In FFIR experiment, potassium bromide(KBr) of 99%+ purity was obtained from Aldrich Chemical Company Inc

  19. The assessment of cold atmospheric plasma treatment of DNA in synthetic models of tissue fluid, tissue and cells

    NASA Astrophysics Data System (ADS)

    Szili, Endre J.; Gaur, Nishtha; Hong, Sung-Ha; Kurita, Hirofumi; Oh, Jun-Seok; Ito, Masafumi; Mizuno, Akira; Hatta, Akimitsu; Cowin, Allison J.; Graves, David B.; Short, Robert D.

    2017-07-01

    There is a growing literature database that demonstrates the therapeutic potential of cold atmospheric plasma (herein referred to as plasma). Given the breadth of proposed applications (e.g. from teeth whitening to cancer therapy) and vast gamut of plasma devices being researched, it is timely to consider plasma interactions with specific components of the cell in more detail. Plasma can produce highly reactive oxygen and nitrogen species (RONS) such as the hydroxyl radical (OH•), peroxynitrite (ONOO-) and superoxide (\\text{O}2- ) that would readily modify essential biomolecules such as DNA. These modifications could in principle drive a wide range of biological processes. Against this possibility, the reported therapeutic action of plasmas are not underpinned by a particularly deep knowledge of the potential plasma-tissue, -cell or -biomolecule interactions. In this study, we aim to partly address this issue by developing simple models to study plasma interactions with DNA, in the form of DNA-strand breaks. This is carried out using synthetic models of tissue fluid, tissue and cells. We argue that this approach makes experimentation simpler, more cost-effective and faster than compared to working with real biological materials and cells. Herein, a helium plasma jet source was utilised for these experiments. We show that the plasma jet readily induced DNA-strand breaks in the tissue fluid model and in the cell model, surprisingly without any significant poration or rupture of the phospholipid membrane. In the plasma jet treatment of the tissue model, DNA-strand breaks were detected in the tissue mass after pro-longed treatment (on the time-scale of minutes) with no DNA-strand breaks being detected in the tissue fluid model underneath the tissue model. These data are discussed in the context of the therapeutic potential of plasma.

  20. Kinetic removal of haloacetonitrile precursors by photo-based advanced oxidation processes (UV/H2O2, UV/O3, and UV/H2O2/O3).

    PubMed

    Srithep, Sirinthip; Phattarapattamawong, Songkeart

    2017-06-01

    The objective of the study is to evaluate the performance of conventional treatment process (i.e., coagulation, flocculation, sedimentation and sand filtration) on the removals of haloacetonitrile (HAN) precursors. In addition, the removals of HAN precursors by photo-based advanced oxidation processes (Photo-AOPs) (i.e., UV/H 2 O 2 , UV/O 3 , and UV/H 2 O 2 /O 3 ) are investigated. The conventional treatment process was ineffective to remove HAN precursors. Among Photo-AOPs, the UV/H 2 O 2 /O 3 was the most effective process for removing HAN precursors, followed by UV/H 2 O 2 , and UV/O 3 , respectively. For 20min contact time, the UV/H 2 O 2 /O 3 , UV/H 2 O 2 , and UV/O 3 suppressed the HAN formations by 54, 42, and 27% reduction. Increasing ozone doses from 1 to 5 mgL -1 in UV/O 3 systems slightly improved the removals of HAN precursors. Changes in pH (6-8) were unaffected most of processes (i.e., UV, UV/H 2 O 2 , and UV/H 2 O 2 /O 3 ), except for the UV/O 3 system that its efficiency was low in the weak acid condition. The pseudo first-order kinetic constant for removals of dichloroacetonitrile precursors (k' DCANFP ) by the UV/H 2 O 2 /O 3 , UV/H 2 O 2 and standalone UV systems were 1.4-2.8 orders magnitude higher than the UV/O 3 process. The kinetic degradation of dissolved organic nitrogen (DON) tended to be higher than the k' DCANFP value. This study firstly differentiates the kinetic degradation between DON and HAN precursors. Copyright © 2017 Elsevier Ltd. All rights reserved.