Sample records for object substitution masking

  1. What Is Being Masked in Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Cole, Geoff; Skarratt, Paul

    2006-01-01

    Object substitution masking (OSM) is said to occur when a perceptual object is hypothesized that is mismatched by subsequent sensory evidence, leading to a new hypothesized object being substituted for the first. For example, when a brief target is accompanied by a longer lasting display of nonoverlapping mask elements, reporting of target…

  2. Object Substitution Masking Induced by Illusory Masks: Evidence for Higher Object-Level Locus of Interference

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2009-01-01

    A briefly presented target can be rendered invisible by a lingering sparse mask that does not even touch it. This form of visual backward masking, called object substitution masking, is thought to occur at the object level of processing. However, it remains unclear whether object-level interference alone produces substitution masking because…

  3. Object Substitution Masking: When Does Mask Preview Work?

    ERIC Educational Resources Information Center

    Lim, Stephen Wee Hun; Chua, Fook K.

    2008-01-01

    When a target is enclosed by a 4-dot mask that persists after the target disappears, target identification is worse than it is when the mask terminates with the target. This masking effect is attributed to object substitution masking (OSM). Previewing the mask, however, attenuates OSM. This study investigated specific conditions under which mask…

  4. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  5. Implicit Semantic Perception in Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    Decades of research on visual perception has uncovered many phenomena, such as binocular rivalry, backward masking, and the attentional blink, that reflect "failures of consciousness". Although stimuli do not reach awareness in these paradigms, there is evidence that they nevertheless undergo semantic processing. Object substitution masking (OSM),…

  6. How Does Target Duration Affect Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Carter, Wakefield; Guest, Duncan

    2010-01-01

    Object substitution masking (OSM) is typically studied using a brief search display. The target item may be indicated by a cue/mask surrounding but not overlapping it. Report of the target is reduced when mask offset trails target offset rather than being simultaneous with it. We report 5 experiments investigating whether OSM can be obtained if…

  7. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    ERIC Educational Resources Information Center

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  8. Asymmetry in Object Substitution Masking Occurs Relative to the Direction of Spatial Attention Shift

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2010-01-01

    A sparse mask that persists beyond the duration of a target can reduce its visibility, a phenomenon called "object substitution masking". Y. Jiang and M. M. Chun (2001a) found an asymmetric pattern of substitution masking such that a mask on the peripheral side of the target caused stronger substitution masking than on the central side.…

  9. Reentrant processing mediates object substitution masking: comment on Põder (2013).

    PubMed

    Di Lollo, Vincent

    2014-01-01

    Object-substitution masking (OSM) occurs when a target stimulus and a surrounding mask are displayed briefly together, and the display then continues with the mask alone. Target identification is accurate when the stimuli co-terminate but is progressively impaired as the duration of the trailing mask is increased. In reentrant accounts, OSM is said to arise from iterative exchanges between brain regions connected by two-way pathways. In an alternative account, OSM is explained on the basis of exclusively feed-forward processes, without recourse to reentry. Here I show that the feed-forward account runs afoul of the extant phenomenological, behavioral, brain-imaging, and electrophysiological evidence. Further, the feed-forward assumption that masking occurs when attention finds a degraded target is shown to be entirely ad hoc. In contrast, the evidence is uniformly consistent with a reentrant-processing account of OSM.

  10. Attentional gating models of object substitution masking.

    PubMed

    Põder, Endel

    2013-11-01

    Di Lollo, Enns, and Rensink (2000) proposed the computational model of object substitution (CMOS) to explain their experimental results with sparse visual maskers. This model supposedly is based on reentrant hypotheses testing in the visual system, and the modeled experiments are believed to demonstrate these reentrant processes in human vision. In this study, I analyze the main assumptions of this model. I argue that CMOS is a version of the attentional gating model and that its relationship with reentrant processing is rather illusory. The fit of this model to the data indicates that reentrant hypotheses testing is not necessary for the explanation of object substitution masking (OSM). Further, the original CMOS cannot predict some important aspects of the experimental data. I test 2 new models incorporating an unselective processing (divided attention) stage; these models are more consistent with data from OSM experiments. My modeling shows that the apparent complexity of OSM can be reduced to a few simple and well-known mechanisms of perception and memory. PsycINFO Database Record (c) 2013 APA, all rights reserved.

  11. The role of executive attention in object substitution masking.

    PubMed

    Filmer, Hannah L; Wells-Peris, Roxanne; Dux, Paul E

    2017-05-01

    It was long thought that a key characteristic of object substitution masking (OSM) was the requirement for spatial attention to be dispersed for the mask to impact visual sensitivity. However, recent studies have provided evidence questioning whether spatial attention interacts with OSM magnitude, suggesting that the previous reports reflect the impact of performance being at ceiling for the low attention load conditions. Another technique that has been employed to modulate attention in OSM paradigms involves presenting the target stimulus foveally, but with another demanding task shown immediately prior, and thus taxing executive/temporal attention. Under such conditions, when the two tasks occur in close temporal proximity relatively to greater temporal separation, masking is increased. However this effect could also be influenced by performance being at ceiling in some conditions. Here, we manipulated executive attention for a foveated target using a dual-task paradigm. Critically, ceiling performance was avoided by thresholding the target stimulus prior to it being presented under OSM conditions. We found no evidence for an interaction between executive attention load and masking. Collectively, along with the previous findings, our results provide compelling evidence that OSM as a phenomenon occurs independently of attention.

  12. Recovery from Object Substitution Masking Induced by Transient Suppression of Visual Motion Processing: A Repetitive Transcranial Magnetic Stimulation Study

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Kihara, Ken; Mima, Tatsuya; Ueki, Yoshino; Fukuyama, Hidenao; Osaka, Naoyuki

    2007-01-01

    Object substitution masking is a form of visual backward masking in which a briefly presented target is rendered invisible by a lingering mask that is too sparse to produce lower image-level interference. Recent studies suggested the importance of an updating process in a higher object-level representation, which should rely on the processing of…

  13. Odours reduce the magnitude of object substitution masking for matching visual targets in females.

    PubMed

    Robinson, Amanda K; Laning, Julia; Reinhard, Judith; Mattingley, Jason B

    2016-08-01

    Recent evidence suggests that olfactory stimuli can influence early stages of visual processing, but there has been little focus on whether such olfactory-visual interactions convey an advantage in visual object identification. Moreover, despite evidence that some aspects of olfactory perception are superior in females than males, no study to date has examined whether olfactory influences on vision are gender-dependent. We asked whether inhalation of familiar odorants can modulate participants' ability to identify briefly flashed images of matching visual objects under conditions of object substitution masking (OSM). Across two experiments, we had male and female participants (N = 36 in each group) identify masked visual images of odour-related objects (e.g., orange, rose, mint) amongst nonodour-related distracters (e.g., box, watch). In each trial, participants inhaled a single odour that either matched or mismatched the masked, odour-related target. Target detection performance was analysed using a signal detection (d') approach. In females, but not males, matching odours significantly reduced OSM relative to mismatching odours, suggesting that familiar odours can enhance the salience of briefly presented visual objects. We conclude that olfactory cues exert a subtle influence on visual processes by transiently enhancing the salience of matching object representations. The results add to a growing body of literature that points towards consistent gender differences in olfactory perception.

  14. Categorical information influences conscious perception: An interaction between object-substitution masking and repetition blindness.

    PubMed

    Goodhew, Stephanie C; Greenwood, John A; Edwards, Mark

    2016-05-01

    The visual system is constantly bombarded with dynamic input. In this context, the creation of enduring object representations presents a particular challenge. We used object-substitution masking (OSM) as a tool to probe these processes. In particular, we examined the effect of target-like stimulus repetitions on OSM. In visual crowding, the presentation of a physically identical stimulus to the target reduces crowding and improves target perception, whereas in spatial repetition blindness, the presentation of a stimulus that belongs to the same category (type) as the target impairs perception. Across two experiments, we found an interaction between spatial repetition blindness and OSM, such that repeating a same-type stimulus as the target increased masking magnitude relative to presentation of a different-type stimulus. These results are discussed in the context of the formation of object files. Moreover, the fact that the inducer only had to belong to the same "type" as the target in order to exacerbate masking, without necessarily being physically identical to the target, has important implications for our understanding of OSM per se. That is, our results show the target is processed to a categorical level in OSM despite effective masking and, strikingly, demonstrate that this category-level content directly influences whether or not the target is perceived, not just performance on another task (as in priming).

  15. Object individuation is invariant to attentional diffusion: Changes in the size of the attended region do not interact with object-substitution masking.

    PubMed

    Goodhew, Stephanie C; Edwards, Mark

    2016-12-01

    When the human brain is confronted with complex and dynamic visual scenes, two pivotal processes are at play: visual attention (the process of selecting certain aspects of the scene for privileged processing) and object individuation (determining what information belongs to a continuing object over time versus what represents two or more distinct objects). Here we examined whether these processes are independent or whether they interact. Object-substitution masking (OSM) has been used as a tool to examine such questions, however, there is controversy surrounding whether OSM reflects object individuation versus substitution processes. The object-individuation account is agnostic regarding the role of attention, whereas object-substitution theory stipulates a pivotal role for attention. There have been attempts to investigate the role of attention in OSM, but they have been subject to alternative explanations. Here, therefore, we manipulated the size of the attended region, a pure and uncontaminated attentional manipulation, and examined the impact on OSM. Across three experiments, there was no interaction. This refutes the object-substitution theory of OSM. This, in turn, tell us that object-individuation is invariant the distribution of attention. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Reward-associated features capture attention in the absence of awareness: Evidence from object-substitution masking.

    PubMed

    Harris, Joseph A; Donohue, Sarah E; Schoenfeld, Mircea A; Hopf, Jens-Max; Heinze, Hans-Jochen; Woldorff, Marty G

    2016-08-15

    Reward-associated visual features have been shown to capture visual attention, evidenced in faster and more accurate behavioral performance, as well as in neural responses reflecting lateralized shifts of visual attention to those features. Specifically, the contralateral N2pc event-related-potential (ERP) component that reflects attentional shifting exhibits increased amplitude in response to task-relevant targets containing a reward-associated feature. In the present study, we examined the automaticity of such reward-association effects using object-substitution masking (OSM) in conjunction with MEG measures of visual attentional shifts. In OSM, a visual-search array is presented, with the target item to be detected indicated by a surrounding mask (here, four surrounding squares). Delaying the offset of the target-surrounding four-dot mask relative to the offset of the rest of the target/distracter array disrupts the viewer's awareness of the target (masked condition), whereas simultaneous offsets do not (unmasked condition). Here we manipulated whether the color of the OSM target was or was not of a previously reward-associated color. By tracking reward-associated enhancements of behavior and the N2pc in response to masked targets containing a previously rewarded or unrewarded feature, the automaticity of attentional capture by reward could be probed. We found an enhanced N2pc response to targets containing a previously reward-associated color feature. Moreover, this enhancement of the N2pc by reward did not differ between masking conditions, nor did it differ as a function of the apparent visibility of the target within the masked condition. Overall, these results underscore the automaticity of attentional capture by reward-associated features, and demonstrate the ability of feature-based reward associations to shape attentional capture and allocation outside of perceptual awareness. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. Different effects of the two types of spatial pre-cueing: what precisely is "attention" in Di Lollo's and Enns' substitution masking theory?

    PubMed

    Luiga, I; Bachmann, T

    2007-11-01

    Enns and Di Lollo [Psychological Science, 8 (2), 135-139, 1997] have introduced the object substitution theory of visual masking. Object substitution masking occurs when focusing attention on the target is delayed. However, Posner (Quarterly Journal of Experimental Psychology, 32, 3-25, 1980) has already shown that attention can be directed to a target at least in two ways: intentionally (endogenously) and automatically (exogenously). We conducted two experiments to explore the effects of endogenous and exogenous cues on substitution masking. The results showed that when attention was shifted to the target location automatically (using a local peripheral pre-cue), masking was attenuated. A decrease in target identification dependent on a delay of mask offset, typical to substitution masking, was not observed. However, strong substitution masking occurred when the target location was not pre-cued or when attention was directed to the target location intentionally (using a symbolic pre-cue displayed centrally). The hypothesis of two different mechanisms of attentional control in substitution masking was confirmed.

  18. Recovery of a crowded object by masking the flankers: Determining the locus of feature integration

    PubMed Central

    Chakravarthi, Ramakrishna; Cavanagh, Patrick

    2009-01-01

    Object recognition is a central function of the visual system. As a first step, the features of an object are registered; these independently encoded features are then bound together to form a single representation. Here we investigate the locus of this “feature integration” by examining crowding, a striking breakdown of this process. Crowding, an inability to identify a peripheral target surrounded by flankers, results from “excessive integration” of target and flanker features. We presented a standard crowding display with a target C flanked by four flanker C's in the periphery. We then masked only the flankers (but not the target) with one of three kinds of masks—noise, metacontrast, and object substitution—each of which interferes at progressively higher levels of visual processing. With noise and metacontrast masks (low-level masking), the crowded target was recovered, whereas with object substitution masks (high-level masking), it was not. This places a clear upper bound on the locus of interference in crowding suggesting that crowding is not a low-level phenomenon. We conclude that feature integration, which underlies crowding, occurs prior to the locus of object substitution masking. Further, our results indicate that the integrity of the flankers, but not their identification, is crucial for crowding to occur. PMID:19810785

  19. Variations in backward masking with different masking stimuli: II. The effects of spatially quantised masks in the light of local contour interaction, interchannel inhibition, perceptual retouch, and substitution theories.

    PubMed

    Bachmann, Talis; Luiga, Iiris; Põder, Endel

    2005-01-01

    In part I we showed that with spatially non-overlapping targets and masks both local metacontrast-like interactions and attentional processes are involved in backward masking. In this second part we extend the strategy of varying the contents of masks to pattern masking where targets and masks overlap in space, in order to compare different masking theories. Images of human faces were backward-masked by three types of spatially quantised masks (the same faces as targets, faces different from targets, and Gaussian noise with power spectra typical for faces). Configural characteristics, rather than the spectral content of the mask, predicted the extent of masking at relatively long stimulus onset asynchronies (SOAs). This poses difficulties for the theory of transient-on-sustained inhibition as the principal mechanism of masking and also for local contour interaction being a decisive factor in pattern masking. The scale of quantisation had no effect on the masking capacity of noise masks and a strong effect on the capacity of different-face masks. Also, the decrease of configural masking with an increase in the coarseness of the quantisation of the mask highlights ambiguities inherent in the re-entrance-based substitution theory of masking. Different masking theories cannot solve the problems of masking separately. They should be combined in order to create a complex, yet comprehensible mode of interaction for the different mechanisms involved in visual backward masking.

  20. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    PubMed

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  1. Gestalt grouping and common onset masking.

    PubMed

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  2. Complex Pupil Masks for Aberrated Imaging of Closely Spaced Objects

    NASA Astrophysics Data System (ADS)

    Reddy, A. N. K.; Sagar, D. K.; Khonina, S. N.

    2017-12-01

    Current approach demonstrates the suppression of optical side-lobes and the contraction of the main lobe in the composite image of two object points of the optical system under the influence of defocusing effect when an asymmetric phase edges are imposed over the apodized circular aperture. The resolution of two point sources having different intensity ratio is discussed in terms of the modified Sparrow criterion, functions of the degree of coherence of the illumination, the intensity difference and the degree of asymmetric phase masking. Here we have introduced and explored the effects of focus aberration (defect-of-focus) on the two-point resolution of the optical systems. Results on the aberrated composite image of closely spaced objects with amplitude mask and asymmetric phase masks forms a significant contribution in astronomical and microscopic observations.

  3. "Slit Mask Design for the Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph"

    NASA Astrophysics Data System (ADS)

    Williams, Darius; Marshall, Jennifer L.; Schmidt, Luke M.; Prochaska, Travis; DePoy, Darren L.

    2018-01-01

    The Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph (GMACS) is currently in development for the Giant Magellan Telescope (GMT). GMACS will employ slit masks with a usable diameter of approximately 0.450 m for the purpose of multi-slit spectroscopy. Of significant importance are the design constraints and parameters of the multi-object slit masks themselves as well as the means for mapping astronomical targets to physical mask locations. Analytical methods are utilized to quantify deformation effects on a potential slit mask due to thermal expansion and vignetting of target light cones. Finite element analysis (FEA) is utilized to simulate mask flexure in changing gravity vectors. The alpha version of the mask creation program for GMACS, GMACS Mask Simulator (GMS), a derivative of the OSMOS Mask Simulator (OMS), is introduced.

  4. Rapid iconic erasure without masking.

    PubMed

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  5. A mask quality control tool for the OSIRIS multi-object spectrograph

    NASA Astrophysics Data System (ADS)

    López-Ruiz, J. C.; Vaz Cedillo, Jacinto Javier; Ederoclite, Alessandro; Bongiovanni, Ángel; González Escalera, Víctor

    2012-09-01

    OSIRIS multi object spectrograph uses a set of user-customised-masks, which are manufactured on-demand. The manufacturing process consists of drilling the specified slits on the mask with the required accuracy. Ensuring that slits are on the right place when observing is of vital importance. We present a tool for checking the quality of the process of manufacturing the masks which is based on analyzing the instrument images obtained with the manufactured masks on place. The tool extracts the slit information from these images, relates specifications with the extracted slit information, and finally communicates to the operator if the manufactured mask fulfills the expectations of the mask designer. The proposed tool has been built using scripting languages and using standard libraries such as opencv, pyraf and scipy. The software architecture, advantages and limits of this tool in the lifecycle of a multiobject acquisition are presented.

  6. Object-Location-Aware Hashing for Multi-Label Image Retrieval via Automatic Mask Learning.

    PubMed

    Huang, Chang-Qin; Yang, Shang-Ming; Pan, Yan; Lai, Han-Jiang

    2018-09-01

    Learning-based hashing is a leading approach of approximate nearest neighbor search for large-scale image retrieval. In this paper, we develop a deep supervised hashing method for multi-label image retrieval, in which we propose to learn a binary "mask" map that can identify the approximate locations of objects in an image, so that we use this binary "mask" map to obtain length-limited hash codes which mainly focus on an image's objects but ignore the background. The proposed deep architecture consists of four parts: 1) a convolutional sub-network to generate effective image features; 2) a binary "mask" sub-network to identify image objects' approximate locations; 3) a weighted average pooling operation based on the binary "mask" to obtain feature representations and hash codes that pay most attention to foreground objects but ignore the background; and 4) the combination of a triplet ranking loss designed to preserve relative similarities among images and a cross entropy loss defined on image labels. We conduct comprehensive evaluations on four multi-label image data sets. The results indicate that the proposed hashing method achieves superior performance gains over the state-of-the-art supervised or unsupervised hashing baselines.

  7. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    PubMed

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. TMS effects on subjective and objective measures of vision: stimulation intensity and pre- versus post-stimulus masking.

    PubMed

    de Graaf, Tom A; Cornelsen, Sonja; Jacobs, Christianne; Sack, Alexander T

    2011-12-01

    Transcranial magnetic stimulation (TMS) can be used to mask visual stimuli, disrupting visual task performance or preventing visual awareness. While TMS masking studies generally fix stimulation intensity, we hypothesized that varying the intensity of TMS pulses in a masking paradigm might inform several ongoing debates concerning TMS disruption of vision as measured subjectively versus objectively, and pre-stimulus (forward) versus post-stimulus (backward) TMS masking. We here show that both pre-stimulus TMS pulses and post-stimulus TMS pulses could strongly mask visual stimuli. We found no dissociations between TMS effects on the subjective and objective measures of vision for any masking window or intensity, ruling out the option that TMS intensity levels determine whether dissociations between subjective and objective vision are obtained. For the post-stimulus time window particularly, we suggest that these data provide new constraints for (e.g. recurrent) models of vision and visual awareness. Finally, our data are in line with the idea that pre-stimulus masking operates differently from conventional post-stimulus masking. Copyright © 2011 Elsevier Inc. All rights reserved.

  9. Objective evaluation of the knocking sound of a diesel engine considering the temporal and frequency masking effect simultaneously

    NASA Astrophysics Data System (ADS)

    Yun, Dong-Un; Lee, Sang-Kwon

    2017-06-01

    In this paper, we present a novel method for an objective evaluation of knocking noise emitted by diesel engines based on the temporal and frequency masking theory. The knocking sound of a diesel engine is a vibro-acoustic sound correlated with the high-frequency resonances of the engine structure and a periodic impulsive sound with amplitude modulation. Its period is related to the engine speed and includes specific frequency bands related to the resonances of the engine structure. A knocking sound with the characteristics of a high-frequency impulsive wave can be masked by low-frequency sounds correlated with the harmonics of the firing frequency and broadband noise. The degree of modulation of the knocking sound signal was used for such objective evaluations in previous studies, without considering the masking effect. However, the frequency masking effect must be considered for the objective evaluation of the knocking sound. In addition to the frequency masking effect, the temporal masking effect occurs because the period of the knocking sound changes according to the engine speed. Therefore, an evaluation method considering the temporal and frequency masking effect is required to analyze the knocking sound objectively. In this study, an objective evaluation method considering the masking effect was developed based on the masking theory of sound and signal processing techniques. The method was applied successfully for the objective evaluation of the knocking sound of a diesel engine.

  10. venice: Mask utility

    NASA Astrophysics Data System (ADS)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  11. A chronometric exploration of high-resolution 'sensitive TMS masking' effects on subjective and objective measures of vision.

    PubMed

    de Graaf, Tom A; Herring, Jim; Sack, Alexander T

    2011-03-01

    Transcranial magnetic stimulation (TMS) can induce masking by interfering with ongoing neural activity in early visual cortex. Previous work has explored the chronometry of occipital involvement in vision by using single pulses of TMS with high temporal resolution. However, conventionally TMS intensities have been high and the only measure used to evaluate masking was objective in nature. Recent studies have begun to incorporate subjective measures of vision, alongside objective ones. The current study goes beyond previous work in two regards. First, we explored both objective vision (an orientation discrimination task) and subjective vision (a stimulus visibility rating on a four-point scale), across a wide range of time windows with high temporal resolution. Second, we used a very sensitive TMS-masking paradigm: stimulation was at relatively low TMS intensities, with a figure-8 coil, and the small stimulus was difficult to discriminate already at baseline level. We hypothesized that this should increase the effective temporal resolution of our paradigm. Perhaps for this reason, we are able to report a rather interesting masking curve. Within the classical-masking time window, previously reported to encompass broad SOAs anywhere between 60 and 120 ms, we report not one, but at least two dips in objective performance, with no masking in-between. The subjective measure of vision did not mirror this pattern. These preliminary data from our exploratory design suggest that, with sensitive TMS masking, we might be able to reveal visual processes in early visual cortex previously unreported.

  12. Interaction of Object Binding Cues in Binaural Masking Pattern Experiments.

    PubMed

    Verhey, Jesko L; Lübken, Björn; van de Par, Steven

    2016-01-01

    Object binding cues such as binaural and across-frequency modulation cues are likely to be used by the auditory system to separate sounds from different sources in complex auditory scenes. The present study investigates the interaction of these cues in a binaural masking pattern paradigm where a sinusoidal target is masked by a narrowband noise. It was hypothesised that beating between signal and masker may contribute to signal detection when signal and masker do not spectrally overlap but that this cue could not be used in combination with interaural cues. To test this hypothesis an additional sinusoidal interferer was added to the noise masker with a lower frequency than the noise whereas the target had a higher frequency than the noise. Thresholds increase when the interferer is added. This effect is largest when the spectral interferer-masker and masker-target distances are equal. The result supports the hypothesis that modulation cues contribute to signal detection in the classical masking paradigm and that these are analysed with modulation bandpass filters. A monaural model including an across-frequency modulation process is presented that account for this effect. Interestingly, the interferer also affects dichotic thresholds indicating that modulation cues also play a role in binaural processing.

  13. Quantitative evaluation of manufacturability and performance for ILT produced mask shapes using a single-objective function

    NASA Astrophysics Data System (ADS)

    Choi, Heon; Wang, Wei-long; Kallingal, Chidam

    2015-03-01

    The continuous scaling of semiconductor devices is quickly outpacing the resolution improvements of lithographic exposure tools and processes. This one-sided progression has pushed optical lithography to its limits, resulting in the use of well-known techniques such as Sub-Resolution Assist Features (SRAF's), Source-Mask Optimization (SMO), and double-patterning, to name a few. These techniques, belonging to a larger category of Resolution Enhancement Techniques (RET), have extended the resolution capabilities of optical lithography at the cost of increasing mask complexity, and therefore cost. One such technique, called Inverse Lithography Technique (ILT), has attracted much attention for its ability to produce the best possible theoretical mask design. ILT treats the mask design process as an inverse problem, where the known transformation from mask to wafer is carried out backwards using a rigorous mathematical approach. One practical problem in the application of ILT is the resulting contour-like mask shapes that must be "Manhattanized" (composed of straight edges and 90-deg corners) in order to produce a manufacturable mask. This conversion process inherently degrades the mask quality as it is a departure from the "optimal mask" represented by the continuously curved shapes produced by ILT. However, simpler masks composed of longer straight edges reduce the mask cost as it lowers the shot count and saves mask writing time during mask fabrication, resulting in a conflict between manufacturability and performance for ILT produced masks1,2. In this study, various commonly used metrics will be combined into an objective function to produce a single number to quantitatively measure a particular ILT solution's ability to balance mask manufacturability and RET performance. Several metrics that relate to mask manufacturing costs (i.e. mask vertex count, ILT computation runtime) are appropriately weighted against metrics that represent RET capability (i.e. process

  14. Understanding Recovery from Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Dux, Paul E.; Lipp, Ottmar V.; Visser, Troy A. W.

    2012-01-01

    When we look at a scene, we are conscious of only a small fraction of the available visual information at any given point in time. This raises profound questions regarding how information is selected, when awareness occurs, and the nature of the mechanisms underlying these processes. One tool that may be used to probe these issues is…

  15. Substituted-letter and transposed-letter effects in a masked priming paradigm with French developing readers and dyslexics.

    PubMed

    Lété, Bernard; Fayol, Michel

    2013-01-01

    The aim of the study was to undertake a behavioral investigation of the development of automatic orthographic processing during reading acquisition in French. Following Castles and colleagues' 2007 study (Journal of Experimental Child Psychology, 97, 165-182) and their lexical tuning hypothesis framework, substituted-letter and transposed-letter primes were used in a masked priming paradigm with third graders, fifth graders, adults, and phonological dyslexics matched on reading level with the third graders. No priming effect was found in third graders. In adults, only a transposed-letter priming effect was found; there was no substituted-letter priming effect. Finally, fifth graders and dyslexics showed both substituted-letter and transposed-letter priming effects. Priming effects between the two groups were of the same magnitude after response time (RT) z-score transformation. Taken together, our results show that the pattern of priming effects found by Castles and colleagues in English normal readers emerges later in French normal readers. In other words, language orthographies seem to constrain the tuning of the orthographic system, with an opaque orthography producing faster tuning of orthographic processing than more transparent orthographies because of the high level of reliance on phonological decoding while learning to read. Copyright © 2012 Elsevier Inc. All rights reserved.

  16. Automatic frame-centered object representation and integration revealed by iconic memory, visual priming, and backward masking.

    PubMed

    Lin, Zhicheng; He, Sheng

    2012-10-25

    Object identities ("what") and their spatial locations ("where") are processed in distinct pathways in the visual system, raising the question of how the what and where information is integrated. Because of object motions and eye movements, the retina-based representations are unstable, necessitating nonretinotopic representation and integration. A potential mechanism is to code and update objects according to their reference frames (i.e., frame-centered representation and integration). To isolate frame-centered processes, in a frame-to-frame apparent motion configuration, we (a) presented two preceding or trailing objects on the same frame, equidistant from the target on the other frame, to control for object-based (frame-based) effect and space-based effect, and (b) manipulated the target's relative location within its frame to probe frame-centered effect. We show that iconic memory, visual priming, and backward masking depend on objects' relative frame locations, orthogonal of the retinotopic coordinate. These findings not only reveal that iconic memory, visual priming, and backward masking can be nonretinotopic but also demonstrate that these processes are automatically constrained by contextual frames through a frame-centered mechanism. Thus, object representation is robustly and automatically coupled to its reference frame and continuously being updated through a frame-centered, location-specific mechanism. These findings lead to an object cabinet framework, in which objects ("files") within the reference frame ("cabinet") are orderly coded relative to the frame.

  17. Automatic frame-centered object representation and integration revealed by iconic memory, visual priming, and backward masking

    PubMed Central

    Lin, Zhicheng; He, Sheng

    2012-01-01

    Object identities (“what”) and their spatial locations (“where”) are processed in distinct pathways in the visual system, raising the question of how the what and where information is integrated. Because of object motions and eye movements, the retina-based representations are unstable, necessitating nonretinotopic representation and integration. A potential mechanism is to code and update objects according to their reference frames (i.e., frame-centered representation and integration). To isolate frame-centered processes, in a frame-to-frame apparent motion configuration, we (a) presented two preceding or trailing objects on the same frame, equidistant from the target on the other frame, to control for object-based (frame-based) effect and space-based effect, and (b) manipulated the target's relative location within its frame to probe frame-centered effect. We show that iconic memory, visual priming, and backward masking depend on objects' relative frame locations, orthogonal of the retinotopic coordinate. These findings not only reveal that iconic memory, visual priming, and backward masking can be nonretinotopic but also demonstrate that these processes are automatically constrained by contextual frames through a frame-centered mechanism. Thus, object representation is robustly and automatically coupled to its reference frame and continuously being updated through a frame-centered, location-specific mechanism. These findings lead to an object cabinet framework, in which objects (“files”) within the reference frame (“cabinet”) are orderly coded relative to the frame. PMID:23104817

  18. Temporal Limitations in the Effective Binding of Attended Target Attributes in the Mutual Masking of Visual Objects

    ERIC Educational Resources Information Center

    Hommuk, Karita; Bachmann, Talis

    2009-01-01

    The problem of feature binding has been examined under conditions of distributed attention or with spatially dispersed stimuli. We studied binding by asking whether selective attention to a feature of a masked object enables perceptual access to the other features of that object using conditions in which spatial attention was directed at a single…

  19. The Development of Substitute Object Pretense: The Differential Importance of Form and Function

    ERIC Educational Resources Information Center

    Hopkins, Emily J.; Smith, Eric D.; Weisberg, Deena Skolnick; Lillard, Angeline S.

    2016-01-01

    Substitute object pretense is one of the earliest-developing forms of pretense, and yet it changes considerably across the preschool years. By 3.5 years of age, children can pretend with substitutes that are highly dissimilar from their intended referents (Elder & Pederson, 1978), but even older children have difficulty understanding such…

  20. General imaging of advanced 3D mask objects based on the fully-vectorial extended Nijboer-Zernike (ENZ) theory

    NASA Astrophysics Data System (ADS)

    van Haver, Sven; Janssen, Olaf T. A.; Braat, Joseph J. M.; Janssen, Augustus J. E. M.; Urbach, H. Paul; Pereira, Silvania F.

    2008-03-01

    In this paper we introduce a new mask imaging algorithm that is based on the source point integration method (or Abbe method). The method presented here distinguishes itself from existing methods by exploiting the through-focus imaging feature of the Extended Nijboer-Zernike (ENZ) theory of diffraction. An introduction to ENZ-theory and its application in general imaging is provided after which we describe the mask imaging scheme that can be derived from it. The remainder of the paper is devoted to illustrating the advantages of the new method over existing methods (Hopkins-based). To this extent several simulation results are included that illustrate advantages arising from: the accurate incorporation of isolated structures, the rigorous treatment of the object (mask topography) and the fully vectorial through-focus image formation of the ENZ-based algorithm.

  1. 2012 Mask Industry Survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  2. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    PubMed Central

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  3. 2013 mask industry survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  4. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets.

    PubMed

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set.

  5. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets

    PubMed Central

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. PMID:26491717

  6. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers

    PubMed Central

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-01-01

    Objective The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. Setting 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. Participants 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Intervention Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Main outcome measure Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. Results The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. Conclusions This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Trial registration number Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. PMID

  7. Mask industry assessment: 2008

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2008-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the seventh in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2007 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry.

  8. Mask industry assessment: 2009

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the eighth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2008 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry. This in combination with the past surveys represents a comprehensive view of changes in the industry.

  9. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    PubMed Central

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustained hypertension (elevated clinic and ambulatory BP). The confluence of increased cardiovascular risk and a failure to be diagnosed by the conventional approach of clinic BP measurement makes masked hypertension a significant public health concern. However, many important questions remain. First, the definition of masked hypertension varies across studies. Further, the best approach in the clinical setting to exclude masked hypertension also remains unknown. It is unclear whether home BP monitoring is an adequate substitute for ambulatory BP monitoring in identifying masked hypertension. Few studies have examined the mechanistic pathways that may explain masked hypertension. Finally, scarce data are available on the best approach to treating individuals with masked hypertension. Herein, we review the current literature on masked hypertension including definition, prevalence, clinical implications, special patient populations, correlates, issues related to diagnosis, treatment, and areas for future research. PMID:24573133

  10. What's in a mask? Information masking with forward and backward visual masks.

    PubMed

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  11. Retention of pediatric bag-mask ventilation efficacy skill by inexperienced medical student resuscitators using standard bag-mask ventilation masks, pocket masks, and blob masks.

    PubMed

    Kitagawa, Kory H; Nakamura, Nina M; Yamamoto, Loren

    2006-03-01

    To measure the ventilation efficacy with three single-sized mask types on infant and child manikin models. Medical students were recruited as study subjects inasmuch as they are inexperienced resuscitators. They were taught proper bag-mask ventilation (BMV) according to the American Heart Association guidelines on an infant and a child manikin. Subjects completed a BMV attempt successfully using the adult standard mask (to simulate the uncertainty of mask selection), pocket mask, and blob mask. Each attempt consisted of 5 ventilations assessed by chest rise of the manikin. Study subjects were asked which mask was easiest to use. Four to six weeks later, subjects repeated the procedure with no instructions (to simulate an emergency BMV encounter without immediate pre-encounter teaching). Forty-six volunteer subjects were studied. During the first attempt, subjects preferred the standard and blob masks over the pocket mask. For the second attempt, the blob mask was preferred over the standard mask, and few liked the pocket mask. Using the standard, blob, and pocket masks on the child manikin, 39, 42, and 20 subjects, respectively, were able to achieve adequate ventilation. Using the standard, blob, and pocket masks on the infant manikin, 45, 45, and 11 subjects, respectively, were able to achieve adequate ventilation. Both the standard and blob masks are more effective than the pocket mask at achieving adequate ventilation on infant and child manikins in this group of inexperienced medical student resuscitators, who most often preferred the blob mask.

  12. Mask Industry Assessment: 2011

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2011-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the tenth in the current series of annual reports. With ongoing industry support, the report has been used as one of the baselines to gain perspective on the technical and business status of the mask and microelectronics industries. It continues to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was essentially the same as the 2005 through 2010 surveys. Questions are grouped into following categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  13. Mask Industry Assessment: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David Y.

    2010-09-01

    A survey created supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the ninth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. It will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was basically the same as the 2005 through 2009 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  14. Mask strategy at International SEMATECH

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  15. Lateral masking in cycling displays: the relative importance of separation, flanker duration, and interstimulus interval for object-mediated updating.

    PubMed

    Hein, Elisabeth; Moore, Cathleen M

    2010-01-01

    A central bar repeatedly presented in alternation with two flanking bars can lead to the disappearance of the central bar. Recently it has been suggested that this masking effect could be explained by object-mediated updating: the information from the central bar is integrated into the representation of the flankers, leading not only to the disappearance of the central bar as a separate object, but also to the perception of the flankers in apparent motion between their real position and the position of the central bar. This account suggests that the visibility of the central bar should depend on the same factors as those that influence the construction and maintenance of object representations. Therefore separation between central bar and flankers should not influence visibility as long as the time interval between them is adequate to make an interpretation of the scene in terms of one object moving from one location to the other possible location. We found that if the time interval between the central bar and the flankers is neither too short nor too long, the central bar becomes invisible even at large separations. These findings are inconsistent with traditional accounts of the cycling lateral masking displays in terms of local inhibitory mechanisms.

  16. Parametric and non-parametric masking of randomness in sequence alignments can be improved and leads to better resolved trees.

    PubMed

    Kück, Patrick; Meusemann, Karen; Dambach, Johannes; Thormann, Birthe; von Reumont, Björn M; Wägele, Johann W; Misof, Bernhard

    2010-03-31

    Methods of alignment masking, which refers to the technique of excluding alignment blocks prior to tree reconstructions, have been successful in improving the signal-to-noise ratio in sequence alignments. However, the lack of formally well defined methods to identify randomness in sequence alignments has prevented a routine application of alignment masking. In this study, we compared the effects on tree reconstructions of the most commonly used profiling method (GBLOCKS) which uses a predefined set of rules in combination with alignment masking, with a new profiling approach (ALISCORE) based on Monte Carlo resampling within a sliding window, using different data sets and alignment methods. While the GBLOCKS approach excludes variable sections above a certain threshold which choice is left arbitrary, the ALISCORE algorithm is free of a priori rating of parameter space and therefore more objective. ALISCORE was successfully extended to amino acids using a proportional model and empirical substitution matrices to score randomness in multiple sequence alignments. A complex bootstrap resampling leads to an even distribution of scores of randomly similar sequences to assess randomness of the observed sequence similarity. Testing performance on real data, both masking methods, GBLOCKS and ALISCORE, helped to improve tree resolution. The sliding window approach was less sensitive to different alignments of identical data sets and performed equally well on all data sets. Concurrently, ALISCORE is capable of dealing with different substitution patterns and heterogeneous base composition. ALISCORE and the most relaxed GBLOCKS gap parameter setting performed best on all data sets. Correspondingly, Neighbor-Net analyses showed the most decrease in conflict. Alignment masking improves signal-to-noise ratio in multiple sequence alignments prior to phylogenetic reconstruction. Given the robust performance of alignment profiling, alignment masking should routinely be used to

  17. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-01-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the seventh in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2007. Questions are grouped into seven categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns, and Services. (Examples are given below). Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  18. Fabrication of coronagraph masks and laboratory scale star-shade masks: characteristics, defects, and performance

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; Riggs, A. J. Eldorado; Cady, Eric; White, Victor; Yee, Karl; Wilson, Daniel; Echternach, Pierre; Muller, Richard; Mejia Prada, Camilo; Seo, Byoung-Joon; Shi, Fang; Ryan, Daniel; Fregoso, Santos; Metzman, Jacob; Wilson, Robert Casey

    2017-09-01

    NASA WFIRST mission has planned to include a coronagraph instrument to find and characterize exoplanets. Masks are needed to suppress the host star light to better than 10-8 - 10-9 level contrast over a broad bandwidth to enable the coronagraph mission objectives. Such masks for high contrast coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, etc. We present the technologies employed at JPL to produce these pupil plane and image plane coronagraph masks, and lab-scale external occulter masks, highlighting accomplishments from the high contrast imaging testbed (HCIT) at JPL and from the high contrast imaging lab (HCIL) at Princeton University. Inherent systematic and random errors in fabrication and their impact on coronagraph performance are discussed with model predictions and measurements.

  19. MC1R studies in dogs with melanistic mask or brindle patterns.

    PubMed

    Schmutz, S M; Berryere, T G; Ellinwood, N M; Kerns, J A; Barsh, G S

    2003-01-01

    Black mask is a characteristic pattern in which red, yellow, tan, fawn, or brindle dogs exhibit a melanistic muzzle which may extend up onto the ears. Melanistic mask is inherited in several breeds as an autosomal dominant trait, and appears to be a fixed trait in a few breeds of dogs. A MC1R nonsense mutation, R306ter, has been shown to cause a completely red or yellow coat color in certain breeds such as Irish setters, yellow Labrador retrievers, and golden retrievers. The amino acid sequence for the melanocortin receptor 1 gene (MC1R) was examined in 17 dogs with melanistic masks from seven breeds, 19 dogs without melanistic masks, and 7 dogs in which their coat color made the mask difficult to distinguish. We also examined nine brindle dogs of four breeds, including three dogs who also had a black mask. No consistent amino acid change was observed in the brindle dogs. All dogs with a melanistic mask had at least one copy of a valine substitution for methionine at amino acid 264 (M264V) and none were homozygous for the premature stop codon (R306ter). These results suggest that black mask, but not brindle, is caused by a specific MC1R allele.

  20. Respiratory Source Control Using Surgical Masks With Nanofiber Media

    PubMed Central

    Skaria, Shaji D.; Smaldone, Gerald C.

    2014-01-01

    masks, Schlieren optical imaging demonstrated enhanced airflow through the nanofiber mask. Conclusions: Substituting nanofiber for conventional filter media significantly reduced face mask airflow resistance directing more airflow through the face mask resulting in enhanced filtration. Respiratory source control efficacy similar to that achieved through the use of an N95 respirator worn by the source and decreased airflow resistance using nanofiber masks may improve compliance and reduce receiver exposure. PMID:24737728

  1. Respiratory source control using surgical masks with nanofiber media.

    PubMed

    Skaria, Shaji D; Smaldone, Gerald C

    2014-07-01

    airflow through the nanofiber mask. Substituting nanofiber for conventional filter media significantly reduced face mask airflow resistance directing more airflow through the face mask resulting in enhanced filtration. Respiratory source control efficacy similar to that achieved through the use of an N95 respirator worn by the source and decreased airflow resistance using nanofiber masks may improve compliance and reduce receiver exposure. © The Author 2014. Published by Oxford University Press on behalf of the British Occupational Hygiene Society.

  2. Mask pressure effects on the nasal bridge during short-term noninvasive ventilation

    PubMed Central

    Brill, Anne-Kathrin; Pickersgill, Rachel; Moghal, Mohammad; Morrell, Mary J.; Simonds, Anita K.

    2018-01-01

    The aim of this study was to assess the influence of different masks, ventilator settings and body positions on the pressure exerted on the nasal bridge by the mask and subjective comfort during noninvasive ventilation (NIV). We measured the pressure over the nasal bridge in 20 healthy participants receiving NIV via four different NIV masks (three oronasal masks, one nasal mask) at three different ventilator settings and in the seated or supine position. Objective pressure measurements were obtained with an I-Scan pressure-mapping system. Subjective comfort of the mask fit was assessed with a visual analogue scale. The masks exerted mean pressures between 47.6±29 mmHg and 91.9±42.4 mmHg on the nasal bridge. In the supine position, the pressure was lower in all masks (57.1±31.9 mmHg supine, 63.9±37.3 mmHg seated; p<0.001). With oronasal masks, a change of inspiratory positive airway pressure (IPAP) did not influence the objective pressure over the nasal bridge. Subjective discomfort was associated with higher IPAP and positively correlated with the pressure on the skin. Objective measurement of pressure on the skin during mask fitting might be helpful for mask selection. Mask fitting in the supine position should be considered in the clinical routine. PMID:29637077

  3. Mask industry assessment trend analysis: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2010-05-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the eighth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Its results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2009. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  4. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Shelden, Gilbert; Marmillion, Patricia; Hughes, Greg

    2008-04-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the sixth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the 2005 and 2006 surveys. Questions are grouped into eight categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry. Note: the questions covering operating cost factors and equipment utilization were added to the survey only in 2005; therefore, meaningful trend analysis is not available.

  5. Forward Masking of the Speech-Evoked Auditory Brainstem Response.

    PubMed

    Hodge, Sarah E; Menezes, Denise C; Brown, Kevin D; Grose, John H

    2018-02-01

    The hypothesis tested was that forward masking of the speech-evoked auditory brainstem response (sABR) increases peak latency as an inverse function of masker-signal interval (Δt), and that the overall persistence of forward masking is age dependent. Older listeners exhibit deficits in forward masking. If forward-masked sABRs provide an objective measure of the susceptibility of speech sounds to prior stimulation, then this provides a novel approach to examining the age dependence of temporal processing. A /da/ stimulus forward masked by speech-shaped noise (Δt = 4-64 ms) was used to measure sABRs in 10 younger and nine older participants. Forward masking of subsegments of the /da/ stimulus (Δt = 16 ms) and click trains (Δt = 0-64 ms) was also measured. Forward-masked sABRs from young participants showed an increase in latency with decreasing Δt for the initial peak. Latency shifts for later peaks were smaller and more uniform. None of the peak latencies returned to baseline by Δt = 64 ms. Forward-masked /da/ subsegments showed peak latency shifts that did not depend simply on peak position, while forward-masked click trains showed latency shifts that were dependent on click position. The sABRs from older adults were less robust but confirmed the viability of the approach. Forward masking of the sABR provides an objective measure of the susceptibility of the auditory system to prior stimulation. Failure of recovery functions to return to baseline suggests an interaction between forward masking by the prior masker and temporal effects within the stimulus itself.

  6. The objective evaluation of aircrew protective breathing equipment : V., mask/goggles combinations for female crewmembers.

    DOT National Transportation Integrated Search

    1983-07-01

    A study was conducted to determine the degree of respiratory and visual protection given to the female crewmember by various crew oxygen mask/goggle combinations. The acceptance criteria for the mask/goggle combinations were for 10 of 12 test subject...

  7. Metacontrast masking and attention do not interact.

    PubMed

    Agaoglu, Sevda; Breitmeyer, Bruno; Ogmen, Haluk

    2016-07-01

    Visual masking and attention have been known to control the transfer of information from sensory memory to visual short-term memory. A natural question is whether these processes operate independently or interact. Recent evidence suggests that studies that reported interactions between masking and attention suffered from ceiling and/or floor effects. The objective of the present study was to investigate whether metacontrast masking and attention interact by using an experimental design in which saturation effects are avoided. We asked observers to report the orientation of a target bar randomly selected from a display containing either two or six bars. The mask was a ring that surrounded the target bar. Attentional load was controlled by set-size and masking strength by the stimulus onset asynchrony between the target bar and the mask ring. We investigated interactions between masking and attention by analyzing two different aspects of performance: (i) the mean absolute response errors and (ii) the distribution of signed response errors. Our results show that attention affects observers' performance without interacting with masking. Statistical modeling of response errors suggests that attention and metacontrast masking exert their effects by independently modulating the probability of "guessing" behavior. Implications of our findings for models of attention are discussed.

  8. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea

    PubMed Central

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A.; Landry, Shane; Mansfield, Darren R.; Hamilton, Garun S.

    2016-01-01

    Study Objectives: Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Methods: Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Results: Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10–15.5) cm H2O compared to nasal pillow masks, 11 (8–12.5) cm H2O and nasal masks, 10 (8–12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R2 = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5–8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Conclusions: Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. Commentary: A commentary on this article appears in this issue on page 1209. Citation: Deshpande S, Joosten S, Turton A, Edwards BA, Landry S, Mansfield DR, Hamilton GS. Oronasal masks require a higher pressure than nasal and

  9. The Crossmodal Facilitation of Visual Object Representations by Sound: Evidence from the Backward Masking Paradigm

    ERIC Educational Resources Information Center

    Chen, Yi-Chuan; Spence, Charles

    2011-01-01

    We report a series of experiments designed to demonstrate that the presentation of a sound can facilitate the identification of a concomitantly presented visual target letter in the backward masking paradigm. Two visual letters, serving as the target and its mask, were presented successively at various interstimulus intervals (ISIs). The results…

  10. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  11. Mask industry assessment trend analysis: 2012

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2012-02-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.

  12. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    PubMed

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  13. Exoplanet Coronagraph Shaped Pupil Masks and Laboratory Scale Star Shade Masks: Design, Fabrication and Characterization

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatha; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; hide

    2015-01-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10 -9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  14. Exoplanet coronagraph shaped pupil masks and laboratory scale star shade masks: design, fabrication and characterization

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; Zhou, Hanying; Kern, Brian; Riggs, A. J.; Zimmerman, Neil T.; Sirbu, Dan; Shaklan, Stuart; Kasdin, Jeremy

    2015-09-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10-9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  15. Masking as an effective quality control method for next-generation sequencing data analysis.

    PubMed

    Yun, Sajung; Yun, Sijung

    2014-12-13

    Next generation sequencing produces base calls with low quality scores that can affect the accuracy of identifying simple nucleotide variation calls, including single nucleotide polymorphisms and small insertions and deletions. Here we compare the effectiveness of two data preprocessing methods, masking and trimming, and the accuracy of simple nucleotide variation calls on whole-genome sequence data from Caenorhabditis elegans. Masking substitutes low quality base calls with 'N's (undetermined bases), whereas trimming removes low quality bases that results in a shorter read lengths. We demonstrate that masking is more effective than trimming in reducing the false-positive rate in single nucleotide polymorphism (SNP) calling. However, both of the preprocessing methods did not affect the false-negative rate in SNP calling with statistical significance compared to the data analysis without preprocessing. False-positive rate and false-negative rate for small insertions and deletions did not show differences between masking and trimming. We recommend masking over trimming as a more effective preprocessing method for next generation sequencing data analysis since masking reduces the false-positive rate in SNP calling without sacrificing the false-negative rate although trimming is more commonly used currently in the field. The perl script for masking is available at http://code.google.com/p/subn/. The sequencing data used in the study were deposited in the Sequence Read Archive (SRX450968 and SRX451773).

  16. Clay Mask Workshop

    ERIC Educational Resources Information Center

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  17. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  18. The 2002 to 2010 mask survey trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David

    2011-03-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the ninth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Results will be used to guide future investments in critical path issues. This year's survey is basically the same as the 2005 through 2010 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that ultimately create a detailed profile of both the business and technical status of the critical mask industry.

  19. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    PubMed

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  20. Schlieren System and method for moving objects

    NASA Technical Reports Server (NTRS)

    Weinstein, Leonard M. (Inventor)

    1995-01-01

    A system and method are provided for recording density changes in a flow field surrounding a moving object. A mask having an aperture for regulating the passage of images is placed in front of an image recording medium. An optical system is placed in front of the mask. A transition having a light field-of-view and a dark field-of-view is located beyond the test object. The optical system focuses an image of the transition at the mask such that the aperture causes a band of light to be defined on the image recording medium. The optical system further focuses an image of the object through the aperture of the mask so that the image of the object appears on the image recording medium. Relative motion is minimized between the mask and the transition. Relative motion is also minimized between the image recording medium and the image of the object. In this way, the image of the object and density changes in a flow field surrounding the object are recorded on the image recording medium when the object crosses the transition in front of the optical system.

  1. Comparison of face masks in the bag-mask ventilation of a manikin.

    PubMed

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  2. Evaluation of a New Pediatric Positive Airway Pressure Mask

    PubMed Central

    Kushida, Clete A.; Halbower, Ann C.; Kryger, Meir H.; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J.; Mendoza, June

    2014-01-01

    Study Objectives: The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Methods: Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. Results: AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. Conclusions: The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. Citation: Kushida CA, Halbower AC, Kryger MH, Pelayo R, Assalone V, Cardell CY, Huston S, Willes L, Wimms AJ, Mendoza J. Evaluation of a new pediatric positive airway pressure mask. J Clin Sleep Med 2014;10(9):979-984. PMID:25142768

  3. Adaptation to different noninvasive ventilation masks in critically ill patients*

    PubMed Central

    da Silva, Renata Matos; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    OBJECTIVE: To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. METHODS: An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. RESULTS: We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. CONCLUSIONS: In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed. PMID:24068269

  4. [Laryngeal mask].

    PubMed

    Villaverde Rozados, María José; Mos Reguera, M Esther; González Argibay, M Concepción; Sixto Gato, Sandra; Radío, Beatriz Alfonso; Fernández Díaz, María José

    2003-11-01

    A laryngeal mask is a very useful instrument to use both in easy as well as difficult handling situations related to the air tract. This mask is placed in the pharynx and it permits a patient to breathe in different modes, either spontaneously or mechanically. Different models are available; some even facilitate the tracheal intubation through the mask. The authors highlight that this type of mask does not protect nor isolate the air tract. As advantages, the authors point out its easy installation; thus, it is an alternative when an air tract presents difficulties; therefore, it is necessary that all personnel who have to deal with the air tract be aware of this mask and know how to handle it.

  5. Optical inspection system for cylindrical objects

    DOEpatents

    Brenden, Byron B.; Peters, Timothy J.

    1989-01-01

    In the inspection of cylindrical objects, particularly O-rings, the object is translated through a field of view and a linear light trace is projected on its surface. An image of the light trace is projected on a mask, which has a size and shape corresponding to the size and shape which the image would have if the surface of the object were perfect. If there is a defect, light will pass the mask and be sensed by a detector positioned behind the mask. Preferably, two masks and associated detectors are used, one mask being convex to pass light when the light trace falls on a projection from the surface and the other concave, to pass light when the light trace falls on a depression in the surface. The light trace may be either dynamic, formed by a scanned laser beam, or static, formed by such a beam focussed by a cylindrical lens. Means are provided to automatically keep the illuminating receiving systems properly aligned.

  6. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    PubMed

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  7. Masking disrupts reentrant processing in human visual cortex.

    PubMed

    Fahrenfort, J J; Scholte, H S; Lamme, V A F

    2007-09-01

    In masking, a stimulus is rendered invisible through the presentation of a second stimulus shortly after the first. Over the years, authors have typically explained masking by postulating some early disruption process. In these feedforward-type explanations, the mask somehow "catches up" with the target stimulus, disrupting its processing either through lateral or interchannel inhibition. However, studies from recent years indicate that visual perception--and most notably visual awareness itself--may depend strongly on cortico-cortical feedback connections from higher to lower visual areas. This has led some researchers to propose that masking derives its effectiveness from selectively interrupting these reentrant processes. In this experiment, we used electroencephalogram measurements to determine what happens in the human visual cortex during detection of a texture-defined square under nonmasked (seen) and masked (unseen) conditions. Electro-encephalogram derivatives that are typically associated with reentrant processing turn out to be absent in the masked condition. Moreover, extrastriate visual areas are still activated early on by both seen and unseen stimuli, as shown by scalp surface Laplacian current source-density maps. This conclusively shows that feedforward processing is preserved, even when subject performance is at chance as determined by objective measures. From these results, we conclude that masking derives its effectiveness, at least partly, from disrupting reentrant processing, thereby interfering with the neural mechanisms of figure-ground segmentation and visual awareness itself.

  8. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    PubMed Central

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. Results: A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Conclusion: Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients. PMID:27625477

  9. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    ERIC Educational Resources Information Center

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  10. Mask automation: need a revolution in mask makers and equipment industry

    NASA Astrophysics Data System (ADS)

    Moon, Seong-yong; Yu, Sang-yong; Noh, Young-hwa; Son, Ki-jung; Lee, Hyun-Joo; Cho, Han-Ku

    2013-09-01

    As improving device integration for the next generation, high performance and cost down are also required accordingly in semiconductor business. Recently, significant efforts have been given on putting EUV technology into fabrication in order to improve device integration. At the same time, 450mm wafer manufacturing environment has been considered seriously in many ways in order to boost up the productivity. Accordingly, 9-inch mask has been discussed in mask fabrication business recently to support 450mm wafer manufacturing environment successfully. Although introducing 9-inch mask can be crucial for mask industry, multi-beam technology is also expected as another influential turning point to overcome currently the most critical issue in mask industry, electron beam writing time. No matter whether 9-inch mask or multi-beam technology will be employed or not, mask quality and productivity will be the key factors to survive from the device competition. In this paper, the level of facility automation in mask industry is diagnosed and analyzed and the automation guideline is suggested for the next generation.

  11. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers.

    PubMed

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-04-22

    The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go

  12. A conceptual approach to the masking effect of measures of disproportionality.

    PubMed

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  13. Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing

    NASA Astrophysics Data System (ADS)

    Liebmann, Lars W.; Graur, Ioana C.; Leipold, William C.; Oberschmidt, James M.; O'Grady, David S.; Regaill, Denis

    1999-07-01

    While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

  14. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    PubMed Central

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  15. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  16. Optimal mask characterization by Surrogate Wafer Print (SWaP) method

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hoellein, Ingo; Peters, Jan Hendrick; Ackmann, Paul; Connolly, Brid; West, Craig

    2008-10-01

    enhancement to mask characterization quality including defectivity, dimensional control, pattern fidelity, and in-plane distortion. We present a thorough analysis of both the technical and logistical challenges coupled with an objective view of the advantages and disadvantages from both the technical and financial perspectives. The analysis and model used by the AMTC will serve to provoke other mask shops to prepare their own analyses then consider this new paradigm for mask characterization and qualification.

  17. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  18. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  19. The bright-star masks for the HSC-SSP survey

    NASA Astrophysics Data System (ADS)

    Coupon, Jean; Czakon, Nicole; Bosch, James; Komiyama, Yutaka; Medezinski, Elinor; Miyazaki, Satoshi; Oguri, Masamune

    2018-01-01

    We present the procedure to build and validate the bright-star masks for the Hyper-Suprime-Cam Strategic Subaru Proposal (HSC-SSP) survey. To identify and mask the saturated stars in the full HSC-SSP footprint, we rely on the Gaia and Tycho-2 star catalogues. We first assemble a pure star catalogue down to GGaia < 18 after removing ˜1.5% of sources that appear extended in the Sloan Digital Sky Survey (SDSS). We perform visual inspection on the early data from the S16A internal release of HSC-SSP, finding that our star catalogue is 99.2% pure down to GGaia < 18. Second, we build the mask regions in an automated way using stacked detected source measurements around bright stars binned per GGaia magnitude. Finally, we validate those masks by visual inspection and comparison with the literature of galaxy number counts and angular two-point correlation functions. This version (Arcturus) supersedes the previous version (Sirius) used in the S16A internal and DR1 public releases. We publicly release the full masks and tools to flag objects in the entire footprint of the planned HSC-SSP observations at "ftp://obsftp.unige.ch/pub/coupon/brightStarMasks/HSC-SSP/".

  20. Surgical Face Masks Worn by Patients with Multidrug-Resistant Tuberculosis

    PubMed Central

    Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A.; van der Walt, Martie; Nardell, Edward A.

    2012-01-01

    Rationale: Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. Objectives: We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Methods: Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Measurements and Main Results: Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68–85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31–51%), representing a 56% (95% CI, 33–70.5%) decreased risk of TB transmission when patients used masks. Conclusions: Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients. PMID:22323300

  1. Psychophysiological responses to masked auditory stimuli.

    PubMed

    Borgeat, F; Elie, R; Chaloult, L; Chabot, R

    1985-02-01

    Psychophysiological responses to masked auditory verbal stimuli of increasing intensities were studied in twenty healthy women. Two experimental sessions corresponding to two stimulation contents (neutral or emotional) were conducted. At each session, two different sets of instructions (attending or not attending to stimuli) were used successively. Verbal stimuli, masked by a 40-dB white noise, were presented to the subject at increasing intensities by increments of 5 dB starting at 0 dB. At each increment, frontal EMG, skin conductance and heart rate were recorded. The data were submitted to analyses of variance and covariance. Psychophysiological responses to stimuli below the thresholds of identification and detection were observed. The instruction not to attend the stimuli modified the patterns of physiological responses. The effect of the affective content of the stimuli on responses was stronger when not attending. The results show the possibility of psychophysiological responses to masked auditory stimuli and suggests that psychophysiological parameters can constitute objective and useful measures for research in auditory subliminal perception.

  2. Easy facial analysis using the facial golden mask.

    PubMed

    Kim, Yong-Ha

    2007-05-01

    For over 2000 years, many artists and scientists have tried to understand or quantify the form of the perfect, ideal, or most beautiful face both in art and in vivo (life). A mathematical relationship has been consistently and repeatedly reported to be present in beautiful things. This particular relationship is the golden ratio. It is a mathematical ratio of 1.618:1 that seems to appear recurrently in beautiful things in nature as well as in other things that are seen as beautiful. Dr. Marquardt made the facial golden mask that contains and includes all of the one-dimensional and two-dimensional geometric golden elements formed from the golden ratio. The purpose of this study is to evaluate the usefulness of the golden facial mask. In 40 cases, the authors applied the facial golden mask to preoperative and postoperative photographs and scored each photograph on a 1 to 5 scale from the perspective of their personal aesthetic views. The score was lower when the facial deformity was severe, whereas it was higher when the face was attractive. Compared with the average scores of facial mask applied photographs and nonapplied photographs using a nonparametric test, statistical significance was not reached (P > 0.05). This implies that the facial golden mask may be used as an analytical tool. The facial golden mask is easy to apply, inexpensive, and relatively objective. Therefore, the authors introduce it as a useful facial analysis.

  3. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    PubMed

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  4. A Novel Strategy for Bitter Taste Masking of Gankeshuangqing Dispersible Tablets Based on Particle Coating Technology.

    PubMed

    Han, Xue; Zhang, Ding-Kun; Zhang, Fang; Lin, Jun-Zhi; Jiang, Hong; Lan, Yang; Xiong, Xi; Han, Li; Yang, Ming; Fu, Chao-Mei

    2017-01-01

    Currently, acute upper respiratory tract infections (AURTIs) are increasingly becoming a significant health burden. Gankeshuangqing dispersible tablets (GKSQDT) which have a good effect on treating AURTIs. GKSQDT is composed of baicalin and andrographolide. However, its severe bitterness limits application of patients. Due to the addition of plentiful accessories, common masking methods are unsuitable for GKSQDT. It is thus necessary to develop a new masking method. The Previous study showed that baicalin was less bitter than andrographolide. Thus, particle coating technology was adapted to prepare composite particles that baicalin coated on the surface of andrographolide to decrease bitterness. Initially, particle size of baicalin and coating time of composite was investigated to prepare composite. Then, scanning electron microscopy, wettability, and infrared (IR) spectrogram were used to characterize the microstructure of composite. Furthermore, electronic tongue test, animal preference experiment, and human sensory test were applied to evaluate the masking effect. To produce composite, baicalin should be ground in vibromill for 6 min. Then, andrographolide fine powder was added to grind together for 6 min. Contact angle of composite was smaller than mixture, and more similar to baicalin. Other physical characterization including microstructure, wettability, and IR also suggested that andrographolide was successfully coated by baicalin superfine. Furthermore, taste-masking test indicated taste-masked tablets was less bitter than original tablets. The study indicated that particle coating technology can be used for taste masking of GKSQDT without adding other substance. Moreover, it provides a new strategy of taste masking for national medicine. A new strategy to mask bitterness without adding any other substance based on coating technology was providedThe masking effect was confirmed by electronic tongue test, animal preference experiment and human sensory test

  5. The subjective experience of object recognition: comparing metacognition for object detection and object categorization.

    PubMed

    Meuwese, Julia D I; van Loon, Anouk M; Lamme, Victor A F; Fahrenfort, Johannes J

    2014-05-01

    Perceptual decisions seem to be made automatically and almost instantly. Constructing a unitary subjective conscious experience takes more time. For example, when trying to avoid a collision with a car on a foggy road you brake or steer away in a reflex, before realizing you were in a near accident. This subjective aspect of object recognition has been given little attention. We used metacognition (assessed with confidence ratings) to measure subjective experience during object detection and object categorization for degraded and masked objects, while objective performance was matched. Metacognition was equal for degraded and masked objects, but categorization led to higher metacognition than did detection. This effect turned out to be driven by a difference in metacognition for correct rejection trials, which seemed to be caused by an asymmetry of the distractor stimulus: It does not contain object-related information in the detection task, whereas it does contain such information in the categorization task. Strikingly, this asymmetry selectively impacted metacognitive ability when objective performance was matched. This finding reveals a fundamental difference in how humans reflect versus act on information: When matching the amount of information required to perform two tasks at some objective level of accuracy (acting), metacognitive ability (reflecting) is still better in tasks that rely on positive evidence (categorization) than in tasks that rely more strongly on an absence of evidence (detection).

  6. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  7. Object tracking mask-based NLUT on GPUs for real-time generation of holographic videos of three-dimensional scenes.

    PubMed

    Kwon, M-W; Kim, S-C; Yoon, S-E; Ho, Y-S; Kim, E-S

    2015-02-09

    A new object tracking mask-based novel-look-up-table (OTM-NLUT) method is proposed and implemented on graphics-processing-units (GPUs) for real-time generation of holographic videos of three-dimensional (3-D) scenes. Since the proposed method is designed to be matched with software and memory structures of the GPU, the number of compute-unified-device-architecture (CUDA) kernel function calls and the computer-generated hologram (CGH) buffer size of the proposed method have been significantly reduced. It therefore results in a great increase of the computational speed of the proposed method and enables real-time generation of CGH patterns of 3-D scenes. Experimental results show that the proposed method can generate 31.1 frames of Fresnel CGH patterns with 1,920 × 1,080 pixels per second, on average, for three test 3-D video scenarios with 12,666 object points on three GPU boards of NVIDIA GTX TITAN, and confirm the feasibility of the proposed method in the practical application of electro-holographic 3-D displays.

  8. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    PubMed

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  9. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    PubMed

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p < 0.001). The breathing resistances of the unused FFRs and dust masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p < 0.001). After dust loading there was a significant difference between the BR caused by the ARD dust layer on each FFR and dust mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values < 5% and quality factors (0.26 and 0.33) comparable to those obtained for the two FFRs (0.23 and 0.31). However, the remaining three dust masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  10. A novel and discriminative method of in vitro disintegration time for preparation and optimization of taste-masked orally disintegrating tablets of carbinoxamine maleate.

    PubMed

    Liu, Yali; Li, Peng; Qian, Rong; Sun, Tianyu; Fang, Fangzhi; Wang, Zonghua; Ke, Xue; Xu, Bohui

    2018-08-01

    The primary objective of this study was to mask bitter taste and decrease the disintegration time of carbinoxamine maleate (CAM) orally disintegrating tablets (ODTs). In order to screen the prescription of ODTs, a novel modified in vitro disintegration method (MIVDM) was developed to measure the in vitro disintegration time. In this method, different concentrations of ethanol served as disintegration medium in order to delay the in vitro water absorption and disintegration process of tablets. The MIVDM demonstrated good in vitro and in vivo correlation and proved more precise and discriminative than other reported methods. In this research, ion exchange resins (IERs) were used to mask bitter taste for improving mouthfeel. The drug-resin ratio and reaction temperature were investigated to obtain the optimum carbinoxamine resin complexes (CRCs). The characterization of CRCs revealed an amorphous state. ODTs were prepared by direct compression. Superdisintegrants and diluents of ODTs were screened first. Further optimization was carried out by using Box-Behnken design. The effect of (X 1 ) mannitol/microcrystalline cellulose ratio, (X 2 ) the amount of low-substituted hydroxypropylcellulose and (X 3 ) the hardness was investigated for achieving the lowest (Y) in vitro disintegration time. Technological characterization, wetting time, water absorption ratio, and roughness degree were evaluated. The CRCs and ODTs proved successful taste-masking efficiency. The end product improved patients' compliance. The developed MIVDM was practical for commercial use.

  11. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  12. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    PubMed

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; P<.001). The intraoral mask resulted in greater received minute volume received compared with conventional cuffed face mask during ventilation with a 1-handed mask seal in a cadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  13. Masked priming effects are modulated by expertise in the script.

    PubMed

    Perea, Manuel; Abu Mallouh, Reem; Garcı A-Orza, Javier; Carreiras, Manuel

    2011-05-01

    In a recent study using a masked priming same-different matching task, Garcı´a-Orza, Perea, and Munoz (2010) found a transposition priming effect for letter strings, digit strings, and symbol strings, but not for strings of pseudoletters (i.e., EPRI-ERPI produced similar response times to the control pair EDBI-ERPI). They argued that the mechanism responsible for position coding in masked priming is not operative with those "objects" whose identity cannot be attained rapidly. To assess this hypothesis, Experiment 1 examined masked priming effects in Arabic for native speakers of Arabic, whereas participants in Experiments 2 and 3 were lower intermediate learners of Arabic and readers with no knowledge of Arabic, respectively. Results showed a masked priming effect only for readers who are familiar with the Arabic script. Furthermore, transposed-letter priming in native speakers of Arabic only occurred when the order of the root letters was kept intact. In Experiments 3-7, we examined why masked repetition priming is absent for readers who are unfamiliar with the Arabic script. We discuss the implications of these findings for models of visual-word recognition.

  14. Masks in Pedagogical Practice

    ERIC Educational Resources Information Center

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  15. Keeping African Masks Real

    ERIC Educational Resources Information Center

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  16. Masks in Imaging Flow Cytometry

    PubMed Central

    Dominical, Venina; Samsel, Leigh; McCoy, J. Philip

    2016-01-01

    Data analysis in imaging flow cytometry incorporates elements of flow cytometry together with other aspects of morphological analysis of images. A crucial early step in this analysis is the creation of a mask to distinguish the portion of the image upon which further examination of specified features can be performed. Default masks are provided by the manufacturer of the imaging flow cytometer but additional custom masks can be created by the individual user for specific applications. Flawed or inaccurate masks can have a substantial negative impact on the overall analysis of a sample, thus great care must be taken to ensure the accuracy of masks. Here we discuss various types of masks and cite examples of their use. Furthermore we provide our insight for how to approach selecting and assessing the optimal mask for a specific analysis. PMID:27461256

  17. Effects of non-simultaneous masking on the binaural masking level difference

    PubMed Central

    Buss, Emily; Hall III, Joseph W.

    2011-01-01

    The present study sought to clarify the role of non-simultaneous masking in the binaural masking level difference for maskers that fluctuate in level. In the first experiment the signal was a brief 500-Hz tone, and the masker was a bandpass noise (100–2000 Hz), with the initial and final 200-ms bursts presented at 40-dB spectrum level and the inter-burst gap presented at 20-dB spectrum level. Temporal windows were fitted to thresholds measured for a range of gap durations and signal positions within the gap. In the second experiment, individual differences in out of phase (NoSπ) thresholds were compared for a brief signal in a gapped bandpass masker, a brief signal in a steady bandpass masker, and a long signal in a narrowband (50-Hz-wide) noise masker. The third experiment measured brief tone detection thresholds in forward, simultaneous, and backward masking conditions for a 50- and for a 1900-Hz-wide noise masker centered on the 500-Hz signal frequency. Results are consistent with comparable temporal resolution in the in phase (NoSo) and NoSπ conditions and no effect of temporal resolution on individual observers’ ability to utilize binaural cues in narrowband noise. The large masking release observed for a narrowband noise masker may be due to binaural masking release from non-simultaneous, informational masking. PMID:21361448

  18. SU-8 negative photoresist for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Bogdanov, Alexei L.

    2000-06-01

    The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e-beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU- 8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent- based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 micrometer design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied.

  19. On the effectiveness of noise masks: naturalistic vs. un-naturalistic image statistics.

    PubMed

    Hansen, Bruce C; Hess, Robert F

    2012-05-01

    It has been argued that the human visual system is optimized for identification of broadband objects embedded in stimuli possessing orientation averaged power spectra fall-offs that obey the 1/f(β) relationship typically observed in natural scene imagery (i.e., β=2.0 on logarithmic axes). Here, we were interested in whether individual spatial channels leading to recognition are functionally optimized for narrowband targets when masked by noise possessing naturalistic image statistics (β=2.0). The current study therefore explores the impact of variable β noise masks on the identification of narrowband target stimuli ranging in spatial complexity, while simultaneously controlling for physical or perceived differences between the masks. The results show that β=2.0 noise masks produce the largest identification thresholds regardless of target complexity, and thus do not seem to yield functionally optimized channel processing. The differential masking effects are discussed in the context of contrast gain control. Copyright © 2012 Elsevier Ltd. All rights reserved.

  20. Masks: The Artist in Me

    ERIC Educational Resources Information Center

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  1. Forward masking of frequency modulationa

    PubMed Central

    Byrne, Andrew J.; Wojtczak, Magdalena; Viemeister, Neal F.

    2012-01-01

    Forward masking of sinusoidal frequency modulation (FM) was measured with three types of maskers: FM, amplitude modulation (AM), and a masker created by combining the magnitude spectrum of an FM tone with random component phases. For the signal FM rates used (5, 20, and 40 Hz), an FM masker raised detection thresholds in terms of frequency deviation by a factor of about 5 relative to without a masker. The AM masker produced a much smaller effect, suggesting that FM-to-AM conversion did not contribute substantially to the FM forward masking. The modulation depth of an FM masker had a nonmonotonic effect, with maximal masking observed at an intermediate value within the range of possible depths, while the random-phase FM masker produced less masking, arguing against a spectrally-based explanation for FM forward masking. Broad FM-rate selectivity for forward masking was observed for both 4-kHz and 500-Hz carriers. Thresholds measured as a function of the masker-signal delay showed slow recovery from FM forward masking, with residual masking for delays up to 500 ms. The FM forward-masking effect resembles that observed for AM [Wojtczak and Viemeister (2005). J. Acoust. Soc. Am. 188, 3198–3210] and may reflect modulation-rate selective neural adaptation to FM. PMID:23145618

  2. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  3. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    PubMed

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Does visual letter similarity modulate masked form priming in young readers of Arabic?

    PubMed

    Perea, Manuel; Abu Mallouh, Reem; Mohammed, Ahmed; Khalifa, Batoul; Carreiras, Manuel

    2018-05-01

    We carried out a masked priming lexical decision experiment to study whether visual letter similarity plays a role during the initial phases of word processing in young readers of Arabic (fifth graders). Arabic is ideally suited to test these effects because most Arabic letters share their basic shape with at least one other letter and differ only in the number/position of diacritical points (e.g., ض - ص ;ظ - ط ;غ - ع ;ث - ت - ن ب ;ذ - د ;خ - ح - ج ;ق - ف ;ش - س ;ز - ر). We created two one-letter-different priming conditions for each target word, in which a letter from the consonantal root was substituted by another letter that did or did not keep the same shape (e.g., خدمة - حدمة vs. خدمة - فدمة). Another goal of the current experiment was to test the presence of masked orthographic priming effects, which are thought to be unreliable in Semitic languages. To that end, we included an unrelated priming condition. We found a sizable masked orthographic priming effect relative to the unrelated condition regardless of visual letter similarity, thereby revealing that young readers are able to quickly process the diacritical points of Arabic letters. Furthermore, the presence of masked orthographic priming effects in Arabic suggests that the word identification stream in Indo-European and Semitic languages is more similar than previously thought. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. Tachistoscopic illumination and masking of real scenes

    PubMed Central

    Chichka, David; Philbeck, John W.; Gajewski, Daniel A.

    2014-01-01

    Tachistoscopic presentation of scenes has been valuable for studying the emerging properties of visual scene representations. The spatial aspects of this work have generally been focused on the conceptual locations (e.g., next to the refrigerator) and the directional locations of objects in 2D arrays and/or images. Less is known about how the perceived egocentric distance of objects develops. Here we describe a novel system for presenting brief glimpses of a real-world environment, followed by a mask. The system includes projectors with mechanical shutters for projecting the fixation and masking images, a set of LED floodlights for illuminating the environment, and computer-controlled electronics to set the timing and initiate the process. Because a real environment is used, most visual distance and depth cues may be manipulated using traditional methods. The system is inexpensive, robust, and its components are readily available in the marketplace. This paper describes the system and the timing characteristics of each component. Verification of the ability to control exposure to time scales as low as a few milliseconds is demonstrated. PMID:24519496

  6. Tachistoscopic illumination and masking of real scenes.

    PubMed

    Chichka, David; Philbeck, John W; Gajewski, Daniel A

    2015-03-01

    Tachistoscopic presentation of scenes has been valuable for studying the emerging properties of visual scene representations. The spatial aspects of this work have generally been focused on the conceptual locations (e.g., next to the refrigerator) and directional locations of objects in 2-D arrays and/or images. Less is known about how the perceived egocentric distance of objects develops. Here we describe a novel system for presenting brief glimpses of a real-world environment, followed by a mask. The system includes projectors with mechanical shutters for projecting the fixation and masking images, a set of LED floodlights for illuminating the environment, and computer-controlled electronics to set the timing and initiate the process. Because a real environment is used, most visual distance and depth cues can be manipulated using traditional methods. The system is inexpensive, robust, and its components are readily available in the marketplace. This article describes the system and the timing characteristics of each component. We verified the system's ability to control exposure to time scales as low as a few milliseconds.

  7. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  8. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    PubMed

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  9. The contribution of forward masking to saccadic inhibition of return.

    PubMed

    Souto, David; Born, Sabine; Kerzel, Dirk

    2018-03-08

    Inhibition of return is the name typically given to the prolonged latency of motor responses directed to a previously cued target location. There is intense debate about the origins of this effect and its function, but most take for granted (despite lack of evidence) that it depends little on forward masking. Therefore, we re-examined the role of forward masking in inhibition of return. Forward masking was indexed by slower saccadic reaction times (SRTs) when the target orientation repeated the cue orientation at the same location. We confirmed effects of orientation repetition in the absence of an attentional bias when cues were presented on both sides of fixation (bilateral presentation). The effect of orientation repetition was reduced with high target contrast, consistent with a low-level origin such as contrast gain control in early visual areas. When presenting cues on only one side of fixation (unilateral presentation), we obtained inhibition of return with longer cue-target intervals and facilitation with targets presented shortly after the cue. The effect of orientation repetition was reduced when facilitation was observed, but was as strong as with bilateral cues when inhibition of return was observed. Therefore, forward masking may contribute to the inhibition of return effect by delaying reaction times to repeated features at the same location, but is not a principal cause of inhibition of return; in agreement with previous views. The saccadic inhibition of return effect is a reaction-time cost when responding to a pre-cued location. Additional object updating costs are typically invoked to explain reaction-time costs observed when cue and target have the same shape. Yet, lower-level, forward masking of the target by the cue can not be ruled out. Importantly, we show an effect of orientation repetition that is consistent with low-level forward masking rather than object updating costs and that does not interact with inhibition of return.

  10. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  11. WFIRST-AFTA coronagraph shaped pupil masks: design, fabrication, and characterization

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Prada, Camilo Mejia; Ryan, Daniel; Poberezhskiy, Ilya; Kern, Brian; Zhou, Hanying; Krist, John; Nemati, Bijan; Eldorado Riggs, A. J.; Zimmerman, Neil T.; Kasdin, N. Jeremy

    2016-01-01

    NASA WFIRST-AFTA mission study includes a coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host starlight to about 10-9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high-contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultralow reflectivity regions, uniformity, wave front quality, and achromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed at JPL and from the High Contrast Imaging Lab at Princeton University.

  12. Lightweight Face Mask

    NASA Technical Reports Server (NTRS)

    Cason, W. E. I.; Baucom, R. M.; Evans, R. C.

    1982-01-01

    Lightweight face mask originally developed to protect epileptic patients during seizures could have many other medical and nonmedical applications such as muscular distrophy patients, football linesmen and riot-control police. Masks are extremely lightweight, the lightest of the configurations weighing only 136 grams.

  13. New mask technology challenges

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2001-09-01

    Mask technology development has accelerated dramatically in recent years from the glacial pace of the last three decades to the rapid and sometimes simultaneous introductions of new wavelengths and mask-based resolution enhancement techniques. The nature of the semiconductor business has also become one driven by time-to-market as an overwhelming factor in capturing market share and profit. These are among the factors that have created enormous stress on the mask industry to produce masks with enhanced capabilities, such as phase-shifting attenuators, sub-resolution assist bars, and optical proximity correction (OPC) features, while maintaining or reducing cost and cycle time. The mask can no longer be considered a commodity item that is purchased form the lowest-cost supplier. Instead, it must now be promoted as an integral part of the technical and business case for a total lithographic solution. Improving partnership between designer, mask-maker, and wafer lithographer will be the harbinger of success in finding a profitable balance of capability, cost, and cycle time. Likewise for equipment infrastructure development, stronger partnership on the international level is necessary to control development cost and mitigate schedule and technical risks.

  14. Mask quality assessment

    NASA Astrophysics Data System (ADS)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  15. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    NASA Astrophysics Data System (ADS)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch <=65 nm is placing greater demands on the mask. Strong resolution enhancement techniques (RETs), such as embedded and alternating phase shift masks and complex model-based optical proximity correction, are required to compensate for diffraction and limited depth of focus (DOF). To fabricate these masks, many new or upgraded tools are required to write patterns, measure feature sizes and placement, inspect for defects, review defect printability and repair defects on these masks. Beyond the significant technical challenges, suppliers of mask fabrication equipment face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper

  16. Perceptual Discrimination of Basic Object Features Is Not Facilitated When Priming Stimuli Are Prevented From Reaching Awareness by Means of Visual Masking

    PubMed Central

    Peel, Hayden J.; Sperandio, Irene; Laycock, Robin; Chouinard, Philippe A.

    2018-01-01

    Our understanding of how form, orientation and size are processed within and outside of awareness is limited and requires further investigation. Therefore, we investigated whether or not the visual discrimination of basic object features can be influenced by subliminal processing of stimuli presented beforehand. Visual masking was used to render stimuli perceptually invisible. Three experiments examined if visible and invisible primes could facilitate the subsequent feature discrimination of visible targets. The experiments differed in the kind of perceptual discrimination that participants had to make. Namely, participants were asked to discriminate visual stimuli on the basis of their form, orientation, or size. In all three experiments, we demonstrated reliable priming effects when the primes were visible but not when the primes were made invisible. Our findings underscore the importance of conscious awareness in facilitating the perceptual discrimination of basic object features. PMID:29725292

  17. Perceptual Discrimination of Basic Object Features Is Not Facilitated When Priming Stimuli Are Prevented From Reaching Awareness by Means of Visual Masking.

    PubMed

    Peel, Hayden J; Sperandio, Irene; Laycock, Robin; Chouinard, Philippe A

    2018-01-01

    Our understanding of how form, orientation and size are processed within and outside of awareness is limited and requires further investigation. Therefore, we investigated whether or not the visual discrimination of basic object features can be influenced by subliminal processing of stimuli presented beforehand. Visual masking was used to render stimuli perceptually invisible. Three experiments examined if visible and invisible primes could facilitate the subsequent feature discrimination of visible targets. The experiments differed in the kind of perceptual discrimination that participants had to make. Namely, participants were asked to discriminate visual stimuli on the basis of their form, orientation, or size. In all three experiments, we demonstrated reliable priming effects when the primes were visible but not when the primes were made invisible. Our findings underscore the importance of conscious awareness in facilitating the perceptual discrimination of basic object features.

  18. Inexpensive Masks for Film Deposition

    NASA Technical Reports Server (NTRS)

    Conley, W. R.

    1986-01-01

    Sputtered sprayed lines less than 2 millimeters wide made by superimposing masks with partially overlapping openings. Slits first cut in masks by stamping or other economical process. Masks superimposed so slits define new openings narrower than original slits.

  19. Masking Strategies for Image Manifolds.

    PubMed

    Dadkhahi, Hamid; Duarte, Marco F

    2016-07-07

    We consider the problem of selecting an optimal mask for an image manifold, i.e., choosing a subset of the pixels of the image that preserves the manifold's geometric structure present in the original data. Such masking implements a form of compressive sensing through emerging imaging sensor platforms for which the power expense grows with the number of pixels acquired. Our goal is for the manifold learned from masked images to resemble its full image counterpart as closely as possible. More precisely, we show that one can indeed accurately learn an image manifold without having to consider a large majority of the image pixels. In doing so, we consider two masking methods that preserve the local and global geometric structure of the manifold, respectively. In each case, the process of finding the optimal masking pattern can be cast as a binary integer program, which is computationally expensive but can be approximated by a fast greedy algorithm. Numerical experiments show that the relevant manifold structure is preserved through the datadependent masking process, even for modest mask sizes.

  20. Electrophysiological evidence for size invariance in masked picture repetition priming

    PubMed Central

    Eddy, Marianna D.; Holcomb, Phillip J.

    2009-01-01

    This experiment examined invariance in object representations through measuring event-related potentials (ERPs) to pictures in a masked repetition priming paradigm. Pairs of pictures were presented where the prime was either the same size or half the size of the target object and the target was either presented in a normal orientation or was a normal sized mirror reflection of the prime object. Previous masked repetition priming studies have found a cascade of priming effect sensitive to perceptual (N190/P190) and semantic (N400) properties of the stimulus. This experiment found that both early (N190/P190 effects) and later effects (N400) were invariant to size, whereas only the N190/P190 effect was invariant to mirror reflection. The combination of a small prime and a mirror reflected target led to no significant priming effects. Taken together, the results of this set of experiments suggests that object recognition, more specifically, activating an object representation, occurs in a hierarchical fashion where overlapping perceptual information between the prime and target is necessary, although not always sufficient, to activate a higher level semantic representation. PMID:19560248

  1. EUVL Mask Blank Repair

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barty, A; Mirkarimi, P; Stearns, D G

    2002-05-22

    EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect they present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variationsmore » in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that this technology will be extended to the repair of absorber defects in EUVL masks. However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper they present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.« less

  2. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  3. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    PubMed

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  4. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  5. Visual Masking During Pursuit Eye Movements

    ERIC Educational Resources Information Center

    White, Charles W.

    1976-01-01

    Visual masking occurs when one stimulus interferes with the perception of another stimulus. Investigates which matters more for visual masking--that the target and masking stimuli are flashed on the same part of the retina, or, that the target and mask appear in the same place. (Author/RK)

  6. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea.

    PubMed

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A; Landry, Shane; Mansfield, Darren R; Hamilton, Garun S

    2016-09-15

    Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10-15.5) cm H2O compared to nasal pillow masks, 11 (8-12.5) cm H2O and nasal masks, 10 (8-12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R(2) = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5-8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. A commentary on this article appears in this issue on page 1209. © 2016 American Academy of Sleep Medicine.

  7. Neuroimaging somatosensory perception and masking.

    PubMed

    Meador, Kimford J; Revill, Kathleen Pirog; Epstein, Charles M; Sathian, K; Loring, David W; Rorden, Chris

    2017-01-08

    The specific cortical and subcortical regions involved in conscious perception and masking are uncertain. This study sought to identify brain areas involved in conscious perception of somatosensory stimuli during a masking task using functional magnetic resonance (fMRI) to contrast perceived vs. non-perceived targets. Electrical trains were delivered to the right index finger for targets and to the left index finger for masks. Target intensities were adjusted to compensate for threshold drift. Sham target trials were given in ~10% of the trials, and target stimuli without masks were delivered in one of the five runs (68 trials/run). When healthy dextral adult volunteers (n=15) perceived right hand targets, greater left- than right-cerebral activations were seen with similar patterns across the parietal cortex, thalamus, insula, claustrum, and midbrain. When targets were not perceived, left/right cerebral activations were similar overall. Directly comparing perceived vs. non-perceived stimuli with similar intensities in the masking task revealed predominate activations contralateral to masks. In contrast, activations were greater contralateral to perceived targets if no masks were given or if masks were given but target stimulus intensities were greater for perceived than non-perceived targets. The novel aspects of this study include: 1) imaging of cortical and subcortical activations in healthy humans related to somatosensory perception during a masking task, 2) activations in the human thalamus and midbrain related to perception of stimuli compared to matched non-perceived stimuli, and 3) similar left/right cerebral activation patterns across cortical, thalamic and midbrain structures suggesting interactions across all three levels during conscious perception in humans. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. Direct Mask Overlay Inspection

    NASA Astrophysics Data System (ADS)

    Hsia, Liang-Choo; Su, Lo-Soun

    1983-11-01

    In this paper, we present a mask inspection methodology and procedure that involves direct X-Y measurements. A group of dice is selected for overlay measurement; four measurement targets were laid out in the kerf of each die. The measured coordinates are then fit-ted to either a "historical" grid, which reflects the individual tool bias, or to an ideal grid squares fashion. Measurements are done using a Nikon X-Y laser interferometric measurement system, which provides a reference grid. The stability of the measurement system is essential. We then apply appropriate statistics to the residual after the fit to determine the overlay performance. Statistical methods play an important role in the product disposition. The acceptance criterion is, however, a compromise between the cost for mask making and the final device yield. In order to satisfy the demand on mask houses for quality of masks and high volume, mixing lithographic tools in mask making has become more popular, in particular, mixing optical and E-beam tools. In this paper, we also discuss the inspection procedure for mixing different lithographic tools.

  9. Coded mask telescopes for X-ray astronomy

    NASA Astrophysics Data System (ADS)

    Skinner, G. K.; Ponman, T. J.

    1987-04-01

    The principle of the coded mask techniques are discussed together with the methods of image reconstruction. The coded mask telescopes built at the University of Birmingham, including the SL 1501 coded mask X-ray telescope flown on the Skylark rocket and the Coded Mask Imaging Spectrometer (COMIS) projected for the Soviet space station Mir, are described. A diagram of a coded mask telescope and some designs for coded masks are included.

  10. Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

    NASA Astrophysics Data System (ADS)

    Kojima, Yosuke; Shirasaki, Masanori; Chiba, Kazuaki; Tanaka, Tsuyoshi; Inazuki, Yukio; Yoshikawa, Hiroki; Okazaki, Satoshi; Iwase, Kazuya; Ishikawa, Kiichi; Ozawa, Ken

    2007-05-01

    For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

  11. Central masking with bilateral cochlear implants

    PubMed Central

    Lin, Payton; Lu, Thomas; Zeng, Fan-Gang

    2013-01-01

    Across bilateral cochlear implants, contralateral threshold shift has been investigated as a function of electrode difference between the masking and probe electrodes. For contralateral electric masking, maximum threshold elevations occurred when the position of the masker and probe electrode was approximately place-matched across ears. The amount of masking diminished with increasing masker-probe electrode separation. Place-dependent masking occurred in both sequentially implanted ears, and was not affected by the masker intensity or the time delay from the masker onset. When compared to previous contralateral masking results in normal hearing, the similarities between place-dependent central masking patterns suggest comparable mechanisms of overlapping excitation in the central auditory nervous system. PMID:23363113

  12. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    PubMed

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  13. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    PubMed

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants <33 weeks gestational age in the delivery room. Infants born at the Royal Alexandra Hospital from April to September 2013 at <33 weeks gestational age who received mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  14. Reduced OSM for Long Duration Targets: Individuation or Items Loaded into VSTM?

    ERIC Educational Resources Information Center

    Guest, Duncan; Gellatly, Angus; Pilling, Michael

    2012-01-01

    Typical studies of object substitution masking (OSM) employ a briefly presented search array. The target item is indicated by a cue/mask that surrounds but does not overlap the target and, compared to a common offset control condition, report of the target is reduced when the mask remains present after target offset. Given how little observers are…

  15. History and future of mask making

    NASA Astrophysics Data System (ADS)

    Levy, Ken L.

    1996-12-01

    The history of the mask industry has three main periods, which I call the Classical Period, the Dark Ages, and the Renaissance, by analogy with those periods in the history of Western Europe. During the Classical Period, people developed 1X masks and the technology to make them. In the Dark Ages, people exploited the equipment developed during the Classical Period to make 5X reduction reticle, ending the nobility of mask making. In today's Renaissance of mask making, a proliferation of mask types is requiring a rebirth of innovation and creativity. The Renaissance resembles the Classical Period: masks are once again strategic, and technological capability is once again the driver. Meanwhile, the mask industry is carrying forward the productivity and efficiency gains it achieved during the Dark Ages. We must create a new business and economic model to support these changes in the characteristics of the marketplace.

  16. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  17. The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data

    NASA Astrophysics Data System (ADS)

    Xu, Zhe; Peng, M. G.; Tu, Lin Hsin; Lee, Cedric; Lin, J. K.; Jan, Jian Feng; Yin, Alb; Wang, Pei

    2006-10-01

    Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy. EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation. The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.

  18. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    PubMed

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  19. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  20. Computing Challenges in Coded Mask Imaging

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  1. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    PubMed

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  2. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  3. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2008-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction temperature and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  4. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  5. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    PubMed

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative

  6. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  7. Conceptual design of a hybrid parallel mechanism for mask exchanging of TMT

    NASA Astrophysics Data System (ADS)

    Wang, Jianping; Zhou, Hongfei; Li, Kexuan; Zhou, Zengxiang; Zhai, Chao

    2015-10-01

    Mask exchange system is an important part of the Multi-Object Broadband Imaging Echellette (MOBIE) on the Thirty Meter Telescope (TMT). To solve the problem of stiffness changing with the gravity vector of the mask exchange system in the MOBIE, the hybrid parallel mechanism design method was introduced into the whole research. By using the characteristics of high stiffness and precision of parallel structure, combined with large moving range of serial structure, a conceptual design of a hybrid parallel mask exchange system based on 3-RPS parallel mechanism was presented. According to the position requirements of the MOBIE, the SolidWorks structure model of the hybrid parallel mask exchange robot was established and the appropriate installation position without interfering with the related components and light path in the MOBIE of TMT was analyzed. Simulation results in SolidWorks suggested that 3-RPS parallel platform had good stiffness property in different gravity vector directions. Furthermore, through the research of the mechanism theory, the inverse kinematics solution of the 3-RPS parallel platform was calculated and the mathematical relationship between the attitude angle of moving platform and the angle of ball-hinges on the moving platform was established, in order to analyze the attitude adjustment ability of the hybrid parallel mask exchange robot. The proposed conceptual design has some guiding significance for the design of mask exchange system of the MOBIE on TMT.

  8. Metacontrast masking is processed before grapheme-color synesthesia.

    PubMed

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  9. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  10. Temporal masking of multidimensional tactual stimuli

    NASA Astrophysics Data System (ADS)

    Tan, Hong Z.; Reed, Charlotte M.; Delhorne, Lorraine A.; Durlach, Nathaniel I.; Wan, Natasha

    2003-12-01

    Experiments were performed to examine the temporal masking properties of multidimensional tactual stimulation patterns delivered to the left index finger. The stimuli consisted of fixed-frequency sinusoidal motions in the kinesthetic (2 or 4 Hz), midfrequency (30 Hz), and cutaneous (300 Hz) frequency ranges. Seven stimuli composed of one, two, or three spectral components were constructed at each of two signal durations (125 or 250 ms). Subjects identified target signals under three different masking paradigms: forward masking, backward masking, and sandwiched masking (in which the target is presented between two maskers). Target identification was studied as a function of interstimulus interval (ISI) in the range 0 to 640 ms. For both signal durations, percent-correct scores increased with ISI for each of the three masking paradigms. Scores with forward and backward masking were similar and significantly higher than scores obtained with sandwiched masking. Analyses of error trials revealed that subjects showed a tendency to respond, more often than chance, with the masker, the composite of the masker and target, or the combination of the target and a component of the masker. The current results are compared to those obtained in previous studies of tactual recognition masking with brief cutaneous spatial patterns. The results are also discussed in terms of estimates of information transfer (IT) and IT rate, are compared to previous studies with multidimensional tactual signals, and are related to research on the development of tactual aids for the deaf.

  11. An interactive tool for gamut masking

    NASA Astrophysics Data System (ADS)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  12. Accurate mask-based spatially regularized correlation filter for visual tracking

    NASA Astrophysics Data System (ADS)

    Gu, Xiaodong; Xu, Xinping

    2017-01-01

    Recently, discriminative correlation filter (DCF)-based trackers have achieved extremely successful results in many competitions and benchmarks. These methods utilize a periodic assumption of the training samples to efficiently learn a classifier. However, this assumption will produce unwanted boundary effects, which severely degrade the tracking performance. Correlation filters with limited boundaries and spatially regularized DCFs were proposed to reduce boundary effects. However, their methods used the fixed mask or predesigned weights function, respectively, which was unsuitable for large appearance variation. We propose an accurate mask-based spatially regularized correlation filter for visual tracking. Our augmented objective can reduce the boundary effect even in large appearance variation. In our algorithm, the masking matrix is converted into the regularized function that acts on the correlation filter in frequency domain, which makes the algorithm fast convergence. Our online tracking algorithm performs favorably against state-of-the-art trackers on OTB-2015 Benchmark in terms of efficiency, accuracy, and robustness.

  13. Top-down preparation modulates visual categorization but not subjective awareness of objects presented in natural backgrounds.

    PubMed

    Koivisto, Mika; Kahila, Ella

    2017-04-01

    Top-down processes are widely assumed to be essential in visual awareness, subjective experience of seeing. However, previous studies have not tried to separate directly the roles of different types of top-down influences in visual awareness. We studied the effects of top-down preparation and object substitution masking (OSM) on visual awareness during categorization of objects presented in natural scene backgrounds. The results showed that preparation facilitated categorization but did not influence visual awareness. OSM reduced visual awareness and impaired categorization. The dissociations between the effects of preparation and OSM on visual awareness and on categorization imply that they influence at different stages of cognitive processing. We propose that preparation influences at the top of the visual hierarchy, whereas OSM interferes with processes occurring at lower levels of the hierarchy. These lower level processes play an essential role in visual awareness. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Relaxor-like ferroelectric behaviour favoured by short-range B-site ordering in 10% Ba{sup 2+} substituted MgFe{sub 2}O{sub 4}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chithra Lekha, P.; Ramesh, G.; Revathi, V.

    2014-05-01

    Graphical abstract: - Highlights: • Mechanism driving polarization in MgFe{sub 2}O{sub 4} is the Maxwell–Wagner polarization. • But Raman studies confirm the existence of local P4{sub 1}22/P4{sub 3}22 symmetry in MgFe{sub 2}O{sub 4}. • Ba{sup 2+} substitution increases ferroelectric ordering, ΔT{sub m} span, and masks electronic contribution. - Abstract: Using the molten salt method, pristine and Ba{sup 2+} substituted MgFe{sub 2}O{sub 4} are prepared. The relaxor-like behaviour observed in the dielectric dispersion indicates the existence of B-site short-range ordering with the local P4{sub 1}22/P4{sub 3}22 symmetry which is confirmed by the Raman spectroscopy. The paper further analyses the origin ofmore » polarization using Maxwell–Wagner fit and Nyquist plot. This work suggests a possible way to increase the relaxor-like ferroelectric ordering, larger span of relaxation temperature (ΔT{sub m}) and the effective masking of electronic contribution by the substitution of Ba{sup 2+} ion.« less

  15. Effectiveness of surgical masks against influenza bioaerosols.

    PubMed

    Makison Booth, C; Clayton, M; Crook, B; Gawn, J M

    2013-05-01

    Most surgical masks are not certified for use as respiratory protective devices (RPDs). In the event of an influenza pandemic, logistical and practical implications such as storage and fit testing will restrict the use of RPDs to certain high-risk procedures that are likely to generate large amounts of infectious bioaerosols. Studies have shown that in such circumstances increased numbers of surgical masks are worn, but the protection afforded to the wearer by a surgical mask against infectious aerosols is not well understood. To develop and apply a method for assessing the protection afforded by surgical masks against a bioaerosol challenge. A dummy test head attached to a breathing simulator was used to test the performance of surgical masks against a viral challenge. Several designs of surgical masks commonly used in the UK healthcare sector were evaluated by measuring levels of inert particles and live aerosolised influenza virus in the air, from in front of and behind each mask. Live influenza virus was measurable from the air behind all surgical masks tested. The data indicate that a surgical mask will reduce exposure to aerosolised infectious influenza virus; reductions ranged from 1.1- to 55-fold (average 6-fold), depending on the design of the mask. We describe a workable method to evaluate the protective efficacy of surgical masks and RPDs against a relevant aerosolised biological challenge. The results demonstrated limitations of surgical masks in this context, although they are to some extent protective. Crown Copyright © 2013. Published by Elsevier Ltd. All rights reserved.

  16. Flavored Anesthetic Masks for Inhalational Induction in Children.

    PubMed

    Gupta, Aakriti; Mathew, Preethy Joseph; Bhardwaj, Neerja

    2017-10-01

    To evaluate the clinical efficacy of masking the odor of inhalational agents using fruit flavors on the anxiety behavior and compliance of children for inhalational induction. A prospective randomized double blind, placebo controlled study was conducted on 60 unpremedicated children in the age group of 4-12 y. Thirty children received anesthetic masks smeared with a flavor of child's choice while the other 30 children were induced using masks without flavor. Anxiety was assessed using modified Yale Pre-operative Anxiety Scale (mYPAS) in the pre-op room and during inhalational induction. Mask acceptance was graded by Induction Compliance Checklist (ICC). The cost-effectiveness of flavored anesthetic masks was compared to that of commercially available pre-scented masks. The baseline anxiety in the two groups was comparable. The number of children demonstrating high levels of anxiety at anesthetic induction was similar in flavored and non-flavored mask groups (p 0.45). The compliance to mask induction was also equally good (p 0.99). The authors found significant difference in the cost of flavored mask (INR 56.45 per mask) as compared to commercially available pre-scented masks (INR 660 per mask). The authors observed a placebo effect that reduced the pre-op anxiety in the control group which probably made the quality of induction equivalent with flavored and non-flavored masks. Therefore, using a flavored anesthetic mask is cost-effective than using a commercially available pre-scented mask.

  17. Brightness masking is modulated by disparity structure.

    PubMed

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  18. Oronasal masks require higher levels of positive airway pressure than nasal masks to treat obstructive sleep apnea.

    PubMed

    Bettinzoli, Michela; Taranto-Montemurro, Luigi; Messineo, Ludovico; Corda, Luciano; Redolfi, Stefania; Ferliga, Mauro; Tantucci, Claudio

    2014-12-01

    The purpose of this study was to compare the therapeutic pressure determined by an automated CPAP device (AutoCPAP) during the titration period, between nasal and oronasal mask and the residual apnea-hypopnea index (AHI) on a subsequent poligraphy performed with the established therapeutic CPAP. As a retrospective study, 109 subjects with moderate and severe obstructive sleep apnea-hypopnea (apnea-hypopnea index≥15 events/h) were studied. CPAP titration was performed using an auto-titrating device. There was significant difference in the mean pressure delivered with autoCPAP between the group of patients using the nasal mask (mean 10.0 cmH2O±2.0 SD) and the group which used the oronasal mask (mean 11.2 cmH2O±2.1) (p<0.05). Residual apneas were lower when using a nasal mask: average AHI of 2.6±2.5 compared to 4.5±4.0 using an oronasal mask (p<0.05). On multivariate analysis, the only independent predictor of the level of therapeutic pressure of CPAP was the type of mask used (r=0.245, p 0.008). Therapeutic CPAP level for OSAH is higher when administered via oronasal mask, leaving more residual events. These findings suggest that nasal mask should be the first choice for OSAH treatment.

  19. Shadows alter facial expressions of Noh masks.

    PubMed

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety)", which highly appreciates subtle emotional expressions in the darkness.

  20. Equivalence of Nasal and Oronasal Masks during Initial CPAP Titration for Obstructive Sleep Apnea Syndrome

    PubMed Central

    Teo, Ming; Amis, Terence; Lee, Sharon; Falland, Karina; Lambert, Stephen; Wheatley, John

    2011-01-01

    Study Objective: Continuous positive airway pressure (CPAP) titration studies are commonly performed using a nasal mask but some patients may prefer a full-face or oronasal mask. There is little evidence regarding the equivalence of different mask interfaces used to initiate treatment. We hypothesized that oronasal breathing when using an oronasal mask increases upper airway collapsibility and that a higher pressure may be required to maintain airway patency. We also assessed patient preferences for the 2 mask interfaces. Design: Prospective, randomized, cross-over design with 2 consecutive CPAP titration nights. Setting: Accredited laboratory in a university hospital. Patients or Participants: Twenty-four treatment-naive subjects with obstructive sleep apnea syndrome and respiratory disturbance index of greater than 15 events per hour. Interventions: CPAP titration was performed using an auto-titrating machine with randomization to a nasal or oronasal mask, followed by a second titration night using the alternate mask style. Measurements and Results: There was no significant difference in the mean pressures determined between nasal and oronasal masks, although 43% of subjects had nasal-to-oronasal mask-pressure differences of 2 cm H2O or more. Residual respiratory events, arousals, and measured leak were all greater with the oronasal mask. Seventy-nine percent of subjects preferred the nasal mask. Conclusions: Patients with obstructive sleep apnea syndrome can generally switch between nasal and oronasal masks without changing machine pressure, although there are individual differences that may be clinically significant. Measured leak is greater with the oronasal mask. Most patients with obstructive sleep apnea syndrome prefer a nasal mask as the interface for initiation of CPAP. Clinical Trial Registration: Australian New Zealand Clinical Trials Registry (ANZCTR). ACTRN: ACTRN12611000243910. URL: http://www.ANZCTR.org.au/ACTRN12611000243910.aspx Citation: Teo M

  1. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  2. The effect of integration masking on visual processing in perceptual categorization.

    PubMed

    Hélie, Sébastien

    2017-08-01

    Learning to recognize and categorize objects is an essential cognitive skill allowing animals to function in the world. However, animals rarely have access to a canonical view of an object in an uncluttered environment. Hence, it is essential to study categorization under noisy, degraded conditions. In this article, we explore how the brain processes categorization stimuli in low signal-to-noise conditions using multivariate pattern analysis. We used an integration masking paradigm with mask opacity of 50%, 60%, and 70% inside a magnetic resonance imaging scanner. The results show that mask opacity affects blood-oxygen-level dependent (BOLD) signal in visual processing areas (V1, V2, V3, and V4) but does not affect the BOLD signal in brain areas traditionally associated with categorization (prefrontal cortex, striatum, hippocampus). This suggests that when a stimulus is difficult to extract from its background (e.g., low signal-to-noise ratio), the visual system extracts the stimulus and that activity in areas typically associated with categorization are not affected by the difficulty level of the visual conditions. We conclude with implications of this result for research on visual attention, categorization, and the integration of these fields. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Are Masking-Based Models of Risk Useful?

    PubMed

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  4. The Sensitivity of Coded Mask Telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald K.

    2008-01-01

    Simple formulae are often used to estimate the sensitivity of coded mask X-ray or gamma-ray telescopes, but t,hese are strictly only applicable if a number of basic assumptions are met. Complications arise, for example, if a grid structure is used to support the mask elements, if the detector spatial resolution is not good enough to completely resolve all the detail in the shadow of the mask or if any of a number of other simplifying conditions are not fulfilled. We derive more general expressions for the Poisson-noise-limited sensitivity of astronomical telescopes using the coded mask technique, noting explicitly in what circumstances they are applicable. The emphasis is on using nomenclature and techniques that result in simple and revealing results. Where no convenient expression is available a procedure is given which allows the calculation of the sensitivity. We consider certain aspects of the optimisation of the design of a coded mask telescope and show that when the detector spatial resolution and the mask to detector separation are fixed, the best source location accuracy is obtained when the mask elements are equal in size to the detector pixels.

  5. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    PubMed Central

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  6. Instantaneous phase-shifting Fizeau interferometry with high-speed pixelated phase-mask camera

    NASA Astrophysics Data System (ADS)

    Yatagai, Toyohiko; Jackin, Boaz Jessie; Ono, Akira; Kiyohara, Kosuke; Noguchi, Masato; Yoshii, Minoru; Kiyohara, Motosuke; Niwa, Hayato; Ikuo, Kazuyuki; Onuma, Takashi

    2015-08-01

    A Fizeou interferometer with instantaneous phase-shifting ability using a Wollaston prism is designed. to measure dynamic phase change of objects, a high-speed video camera of 10-5s of shutter speed is used with a pixelated phase-mask of 1024 × 1024 elements. The light source used is a laser of wavelength 532 nm which is split into orthogonal polarization states by passing through a Wollaston prism. By adjusting the tilt of the reference surface it is possible to make the reference and object beam with orthogonal polarizations states to coincide and interfere. Then the pixelated phase-mask camera calculate the phase changes and hence the optical path length difference. Vibration of speakers and turbulence of air flow were successfully measured in 7,000 frames/sec.

  7. Polarization masks: concept and initial assessment

    NASA Astrophysics Data System (ADS)

    Lam, Michael; Neureuther, Andrew R.

    2002-07-01

    Polarization from photomasks can be used as a new lever to improve lithographic performance in both binary and phase-shifting masks (PSMs). While PSMs manipulate the phase of light to control the temporal addition of electric field vectors, polarization masks manipulate the vector direction of electric field vectors to control the spatial addition of electric field components. This paper explores the theoretical possibilities of polarization masks, showing that it is possible to use bar structures within openings on the mask itself to polarize incident radiation. Rigorous electromagnetic scattering simulations using TEMPEST and imaging with SPLAT are used to give an initial assessment on the functionality of polarization masks, discussing the polarization quality and throughputs achieved with the masks. Openings between 1/8 and 1/3 of a wavelength provide both a low polarization ratio and good transmission. A final overall throughput of 33% - 40% is achievable, corresponding to a dose hit of 2.5x - 3x.

  8. Serial robot for the trajectory optimization and error compensation of TMT mask exchange system

    NASA Astrophysics Data System (ADS)

    Wang, Jianping; Zhang, Feifan; Zhou, Zengxiang; Zhai, Chao

    2015-10-01

    Mask exchange system is the main part of Multi-Object Broadband Imaging Echellette (MOBIE) on the Thirty Meter Telescope (TMT). According to the conception of the TMT mask exchange system, the pre-design was introduced in the paper which was based on IRB 140 robot. The stiffness model of IRB 140 in SolidWorks was analyzed under different gravity vectors for further error compensation. In order to find the right location and path planning, the robot and the mask cassette model was imported into MOBIE model to perform different schemes simulation. And obtained the initial installation position and routing. Based on these initial parameters, IRB 140 robot was operated to simulate the path and estimate the mask exchange time. Meanwhile, MATLAB and ADAMS software were used to perform simulation analysis and optimize the route to acquire the kinematics parameters and compare with the experiment results. After simulation and experimental research mentioned in the paper, the theoretical reference was acquired which could high efficient improve the structure of the mask exchange system parameters optimization of the path and precision of the robot position.

  9. Shadows Alter Facial Expressions of Noh Masks

    PubMed Central

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  10. 21 CFR 868.5570 - Nonrebreathing mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  11. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  12. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2011-07-01 2011-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  13. Masked Proportional Routing

    NASA Technical Reports Server (NTRS)

    Wolpert, David

    2004-01-01

    Masked proportional routing is an improved procedure for choosing links between adjacent nodes of a network for the purpose of transporting an entity from a source node ("A") to a destination node ("B"). The entity could be, for example, a physical object to be shipped, in which case the nodes would represent waypoints and the links would represent roads or other paths between waypoints. For another example, the entity could be a message or packet of data to be transmitted from A to B, in which case the nodes could be computer-controlled switching stations and the links could be communication channels between the stations. In yet another example, an entity could represent a workpiece while links and nodes could represent, respectively, manufacturing processes and stages in the progress of the workpiece towards a finished product. More generally, the nodes could represent states of an entity and the links could represent allowed transitions of the entity. The purpose of masked proportional routing and of related prior routing procedures is to schedule transitions of entities from their initial states ("A") to their final states ("B") in such a manner as to minimize a cost or to attain some other measure of optimality or efficiency. Masked proportional routing follows a distributed (in the sense of decentralized) approach to probabilistically or deterministically choosing the links. It was developed to satisfy a need for a routing procedure that 1. Does not always choose the same link(s), even for two instances characterized by identical estimated values of associated cost functions; 2. Enables a graceful transition from one set of links to another set of links as the circumstances of operation of the network change over time; 3. Is preferably amenable to separate optimization of different portions of the network; 4. Is preferably usable in a network in which some of the routing decisions are made by one or more other procedure(s); 5. Preferably does not cause an

  14. Orientation tuning of contrast masking caused by motion streaks.

    PubMed

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  15. The Meaning behind the Mask. [Lesson Plan].

    ERIC Educational Resources Information Center

    National Endowment for the Humanities (NFAH), Washington, DC.

    In this lesson plan, students explore the cultural significance of masks. After exploring the world of African masks and storytelling, they create masks that tell stories of their own. In these six lessons, students first recall contexts in which masks are worn in the United States, and then discuss their use in stories. Students then investigate…

  16. 21 CFR 868.5590 - Scavenging mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  17. 21 CFR 868.5600 - Venturi mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  18. Inadequate face mask ventilation--clinical applications.

    PubMed

    Goranović, Tatjana; Milić, Morena; Holjevac, Jadranka Katancić; Maldini, Branka; Sakić, Katarina

    2010-09-01

    Face mask ventilation is a life saving technique. This article will review aetiology and patophysiological consequences of inadequate mask ventilation. The main focus will be on circulatory changes during induction of anesthesia, before and in a short period after intubation that could be attributed to inadequate mask ventilation in humans.

  19. Partially Transparent Petaled Mask/Occulter for Visible-Range Spectrum

    NASA Technical Reports Server (NTRS)

    Shiri, Ron Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of the Poisson Spot, also known as the spot of Arago, has been known since the 18th century. This spot is the consequence of constructive interference of light diffracted by the edge of the obstacle where the central position can be determined by symmetry of the object. More recently, many NASA missions require the suppression of this spot in the visible range. For instance, the exoplanetary missions involving space telescopes require telescopes to image the planetary bodies orbiting central stars. For this purpose, the starlight needs to be suppressed by several orders of magnitude in order to image the reflected light from the orbiting planet. For the Earth-like planets, this suppression needs to be at least ten orders of magnitude. One of the common methods of suppression involves sharp binary petaled occulters envisioned to be placed many thousands of miles away from the telescope blocking the starlight. The suppression of the Poisson Spot by binary sharp petal tips can be problematic when the thickness of the tips becomes smaller than the wavelength of the incident beam. First they are difficult to manufacture and also it invalidates the laws of physical optics. The proposed partially transparent petaled masks/occulters compensate for this sharpness with transparency along the surface of the petals. Depending on the geometry of the problem, this transparency can be customized such that only a small region of the petal is transparent and the remaining of the surface is opaque. This feature allows easy fabrication of this type of occultation device either as a mask or occulter. A partially transparent petaled mask/ occulter has been designed for the visible spectrum range. The mask/occulter can suppress the intensity along the optical axis up to ten orders of magnitude. The design process can tailor the mask shape, number of petals, and transparency level to the near-field and farfield diffraction region. The mask/occulter can be used in space

  20. Marquardt's Phi mask: pitfalls of relying on fashion models and the golden ratio to describe a beautiful face.

    PubMed

    Holland, E

    2008-03-01

    Stephen Marquardt has derived a mask from the golden ratio that he claims represents the "ideal" facial archetype. Many have found his mask convincing, including cosmetic surgeons. However, Marquardt's mask is associated with numerous problems. The method used to examine goodness of fit with the proportions in the mask is faulty. The mask is ill-suited for non-European populations, especially sub-Saharan Africans and East Asians. The mask also appears to approximate the face shape of masculinized European women. Given that the general public strongly and overwhelmingly prefers above average facial femininity in women, white women seeking aesthetic facial surgery would be ill-advised to aim toward a better fit with Marquardt's mask. This article aims to show the proper way of assessing goodness of fit with Marquardt's mask, to address the shape of the mask as it pertains to masculinity-femininity, and to discuss the broader issue of an objective assessment of facial attractiveness. Generalized Procrustes analysis is used to show how goodness of fit with Marquardt's mask can be assessed. Thin-plate spline analysis is used to illustrate visually how sample faces, including northwestern European averages, differ from Marquardt's mask. Marquardt's mask best describes the facial proportions of masculinized white women as seen in fashion models. Marquardt's mask does not appear to describe "ideal" face shape even for white women because its proportions are inconsistent with the optimal preferences of most people, especially with regard to femininity.

  1. Fast mask writers: technology options and considerations

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  2. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  3. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  4. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  5. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  6. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  7. Type of Mask May Impact on Continuous Positive Airway Pressure Adherence in Apneic Patients

    PubMed Central

    Borel, Jean Christian; Tamisier, Renaud; Dias-Domingos, Sonia; Sapene, Marc; Martin, Francis; Stach, Bruno; Grillet, Yves; Muir, Jean François; Levy, Patrick; Series, Frederic; Pepin, Jean-Louis

    2013-01-01

    Rationale In obstructive sleep apnea patients (OSA), continuous positive airway pressure (CPAP) adherence is crucial to improve symptoms and cardiometabolic outcomes. The choice of mask may influence CPAP adherence but this issue has never been addressed properly. Objective To evaluate the impact of nasal pillows, nasal and oronasal masks on CPAP adherence in a cohort of OSA. Methods Newly CPAP treated OSA participating in “Observatoire Sommeil de la Fédération de Pneumologie”, a French national prospective cohort, were included between March 2009 and December 2011. Anthropometric data, medical history, OSA severity, sleepiness, depressive status, treatment modalities (auto-CPAP versus fixed pressure, pressure level, interface type, use of humidifiers) and CPAP-related side effects were included in multivariate analysis to determine independent variables associated with CPAP adherence. Results 2311 OSA (age = 57(12) years, apnea+hypopnea index = 41(21)/h, 29% female) were included. Nasal masks, oronasal masks and nasal pillows were used by 62.4, 26.2 and 11.4% of the patients, respectively. In univariate analysis, oronasal masks and nasal pillows were associated with higher risk of CPAP non-adherence. CPAP non-adherence was also associated with younger age, female gender, mild OSA, gastroesophageal reflux, depression status, low effective pressure and CPAP-related side effects. In multivariate analysis, CPAP non-adherence was associated with the use of oronasal masks (OR = 2.0; 95%CI = 1.6; 2.5), depression, low effective pressure, and side effects. Conclusion As oronasal masks negatively impact on CPAP adherence, a nasal mask should be preferred as the first option. Patients on oronasal masks should be carefully followed. PMID:23691209

  8. Privacy Protection by Masking Moving Objects for Security Cameras

    NASA Astrophysics Data System (ADS)

    Yabuta, Kenichi; Kitazawa, Hitoshi; Tanaka, Toshihisa

    Because of an increasing number of security cameras, it is crucial to establish a system that protects the privacy of objects in the recorded images. To this end, we propose a framework of image processing and data hiding for security monitoring and privacy protection. First, we state the requirements of the proposed monitoring systems and suggest possible implementation that satisfies those requirements. The underlying concept of our proposed framework is as follows: (1) in the recorded images, the objects whose privacy should be protected are deteriorated by appropriate image processing; (2) the original objects are encrypted and watermarked into the output image, which is encoded using an image compression standard; (3) real-time processing is performed such that no future frame is required to generate on output bitstream. It should be noted that in this framework, anyone can observe the decoded image that includes the deteriorated objects that are unrecognizable or invisible. On the other hand, for crime investigation, this system allows a limited number of users to observe the original objects by using a special viewer that decrypts and decodes the watermarked objects with a decoding password. Moreover, the special viewer allows us to select the objects to be decoded and displayed. We provide an implementation example, experimental results, and performance evaluations to support our proposed framework.

  9. Enhancement in Informational Masking

    ERIC Educational Resources Information Center

    Cao, Xiang; Richards, Virginia M.

    2012-01-01

    Purpose: The ability to detect a tone added to a random masker improves when a preview of the masker is provided. In 2 experiments, the authors explored the role that perceptual organization plays in this release from masking. Method: Detection thresholds were measured in informational masking studies. The maskers were drawn at random prior to…

  10. Simulation-based MDP verification for leading-edge masks

    NASA Astrophysics Data System (ADS)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  11. The impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners (L).

    PubMed

    Kokkinakis, Kostas; Loizou, Philipos C

    2011-09-01

    The purpose of this study is to determine the relative impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners. Sentences were presented in two conditions wherein reverberant consonant segments were replaced with clean consonants, and in another condition wherein reverberant vowel segments were replaced with clean vowels. The underlying assumption is that self-masking effects would dominate in the first condition, whereas overlap-masking effects would dominate in the second condition. Results indicated that the degradation of speech intelligibility in reverberant conditions is caused primarily by self-masking effects that give rise to flattened formant transitions. © 2011 Acoustical Society of America

  12. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  13. Actinic imaging and evaluation of phase structures on EUV lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mochi, Iacopo; Goldberg, Kenneth; Huh, Sungmin

    2010-09-28

    The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of themore » object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.« less

  14. Symbolic Play Connects to Language through Visual Object Recognition

    ERIC Educational Resources Information Center

    Smith, Linda B.; Jones, Susan S.

    2011-01-01

    Object substitutions in play (e.g. using a box as a car) are strongly linked to language learning and their absence is a diagnostic marker of language delay. Classic accounts posit a symbolic function that underlies both words and object substitutions. Here we show that object substitutions depend on developmental changes in visual object…

  15. Reinforced Masks for Ion Plating of Solar Cells

    NASA Technical Reports Server (NTRS)

    Conley, W. R.; Swick, E. G.; Volkers, J. C.

    1987-01-01

    Proposed mask for ion plating of surface electrodes on silicon solar cells reinforced to hold shape better during handling. Fabrication process for improved mask similar to conventional mask. Additional cuts and bends made in wide diametral strip to form bridges between pairs of mask fingers facing each other across this strip. Bridges high enough not to act as masks so entire strip area plated.

  16. Evaluation of a new pediatric positive airway pressure mask.

    PubMed

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  17. Hot melt extrusion of ion-exchange resin for taste masking.

    PubMed

    Tan, David Cheng Thiam; Ong, Jeremy Jianming; Gokhale, Rajeev; Heng, Paul Wan Sia

    2018-05-30

    Taste masking is important for some unpleasant tasting bioactives in oral dosage forms. Among many methods available for taste-masking, use of ion-exchange resin (IER) holds promise. IER combined with hot melt extrusion (HME) may offer additional advantages over solvent methods. IER provides taste masking by complexing with the drug ions and preventing drug dissolution in the mouth. Drug-IER complexation approaches described in literatures are mainly based either on batch processing or column eluting. These methods of drug-IER complexation have obvious limitations such as high solvent volume requirements, multiprocessing steps and extended processing time. Thus, the objective of this study was to develop a single-step, solvent-free, continuous HME process for complexation of drug-IER. The screening study evaluated drug to IER ratio, types of IER and drug complexation methods. In the screening study, a potassium salt of a weakly acidic carboxylate-based cationic IER was found suitable for the HME method. Thereafter, optimization study was conducted by varying HME process parameters such as screw speed, extrusion temperature and drug to IER ratio. It was observed that extrusion temperature and drug to IER ratio are imperative in drug-IER complexation through HME. In summary, this study has established the feasibility of a continuous complexation method for drug to IER using HME for taste masking. Copyright © 2018 Elsevier B.V. All rights reserved.

  18. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    PubMed Central

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open

  19. EUVL mask patterning with blanks from commercial suppliers

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Nagpal, Rajesh; Shu, Emily Y.; Li, Chaoyang; Qu, Ping; Chen, Frederick T.

    2004-12-01

    Extreme Ultraviolet Lithography (EUVL) reflective mask blank development includes low thermal expansion material fabrication, mask substrate finishing, reflective multi-layer (ML) and capping layer deposition, buffer (optional)/absorber stack deposition, EUV specific metrology, and ML defect inspection. In the past, we have obtained blanks deposited with various layer stacks from several vendors. Some of them are not commercial suppliers. As a result, the blank and patterned mask qualities are difficult to maintain and improve. In this paper we will present the evaluation results of the EUVL mask pattering processes with the complete EUVL mask blanks supplied by the commercial blank supplier. The EUVL mask blanks used in this study consist of either quartz or ULE substrates which is a type of low thermal expansion material (LTEM), 40 pairs of molybdenum/silicon (Mo/Si) ML layer, thin ruthenium (Ru) capping layer, tantalum boron nitride (TaBN) absorber, and chrome (Cr) backside coating. No buffer layer is used. Our study includes the EUVL mask blank characterization, patterned EUVL mask characterization, and the final patterned EUVL mask flatness evaluation.

  20. Anticipating and controlling mask costs within EDA physical design

    NASA Astrophysics Data System (ADS)

    Rieger, Michael L.; Mayhew, Jeffrey P.; Melvin, Lawrence S.; Lugg, Robert M.; Beale, Daniel F.

    2003-08-01

    For low k1 lithography, more aggressive OPC is being applied to critical layers, and the number of mask layers with OPC treatments is growing rapidly. The 130 nm, process node required, on average, 8 layers containing rules- or model-based OPC. The 90 nm node will have 16 OPC layers, of which 14 layers contain aggressive model-based OPC. This escalation of mask pattern complexity, coupled with the predominant use of vector-scan e-beam (VSB) mask writers contributes to the rising costs of advanced mask sets. Writing times for OPC layouts are several times longer than for traditional layouts, making mask exposure the single largest cost component for OPC masks. Lower mask yields, another key factor in higher mask costs, is also aggravated by OPC. Historical mask set costs are plotted below. The initial cost of a 90 nm-node mask set will exceed one million dollars. The relative impact of mask cost on chip depends on how many total wafers are printed with each mask set. For many foundry chips, where unit production is often well below 1000 wafers, mask costs are larger than wafer processing costs. Further increases in NRE may begin to discourage these suppliers' adoption to 90 nm and smaller nodes. In this paper we will outline several alternatives for reducing mask costs by strategically leveraging dimensional margins. Dimensional specifications for a particular masking layer usually are applied uniformly to all features on that layer. As a practical matter, accuracy requirements on different features in the design may vary widely. Take a polysilicon layer, for example: global tolerance specifications for that layer are driven by the transistor-gate requirements; but these parameters over-specify interconnect feature requirements. By identifying features where dimensional accuracy requirements can be reduced, additional margin can be leveraged to reduce OPC complexity. Mask writing time on VSB tools will drop in nearly direct proportion to reduce shot count. By

  1. Self-masking: Listening during vocalization. Normal hearing.

    PubMed

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  2. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  3. Does technology acceleration equate to mask cost acceleration?

    NASA Astrophysics Data System (ADS)

    Trybula, Walter J.; Grenon, Brian J.

    2003-06-01

    The technology acceleration of the ITRS Roadmap has many implications on both the semiconductor sup-plier community and the manufacturers. INTERNATIONAL SEMATECH has revaluated the projected cost of advanced technology masks. Building on the methodology developed in 1996 for mask costs, this work provided a critical review of mask yields and factors relating to the manufacture of photolithography masks. The impact of the yields provided insight into the learning curve for leading edge mask manufac-turing. The projected mask set cost was surprising, and the ability to provide first and second year cost estimates provided additional information on technology introduction. From this information, the impact of technology acceleration can be added to the projected yields to evaluate the impact on mask costs.

  4. Masks For Deposition Of Aspherical Optical Surfaces

    NASA Technical Reports Server (NTRS)

    Rogers, John R.; Martin, John D.

    1992-01-01

    Masks of improved design developed for use in fabrication of aspherical, rotationally symmetrical surfaces of mirrors, lenses, and lens molds by evaporative deposition onto rotating substrates. In deposition chamber, source and mask aligned with axis of rotation of substrate. Mask shadows source of rotating substrate. Azimuthal opening (as function of radius) in mask proportional to desired thickness (as function of radius) to which material deposited on substrate. Combination of improved masks and modern coating chambers provides optical surfaces comparable or superior to those produced by conventional polishing, computer-controlled polishing, replication from polished molds, and diamond turning, at less cost in material, labor, and capital expense.

  5. Removable pellicle for lithographic mask protection and handling

    DOEpatents

    Klebanoff, Leonard E.; Rader, Daniel J.; Hector, Scott D.; Nguyen, Khanh B.; Stulen, Richard H.

    2002-01-01

    A removable pellicle for a lithographic mask that provides active and robust particle protection, and which utilizes a traditional pellicle and two deployments of thermophoretic protection to keep particles off the mask. The removable pellicle is removably attached via a retaining structure to the mask substrate by magnetic attraction with either contacting or non-contacting magnetic capture mechanisms. The pellicle retaining structural is composed of an anchor piece secured to the mask substrate and a frame member containing a pellicle. The anchor piece and the frame member are in removable contact or non-contact by the magnetic capture or latching mechanism. In one embodiment, the frame member is retained in a floating (non-contact) relation to the anchor piece by magnetic levitation. The frame member and the anchor piece are provided with thermophoretic fins which are interdigitated to prevent particles from reaching the patterned area of the mask. Also, the anchor piece and mask are maintained at a higher temperature than the frame member and pellicle which also prevents particles from reaching the patterned mask area by thermophoresis. The pellicle can be positioned over the mask to provide particle protection during mask handling, inspection, and pumpdown, but which can be removed manually or robotically for lithographic use of the mask.

  6. Masked response priming in expert typists.

    PubMed

    Heinemann, Alexander; Kiesel, Andrea; Pohl, Carsten; Kunde, Wilfried

    2010-03-01

    In masked priming tasks responses are usually faster when prime and target require identical rather than different responses. Previous research has extensively manipulated the nature and number of response-affording stimuli. However, little is known about the constraints of masked priming regarding the nature and number of response alternatives. The present study explored the limits of masked priming in a six-choice reaction time task, where responses from different fingers of both hands were required. We studied participants that were either experts for the type of response (skilled typists) or novices. Masked primes facilitated responding to targets that required the same response, responses with a different finger of the same hand, and with a homologous finger of the other hand. These effects were modulated by expertise. The results show that masked primes facilitate responding especially for experts in the S-R mapping and with increasing similarity of primed and required response.

  7. Reticle writer for next-generation SEMI mask standard: mask handling and exposure

    NASA Astrophysics Data System (ADS)

    Ehrlich, Christian

    1998-12-01

    The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 to 0.15 micrometer technology. The already complex engineering task for the mask tool makers is furthermore complicated by the introduction of the new SEMI reticle standard with a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of more than one kilogram. The production of these advanced masks is already identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit. The mask making e-beam system Leica ZBA320, capable of exposing a 230 mm reticle and featuring the variable shaped beam approach with a 20 kV accelerating voltage has been introduced recently. Now the first results of e-beam exposures with this new type of mask writer are presented. Enhancements form the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enables it to produce reticles designed to support semiconductor fabrication utilizing 180 nanometer design rules, and beyond, with high accuracy and productivity.

  8. Influence of cooling face masks on nasal air conditioning and nasal geometry.

    PubMed

    Lindemann, J; Hoffmann, T; Koehl, A; Walz, E M; Sommer, F

    2017-06-01

    Nasal geometries and temperature of the nasal mucosa are the primary factors affecting nasal air conditioning. Data on intranasal air conditioning after provoking the trigeminal nerve with a cold stimulus simulating the effects of an arctic condition is still missing. The objective was to investigate the influence of skin cooling face masks on nasal air conditioning, mucosal temperature and nasal geometry. Standardized in vivo measurements of intranasal air temperature, humidity and mucosal temperature were performed in 55 healthy subjects at defined detection sites before and after wearing a cooling face mask. Measurements of skin temperature, rhinomanometry and acoustic rhinometry were accomplished. After wearing the face mask the facial skin temperature was significantly reduced. Intranasal air temperature did not change. Absolute humidity and mucosal temperature increased significantly. The acoustic rhinometric results showed a significant increase of the volumes and the cross-sectional areas. There was no change in nasal airflow. Nasal mucosal temperature, humidity of inhaled air, and volume of the anterior nose increased after application of a cold face mask. The response is mediated by the trigeminal nerve. Increased mucosal temperatures as well as changes in nasal geometries seem to guarantee sufficient steady intranasal nasal air conditioning.

  9. Comodulation masking release in an off-frequency masking paradigm.

    PubMed

    Grzeschik, Ramona; Lübken, Björn; Verhey, Jesko L

    2015-08-01

    Detection threshold of a sinusoidal signal masked by a broadband masker is lower when on- and off-frequency masker components have a correlated envelope, compared to a condition in which these masker components have different envelopes. This effect is commonly referred to as comodulation masking release (CMR). The present study investigated if there is a CMR in the absence of a masker component at the signal frequency, i.e., in an off-frequency masking paradigm. Thresholds were measured for a 500-Hz signal in the presence of a broadband masker with a spectral notch at the signal frequency. Thresholds were significantly lower for a (co-)modulated than for an unmodulated masker for all notch widths up to 400 Hz. An additional experiment showed that the particularly large CMR for the no-notch condition was due to the way the modulated masker was generated. No CMR was measured when the notched-noise masker was replaced by a pair of narrowband noises. The addition of more remote masker bands resulted in a CMR of about 3-4 dB. The notched-noise data were predicted on the basis of a modulation-filterbank model. The predictions of the narrowband noise conditions indicated that all mechanisms underlying CMR might still not be fully understood.

  10. Jig Aligns Shadow Mask On CCD

    NASA Technical Reports Server (NTRS)

    Matus, Carlos V.

    1989-01-01

    Alignment viewed through microscope. Alignment jig positions shadow mask on charge-coupled device (CCD) so metal film deposited on it precisely. Allows CCD package to be inserted and removed without disturbing alignment of mask. Holds CCD packages securely and isolates it electrostatically while providing electrical contact to each of its pins. When alignment jig assembled with CCD, used to move mask under micrometer control.

  11. Masked Hypertension is Associated With Cognitive Decline in Geriatric Age-Geriatric MASked Hypertension and Cognition (G-MASH-cog) Study.

    PubMed

    Esme, Mert; Yavuz, Burcu Balam; Yavuz, Bunyamin; Asil, Serkan; Tuna Dogrul, Rana; Sumer, Fatih; Kilic, Mustafa Kemal; Kizilarslanoglu, Muhammet Cemal; Varan, Hacer Dogan; Sagir, Aykut; Balci, Cafer; Halil, Meltem; Cankurtaran, Mustafa

    2018-01-16

    Masked hypertension is described as high ambulatory blood pressure measurements (ABPM) where office blood pressure measurements are normal. Effect of hypertension on cognitive functions is well known. However, the effect of masked hypertension on cognitive functions is unclear. The aim of this study is to examine the relationship between masked hypertension and cognitive functions. One hundred-two normotensive patients admitted to the Geriatric Medicine outpatient clinic were included. Exclusion criteria were hypertension, dementia, major depression, and usage of antihypertensive medication. All patients underwent ABPM procedures and average daytime blood pressure, mean blood pressure at night and the 24-hour average blood pressure measurements were recorded. Comprehensive geriatric assessment tests and neuropsychological tests were administered. The diagnosis of masked hypertension was based on the definitions in the 2013 guideline of the European Society of Cardiology. Forty-four patients (43%) were diagnosed with masked hypertension. Patients with masked hypertension had significantly lower scores on Mini-Mental State Examination (MMSE) test, Quick Mild Cognitive Impairment Test (QMCI), and Categorical Fluency Test than the normotensive patients (p = .011; p = .046; and p = .004; respectively). Montreal Cognitive Assessment Scale test score was lower in masked hypertension, although this was not statistically significant. This study may indicate that geriatric patients with masked hypertension, compared to normotensive patients have decreased cognitive functions. Masked hypertension should be kept in mind while assessing older adults. When masked hypertension is detected, cognitive assessment is essential to diagnose possible cognitive dysfunction at early stage. © The Author 2017. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  12. Assessment of molecular contamination in mask pod

    NASA Astrophysics Data System (ADS)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  13. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  14. Cluster randomised controlled trial to examine medical mask use as source control for people with respiratory illness

    PubMed Central

    MacIntyre, Chandini Raina; Zhang, Yi; Chughtai, Abrar Ahmad; Seale, Holly; Zhang, Daitao; Chu, Yanhui; Zhang, Haiyan; Rahman, Bayzidur; Wang, Quanyi

    2016-01-01

    Rationale Medical masks are commonly used by sick individuals with influenza-like illness (ILI) to prevent spread of infections to others, but clinical efficacy data are absent. Objective Determine whether medical mask use by sick individuals with ILI protects well contacts from related respiratory infections. Setting 6 major hospitals in 2 districts of Beijing, China. Design Cluster randomised controlled trial. Participants 245 index cases with ILI. Intervention Index cases with ILI were randomly allocated to medical mask (n=123) and control arms (n=122). Since 43 index cases in the control arm also used a mask during the study period, an as-treated post hoc analysis was performed by comparing outcomes among household members of index cases who used a mask (mask group) with household members of index cases who did not use a mask (no-mask group). Main outcome measure Primary outcomes measured in household members were clinical respiratory illness, ILI and laboratory-confirmed viral respiratory infection. Results In an intention-to-treat analysis, rates of clinical respiratory illness (relative risk (RR) 0.61, 95% CI 0.18 to 2.13), ILI (RR 0.32, 95% CI 0.03 to 3.13) and laboratory-confirmed viral infections (RR 0.97, 95% CI 0.06 to 15.54) were consistently lower in the mask arm compared with control, although not statistically significant. A post hoc comparison between the mask versus no-mask groups showed a protective effect against clinical respiratory illness, but not against ILI and laboratory-confirmed viral respiratory infections. Conclusions The study indicates a potential benefit of medical masks for source control, but is limited by small sample size and low secondary attack rates. Larger trials are needed to confirm efficacy of medical masks as source control. Trial registration number ACTRN12613000852752; Results. PMID:28039289

  15. The magic of 4X mask reduction

    NASA Astrophysics Data System (ADS)

    Lercel, Michael

    2006-06-01

    Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.

  16. Ipsilateral masking between acoustic and electric stimulations.

    PubMed

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  17. Masking reduces orientation selectivity in rat visual cortex

    PubMed Central

    Alwis, Dasuni S.; Richards, Katrina L.

    2016-01-01

    In visual masking the perception of a target stimulus is impaired by a preceding (forward) or succeeding (backward) mask stimulus. The illusion is of interest because it allows uncoupling of the physical stimulus, its neuronal representation, and its perception. To understand the neuronal correlates of masking, we examined how masks affected the neuronal responses to oriented target stimuli in the primary visual cortex (V1) of anesthetized rats (n = 37). Target stimuli were circular gratings with 12 orientations; mask stimuli were plaids created as a binarized sum of all possible target orientations. Spatially, masks were presented either overlapping or surrounding the target. Temporally, targets and masks were presented for 33 ms, but the stimulus onset asynchrony (SOA) of their relative appearance was varied. For the first time, we examine how spatially overlapping and center-surround masking affect orientation discriminability (rather than visibility) in V1. Regardless of the spatial or temporal arrangement of stimuli, the greatest reductions in firing rate and orientation selectivity occurred for the shortest SOAs. Interestingly, analyses conducted separately for transient and sustained target response components showed that changes in orientation selectivity do not always coincide with changes in firing rate. Given the near-instantaneous reductions observed in orientation selectivity even when target and mask do not spatially overlap, we suggest that monotonic visual masking is explained by a combination of neural integration and lateral inhibition. PMID:27535373

  18. Overlay improvement by exposure map based mask registration optimization

    NASA Astrophysics Data System (ADS)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  19. Emotion potentiates response activation and inhibition in masked priming

    PubMed Central

    Bocanegra, Bruno R.; Zeelenberg, René

    2012-01-01

    Previous studies have shown that emotion can have 2-fold effects on perception. At the object-level, emotional stimuli benefit from a stimulus-specific boost in visual attention at the relative expense of competing stimuli. At the visual feature-level, recent findings indicate that emotion may inhibit the processing of small visual details and facilitate the processing of coarse visual features. In the present study, we investigated whether emotion can boost the activation and inhibition of automatic motor responses that are generated prior to overt perception. To investigate this, we tested whether an emotional cue affects covert motor responses in a masked priming task. We used a masked priming paradigm in which participants responded to target arrows that were preceded by invisible congruent or incongruent prime arrows. In the standard paradigm, participants react faster, and commit fewer errors responding to the directionality of target arrows, when they are preceded by congruent vs. incongruent masked prime arrows (positive congruency effect, PCE). However, as prime-target SOAs increase, this effect reverses (negative congruency effect, NCE). These findings have been explained as evidence for an initial activation and a subsequent inhibition of a partial response elicited by the masked prime arrow. Our results show that the presentation of fearful face cues, compared to neutral face cues, increased the size of both the PCE and NCE, despite the fact that the primes were invisible. This is the first demonstration that emotion prepares an individual's visuomotor system for automatic activation and inhibition of motor responses in the absence of visual awareness. PMID:23162447

  20. Emotion potentiates response activation and inhibition in masked priming.

    PubMed

    Bocanegra, Bruno R; Zeelenberg, René

    2012-01-01

    Previous studies have shown that emotion can have 2-fold effects on perception. At the object-level, emotional stimuli benefit from a stimulus-specific boost in visual attention at the relative expense of competing stimuli. At the visual feature-level, recent findings indicate that emotion may inhibit the processing of small visual details and facilitate the processing of coarse visual features. In the present study, we investigated whether emotion can boost the activation and inhibition of automatic motor responses that are generated prior to overt perception. To investigate this, we tested whether an emotional cue affects covert motor responses in a masked priming task. We used a masked priming paradigm in which participants responded to target arrows that were preceded by invisible congruent or incongruent prime arrows. In the standard paradigm, participants react faster, and commit fewer errors responding to the directionality of target arrows, when they are preceded by congruent vs. incongruent masked prime arrows (positive congruency effect, PCE). However, as prime-target SOAs increase, this effect reverses (negative congruency effect, NCE). These findings have been explained as evidence for an initial activation and a subsequent inhibition of a partial response elicited by the masked prime arrow. Our results show that the presentation of fearful face cues, compared to neutral face cues, increased the size of both the PCE and NCE, despite the fact that the primes were invisible. This is the first demonstration that emotion prepares an individual's visuomotor system for automatic activation and inhibition of motor responses in the absence of visual awareness.

  1. Evaluation of a native vegetation masking technique

    NASA Technical Reports Server (NTRS)

    Kinsler, M. C.

    1984-01-01

    A crop masking technique based on Ashburn's vegetative index (AVI) was used to evaluate native vegetation as an indicator of crop moisture condition. A mask of the range areas (native vegetation) was generated for each of thirteen Great Plains LANDSAT MSS sample segments. These masks were compared to the digitized ground truth and accuracies were computed. An analysis of the types of errors indicates a consistency in errors among the segments. The mask represents a simple quick-look technique for evaluating vegetative cover.

  2. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  3. Is masked priming modulated by memory load? A test of the automaticity of masked identity priming in lexical decision.

    PubMed

    Perea, Manuel; Marcet, Ana; Lozano, Mario; Gomez, Pablo

    2018-05-29

    One of the key assumptions of the masked priming lexical decision task (LDT) is that primes are processed without requiring attentional resources. Here, we tested this assumption by presenting a dual-task manipulation to increase memory load and measure the change in masked identity priming on the targets in the LDT. If masked priming does not require attentional resources, increased memory load should have no influence on the magnitude of the observed identity priming effects. We conducted two LDT experiments, using a within-subjects design, to investigate the effect of memory load (via a concurrent matching task Experiment 1 and a concurrent search task in Experiment 2) on masked identity priming. Results showed that the magnitude of masked identity priming on word targets was remarkably similar under high and low memory load. Thus, these experiments provide empirical evidence for the automaticity assumption of masked identity priming in the LDT.

  4. Cost-effective masks for deep x-ray lithography

    NASA Astrophysics Data System (ADS)

    Scheunemann, Heinz-Ulrich; Loechel, Bernd; Jian, Linke; Schondelmaier, Daniel; Desta, Yohannes M.; Goettert, Jost

    2003-04-01

    The production of X-ray masks is one of the key techniques for X-ray lithography and the LIGA process. Different ways for the fabrication of X-ray masks has been established. Very sophisticated, difficult and expensive procedures are required to produce high precision and high quality X-ray masks. In order to minimize the cost of an X-ray mask, the mask blank must be inexpensive and readily available. The steps involved in the fabrication process must also be minimal. In the past, thin membranes made of titanium, silicon carbide, silicon nitride (2-5μm) or thick beryllium substrates (500μm) have been used as mask blanks. Thin titanium and silicon compounds have very high transparency for X-rays; therefore, these materials are predestined for use as mask membrane material. However, the handling and fabrication of thin membranes is very difficult, thus expensive. Beryllium is highly transparent to X-rays, but the processing and use of beryllium is risky due to potential toxicity. During the past few years graphite based X-ray masks have been in use at various research centers, but the sidewall quality of the generated resist patterns is in the range of 200-300 nm Ra. We used polished graphite to improve the sidewall roughness, but polished graphite causes other problems in the fabrication of X-ray masks. This paper describes the advantages associated with the use of polished graphite as mask blank as well as the fabrication process for this low cost X-ray mask. Alternative membrane materials will also be discussed.

  5. Effect of Masked Regions on Weak-lensing Statistics

    NASA Astrophysics Data System (ADS)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi

    2013-09-01

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation. We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple χ2 analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg2 survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting χ2/n dof = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard ΛCDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.

  6. EFFECT OF MASKED REGIONS ON WEAK-LENSING STATISTICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi, E-mail: masato.shirasaki@utap.phys.s.u-tokyo.ac.jp

    2013-09-10

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation.more » We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple {chi}{sup 2} analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg{sup 2} survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting {chi}{sup 2}/n{sub dof} = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard {Lambda}CDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.« less

  7. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  8. Homophone Dominance Modulates the Phonemic-Masking Effect.

    ERIC Educational Resources Information Center

    Berent, Iris; Van Orden, Guy C.

    2000-01-01

    Finds (1) positive phonemic-masking effects occurred for dominant homophones; (2) null phonemic-masking effects occurred for subordinate homophones; and (3) subordinate homophones were much more likely to be falsely identified as their dominant mate. Suggests the source of these null phonemic-masking is itself a phonology effect. Concludes…

  9. The total face mask is more comfortable than the oronasal mask in noninvasive ventilation but is not associated with improved outcome.

    PubMed

    Chacur, Fernando Hauaji; Vilella Felipe, Luis Marcelo; Fernandes, Cintia Gonçalves; Lazzarini, Luiz Claudio Oliveira

    2011-01-01

    Noninvasive positive-pressure ventilation (NPPV) is commonly used to improve ventilation and oxygenation and avoid endotracheal intubation and mechanical ventilation. Although clinically indicated, most patients fail to use NPPV due to mask intolerance. A total face mask was designed to increase compliance, but whether this translates into better outcome (improvement in clinical and blood gas parameters and less intubation) is unknown. We compared the evolution of the clinical parameters, blood gases, levels of ventilatory support and rate of endotracheal intubation using the total face mask or the traditional oronasal mask during NPPV. A total of 60 patients were randomized to use either mask during NPPV. The clinical and laboratory parameters, as well as the level of ventilatory support were recorded at different intervals in both groups for up to 6 h. In addition, the tolerance for each mask and the need for endotracheal intubation were compared. Patients tolerated the total face mask significantly better (p = 0.0010) and used NPPV for a longer time (p = 0.0017) when compared with the oronasal mask. Just 1 patient switched to the total face mask because of intolerance. Although better tolerated, the rate of endotracheal intubation was similar in both groups (p = 0.4376), as was the clinical and laboratory evolution. The total face mask was more comfortable, allowing the patients to tolerate NPPV longer; however, these accomplishments did not translate into a better outcome. Due to its comfort, the total face mask should be available, at least as an option, in units where NPPVs are routinely applied. Copyright © 2011 S. Karger AG, Basel.

  10. 21 CFR 868.5560 - Gas mask head strap.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  11. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  12. How color, regularity, and good Gestalt determine backward masking.

    PubMed

    Sayim, Bilge; Manassi, Mauro; Herzog, Michael

    2014-06-18

    The strength of visual backward masking depends on the stimulus onset asynchrony (SOA) between target and mask. Recently, it was shown that the conjoint spatial layout of target and mask is as crucial as SOA. Particularly, masking strength depends on whether target and mask group with each other. The same is true in crowding where the global spatial layout of the flankers and target-flanker grouping determine crowding strength. Here, we presented a vernier target followed by different flanker configurations at varying SOAs. Similar to crowding, masking of a red vernier target was strongly reduced for arrays of 10 green compared with 10 red flanking lines. Unlike crowding, single green lines flanking the red vernier showed strong masking. Irregularly arranged flanking lines yielded stronger masking than did regularly arranged lines, again similar to crowding. While cuboid flankers reduced crowding compared with single lines, this was not the case in masking. We propose that, first, masking is reduced when the flankers are part of a larger spatial structure. Second, spatial factors counteract color differences between the target and the flankers. Third, complex Gestalts, such as cuboids, seem to need longer processing times to show ungrouping effects as observed in crowding. Strong parallels between masking and crowding suggest similar underlying mechanism; however, temporal factors in masking additionally modulate performance, acting as an additional grouping cue. © 2014 ARVO.

  13. Combining Simultaneous with Temporal Masking

    ERIC Educational Resources Information Center

    Hermens, Frouke; Herzog, Michael H.; Francis, Gregory

    2009-01-01

    Simultaneous and temporal masking are two frequently used techniques in psychology and vision science. Although there are many studies and theories related to each masking technique, there are no systematic investigations of their mutual relationship, even though both techniques are often applied together. Here, the authors show that temporal…

  14. Masked mycotoxins: A review

    PubMed Central

    Berthiller, Franz; Crews, Colin; Dall'Asta, Chiara; Saeger, Sarah De; Haesaert, Geert; Karlovsky, Petr; Oswald, Isabelle P; Seefelder, Walburga; Speijers, Gerrit; Stroka, Joerg

    2013-01-01

    The aim of this review is to give a comprehensive overview of the current knowledge on plant metabolites of mycotoxins, also called masked mycotoxins. Mycotoxins are secondary fungal metabolites, toxic to human and animals. Toxigenic fungi often grow on edible plants, thus contaminating food and feed. Plants, as living organisms, can alter the chemical structure of mycotoxins as part of their defence against xenobiotics. The extractable conjugated or non-extractable bound mycotoxins formed remain present in the plant tissue but are currently neither routinely screened for in food nor regulated by legislation, thus they may be considered masked. Fusarium mycotoxins (deoxynivalenol, zearalenone, fumonisins, nivalenol, fusarenon-X, T-2 toxin, HT-2 toxin, fusaric acid) are prone to metabolisation or binding by plants, but transformation of other mycotoxins by plants (ochratoxin A, patulin, destruxins) has also been described. Toxicological data are scarce, but several studies highlight the potential threat to consumer safety from these substances. In particular, the possible hydrolysis of masked mycotoxins back to their toxic parents during mammalian digestion raises concerns. Dedicated chapters of this article address plant metabolism as well as the occurrence of masked mycotoxins in food, analytical aspects for their determination, toxicology and their impact on stakeholders. PMID:23047235

  15. 40 CFR 721.981 - Substituted naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... naphthalenyl-substituted azonaphthol chromium complex. 721.981 Section 721.981 Protection of Environment...-substituted naphthalenyl-substituted azonaphthol chromium complex. (a) Chemical substance and significant new... naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex (PMN P-93-1631) is subject to...

  16. 40 CFR 721.981 - Substituted naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... naphthalenyl-substituted azonaphthol chromium complex. 721.981 Section 721.981 Protection of Environment...-substituted naphthalenyl-substituted azonaphthol chromium complex. (a) Chemical substance and significant new... naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex (PMN P-93-1631) is subject to...

  17. 40 CFR 721.981 - Substituted naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... naphthalenyl-substituted azonaphthol chromium complex. 721.981 Section 721.981 Protection of Environment...-substituted naphthalenyl-substituted azonaphthol chromium complex. (a) Chemical substance and significant new... naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex (PMN P-93-1631) is subject to...

  18. 40 CFR 721.981 - Substituted naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... naphthalenyl-substituted azonaphthol chromium complex. 721.981 Section 721.981 Protection of Environment...-substituted naphthalenyl-substituted azonaphthol chromium complex. (a) Chemical substance and significant new... naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex (PMN P-93-1631) is subject to...

  19. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  20. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  1. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  2. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  3. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  4. Informational masking and musical training

    NASA Astrophysics Data System (ADS)

    Oxenham, Andrew J.; Fligor, Brian J.; Mason, Christine R.; Kidd, Gerald

    2003-09-01

    The relationship between musical training and informational masking was studied for 24 young adult listeners with normal hearing. The listeners were divided into two groups based on musical training. In one group, the listeners had little or no musical training; the other group was comprised of highly trained, currently active musicians. The hypothesis was that musicians may be less susceptible to informational masking, which is thought to reflect central, rather than peripheral, limitations on the processing of sound. Masked thresholds were measured in two conditions, similar to those used by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)]. In both conditions the signal was comprised of a series of repeated tone bursts at 1 kHz. The masker was comprised of a series of multitone bursts, gated with the signal. In one condition the frequencies of the masker were selected randomly for each burst; in the other condition the masker frequencies were selected randomly for the first burst of each interval and then remained constant throughout the interval. The difference in thresholds between the two conditions was taken as a measure of informational masking. Frequency selectivity, using the notched-noise method, was also estimated in the two groups. The results showed no difference in frequency selectivity between the two groups, but showed a large and significant difference in the amount of informational masking between musically trained and untrained listeners. This informational masking task, which requires no knowledge specific to musical training (such as note or interval names) and is generally not susceptible to systematic short- or medium-term training effects, may provide a basis for further studies of analytic listening abilities in different populations.

  5. Effects of immobilization mask material on surface dose

    PubMed Central

    Hadley, Scott W.; Kelly, Robin; Lam, Kwok

    2005-01-01

    This work investigates the increase in surface dose caused by thermoplastic masks used for patient positioning and immobilization. A thermoplastic mask is custom fit by stretching a heated mask over the patient at the time of treatment simulation. This mask is then used at treatment to increase the reproducibility of the patient position. The skin sparing effect of mega‐voltage X‐ray beams can be reduced when the patient's skin surface is under the mask material. The sheet of thermoplastic mask has holes to reduce this effect and is available from one manufacturer with two different sizes of holes, one larger than the other. This work investigates the increase in surface dose caused by the mask material and quantifies the difference between the two samples of masks available. The change in the dose buildup was measured using an Attix parallel plate chamber by measuring tissue maximum ratios (TMRs) using solid water. Measurements were made with and without the mask material on the surface of the solid water for 6‐MV and 15‐MV X‐ray beams. The effective thickness of equivalent water was estimated from the TMR curves, and the increase in surface dose was estimated. The buildup effect was measured to be equivalent to 2.2 mm to 0.6 mm for masks that have been stretched by different amounts. The surface dose was estimated to change from 16% and 12% for 6 MV and 15 MV, respectively, to 27% to 61% for 6 MV and 18% to 40% for 15 MV with the mask samples. PACS number: 87.53.Dq PMID:15770192

  6. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    PubMed

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  7. Mask etcher data strategy for 45nm and beyond

    NASA Astrophysics Data System (ADS)

    Lewington, Richard; Ibrahim, Ibrahim M.; Panayil, Sheeba; Kumar, Ajay; Yamartino, John

    2006-05-01

    Mask Etching for the 45nm technology node and beyond requires a system-level data and diagnostics strategy. This necessity stems from the need to control the performance of the mask etcher to increasingly stringent and diverse requirements of the mask production environment. Increasing mask costs and the capability to acquire and consolidate a wealth of data within the mask etch platform are primary motivators towards harnessing data mines for feedback into the mask etching optimization. There are offline and real-time possibilities and scenarios. Here, we discuss the data architecture, acquisition, and strategies of the Applied Materials Tetra II TM Mask Etch System.

  8. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  9. The Multigrid-Mask Numerical Method for Solution of Incompressible Navier-Stokes Equations

    NASA Technical Reports Server (NTRS)

    Ku, Hwar-Ching; Popel, Aleksander S.

    1996-01-01

    A multigrid-mask method for solution of incompressible Navier-Stokes equations in primitive variable form has been developed. The main objective is to apply this method in conjunction with the pseudospectral element method solving flow past multiple objects. There are two key steps involved in calculating flow past multiple objects. The first step utilizes only Cartesian grid points. This homogeneous or mask method step permits flow into the interior rectangular elements contained in objects, but with the restriction that the velocity for those Cartesian elements within and on the surface of an object should be small or zero. This step easily produces an approximate flow field on Cartesian grid points covering the entire flow field. The second or heterogeneous step corrects the approximate flow field to account for the actual shape of the objects by solving the flow field based on the local coordinates surrounding each object and adapted to it. The noise occurring in data communication between the global (low frequency) coordinates and the local (high frequency) coordinates is eliminated by the multigrid method when the Schwarz Alternating Procedure (SAP) is implemented. Two dimensional flow past circular and elliptic cylinders will be presented to demonstrate the versatility of the proposed method. An interesting phenomenon is found that when the second elliptic cylinder is placed in the wake of the first elliptic cylinder a traction force results in a negative drag coefficient.

  10. Polyurethane Masks Large Areas in Electroplating

    NASA Technical Reports Server (NTRS)

    Beasley, J. L.

    1985-01-01

    Polyurethane foam provides effective mask in electroplating of copper or nickel. Thin layer of Turco maskant painted on area to be masked: Layer ensures polyurethane foam removed easily after served its purpose. Component A, isocyanate, and component B, polyol, mixed together and brushed or sprayed on mask area. Mixture reacts, yielding polyurethane foam. Foam prevents deposition of nickel or copper on covered area. New method saves time, increases productivity and uses less material than older procedures.

  11. Coronagraphic mask design using Hermite functions.

    PubMed

    Cagigal, Manuel P; Canales, Vidal F; Valle, Pedro J; Oti, José E

    2009-10-26

    We introduce a stellar coronagraph that uses a coronagraphic mask described by a Hermite function or a combination of them. It allows the detection of exoplanets providing both deep starlight extinction and high angular resolution. This angular resolution depends on the order of the Hermite function used. An analysis of the coronagraph performance is carried out for different even order masks. Numerical simulations of the ideal case, with no phase errors and perfect telescope pointing, show that on-axis starlight is reduced to very low intensity levels corresponding to a gain of at least 25 magnitudes (10(-10) light intensity reduction). The coronagraphic throughput depends on the Hermite function or combination selected. The proposed mask series presents the same advantages of band limited masks along with the benefit of reducing the light diffracted by the mask border thanks to its particular shape. Nevertheless, for direct detection of Earth-like exoplanets it requires the use of adaptive optics facilities for compensating the perturbations introduced by the atmosphere and by the optical system.

  12. Masked hypertension and effort-reward imbalance at work among 2369 white-collar workers.

    PubMed

    Boucher, P; Gilbert-Ouimet, M; Trudel, X; Duchaine, C S; Milot, A; Brisson, C

    2017-10-01

    Hypertension is an important risk factor of cardiovascular diseases, the leading cause of death worldwide. Adverse effects of psychosocial factors at work might increase the risk of masked hypertension, but evidences are still scarce. The objective of this study is then to determine whether adverse psychosocial work factors from the effort-reward imbalance (ERI) model are associated with the prevalence of masked hypertension in a population of white-collar workers. White-collar workers were recruited from three public organizations. Blood pressure was measured at the workplace for manually operated measurements (mean of the first three readings taken by a trained assistant) followed by ambulatory measurements (mean of all subsequent readings taken during the working day). Masked hypertension was defined as manually operated BP<140/90 mm Hg and ambulatory BP ⩾135/85 mm Hg. ERI exposure at work was measured using Siegrist's validated questionnaire. Blood pressure readings were obtained from 2369 workers (participation proportion: 85%). ERI exposure (OR: 1.53 (95% CI: 1.16-2.02) and high efforts at work (OR: 1.61 (95% CI: 1.13-1.29) were associated with masked hypertension, after adjusting for sociodemographic and cardiovascular risk factors. Workers exposed to an imbalance between efforts spent at work and reward had a higher prevalence of masked hypertension. High efforts at work might be of particular importance in explaining this association. Future studies should be designed to investigate how clinicians can include questions on psychosocial work factors to screen for masked hypertension and how workplace interventions can decrease adverse psychosocial exposures to lower BP.

  13. Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-07-01

    The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.

  14. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    PubMed

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  15. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  16. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  17. The Art of Japanese Masks and Kimonos

    ERIC Educational Resources Information Center

    Brooks, Nancy Johnston

    2009-01-01

    Japanese masks have been worn for a number of reasons. In the past they were often used in plays and celebrations. Today in Japan, social masks are essential in many party gatherings as a form of expressing one's personality. In this article, the author describes an art project wherein students created Japanese masks and kimonos.

  18. Method for mask repair using defect compensation

    DOEpatents

    Sweeney, Donald W.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    A method for repair of amplitude and/or phase defects in lithographic masks. The method involves modifying or altering a portion of the absorber pattern on the surface of the mask blank proximate to the mask defect to compensate for the local disturbance (amplitude or phase) of the optical field due to the defect.

  19. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  20. Mask aligner for ultrahigh vacuum with capacitive distance control

    NASA Astrophysics Data System (ADS)

    Bhaskar, Priyamvada; Mathioudakis, Simon; Olschewski, Tim; Muckel, Florian; Bindel, Jan Raphael; Pratzer, Marco; Liebmann, Marcus; Morgenstern, Markus

    2018-04-01

    We present a mask aligner driven by three piezomotors which guides and aligns a SiN shadow mask under capacitive control towards a sample surface. The three capacitors for read out are located at the backside of the thin mask such that the mask can be placed at a μm distance from the sample surface, while keeping it parallel to the surface, without touching the sample by the mask a priori. Samples and masks can be exchanged in-situ and the mask can additionally be displaced parallel to the surface. We demonstrate an edge sharpness of the deposited structures below 100 nm, which is likely limited by the diffusion of the deposited Au on Si(111).

  1. Masked Repetition Priming Using Magnetoencephalography

    ERIC Educational Resources Information Center

    Monahan, Philip J.; Fiorentino, Robert; Poeppel, David

    2008-01-01

    Masked priming is used in psycholinguistic studies to assess questions about lexical access and representation. We present two masked priming experiments using MEG. If the MEG signal elicited by words reflects specific aspects of lexical retrieval, then one expects to identify specific neural correlates of retrieval that are sensitive to priming.…

  2. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  3. Adaptation to different noninvasive ventilation masks in critically ill patients.

    PubMed

    Silva, Renata Matos da; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed.

  4. Attentional capture by masked colour singletons.

    PubMed

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  5. Choosing an Oronasal Mask to Deliver Continuous Positive Airway Pressure May Cause More Upper Airway Obstruction or Lead to Higher Continuous Positive Airway Pressure Requirements than a Nasal Mask in Some Patients: A Case Series

    PubMed Central

    Ng, Justin R.; Aiyappan, Vinod; Mercer, Jeremy; Catcheside, Peter G.; Chai-Coetzer, Ching Li; McEvoy, R. Doug; Antic, Nick

    2016-01-01

    Study Objectives: The choice of mask interface used with continuous positive airway pressure (CPAP) therapy can affect the control of upper airway obstruction (UAO) in obstructive sleep apnea (OSA). We describe a case series of four patients with paradoxical worsening of UAO with an oronasal mask and the effect of changing to a nasal mask. Methods: We retrospectively reviewed the case histories of 4 patients and recorded patient demographics, in-laboratory and ambulatory CPAP titration data, CPAP therapy data, type of mask interface used and potential confounding factors. Results: The 4 cases (mean ± SD: age = 59 ± 16 y; BMI = 30.5 ± 4.5 kg/m2) had a high residual apnoea-hypopnea index (AHI) (43 ± 14.2 events/h) and high CPAP pressure requirements (14.9 ± 6.6 cmH2O) with an oronasal mask. Changing to a nasal mask allowed adequate control of UAO with a significant reduction in the average residual AHI (3.1 ± 1.5 events/h). In two of the four cases, it was demonstrated that control of UAO was obtained at a much lower CPAP pressure compared to the oronasal mask (Case one = 17.5 cmH2O vs 12cmH2O; Case two = 17.9 cmH2O vs 7.8 cmH2O). Other potential confounding factors were unchanged. There are various physiological observations that may explain these findings but it is uncertain which individuals are susceptible to these mechanisms. Conclusions: If patients have OSA incompletely controlled by CPAP with evidence of residual UAO and/or are requiring surprisingly high CPAP pressure to control OSA with an oronasal mask, the choice of mask should be reviewed and consideration be given to a trial of a nasal mask. Commentary: A commentary on this article appears in this issue on page 1209. Citation: Ng JR, Aiyappan V, Mercer J, Catcheside PG, Chai-Coetzer CL, McEvoy RD, Antic N. Choosing an oronasal mask to deliver continuous positive airway pressure may cause more upper airway obstruction or lead to higher continuous positive airway pressure requirements than a nasal

  6. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    PubMed

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, P<0.01). The snap-fit mask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  7. Updating a Cautionary Tale of Masked Priming: Reply to Klapp (2005)

    ERIC Educational Resources Information Center

    Lleras, Alejandro; Enns, James T.

    2005-01-01

    The commentary by S. T. Klapp (see record 2005-09704-010) on our recent article (A. Lleras & J. T. Enns, [see record 2004-21166-001]) proposes that the empirical finding of negative compatibility in masked priming be attributed to 2 distinct theoretical constructs: (a) perceptual priming through object updating, as described in our article, and…

  8. Effect of Ibuprofen on masking endodontic diagnosis.

    PubMed

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  9. Fabless company mask technology approach: fabless but not fab-careless

    NASA Astrophysics Data System (ADS)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  10. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    PubMed

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  11. Face mask use by patients in primary care.

    PubMed

    Tischendorf, Jessica S; Temte, Jonathan L

    2012-02-01

    Face masks are recommended for patients with respiratory symptoms to reduce influenza transmission. Little knowledge exists regarding actual utilization and acceptance of face masks in primary care. Compare distribution of face masks to clinic and community trends in respiratory infection (RI) and influenza-like illness (ILI); estimate the annual need for face masks in primary care. Retrospective observational study of practice data from a 31-week period starting in October 2009. Family practice clinic in Madison, Wis. Patients with fever, cough, or other respiratory symptoms as evaluated by reception staff. Age, sex, and weekly counts of individuals receiving a face mask, as well as counts of RI and ILI patients based on ICD-9 coding from 27 statewide clinics. Face mask counts were 80% of RI counts for the clinic and reflected the demographics of the clinic population. Distribution was correlated to prevalence of RI (R = 0.783, P < 0.001) and ILI (R = 0.632, P < 0.001). Annually, 8% of clinic visits were for RI. The high percentage of face mask use among RI patients reflects the feasibility of this intervention to help control influenza transmission in a primary care setting. Using the present data, clinics can estimate the annual need for face masks.

  12. Monaural informational masking release in children and adults

    NASA Astrophysics Data System (ADS)

    Buss, Emily; Hall, Joseph W.; Grose, John H.

    2004-05-01

    Informational masking refers to an elevation in signal threshold due to stimulus uncertainty, rather than to energetic masking. This study assessed informational masking and utilization of cues to reduce that masking in children aged 5-9 and adults. We used a manipulation introduced by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)] in which the signal was a train of eight consecutive tone bursts, each at 1 kHz and 60 ms in duration. Maskers were comprised of a pair of synchronous tone-burst trains whose frequencies were selected from the range spanning 0.2-5 kHz, with a protected region 851-1175 Hz. In the reference condition, where informational masking is pronounced, these maskers were eight bursts and had a fixed frequency within each interval, with new frequencies chosen randomly prior to each interval. Two conditions of masking release were tested: random frequency selection for each masker burst and a masker leading fringe of two additional 60-ms bursts. Both children and adults showed a significant informational masking effect, with children showing a larger effect. Both groups also showed significant release from masking, though initial results suggest that this may have been reduced in the youngest children. [Work supported by NIH, RO1 DC00397.

  13. Visual Masking in Schizophrenia: Overview and Theoretical Implications

    PubMed Central

    Green, Michael F.; Lee, Junghee; Wynn, Jonathan K.; Mathis, Kristopher I.

    2011-01-01

    Visual masking provides several key advantages for exploring the earliest stages of visual processing in schizophrenia: it allows for control over timing at the millisecond level, there are several well-supported theories of the underlying neurobiology of visual masking, and it is amenable to examination by electroencephalogram (EEG) and functional magnetic resonance imaging (fMRI). In this paper, we provide an overview of the visual masking impairment schizophrenia, including the relevant theoretical mechanisms for masking impairment. We will discuss its relationship to clinical symptoms, antipsychotic medications, diagnostic specificity, and presence in at-risk populations. As part of this overview, we will cover the neural correlates of visual masking based on recent findings from EEG and fMRI. Finally, we will suggest a possible mechanism that could explain the patterns of masking findings and other visual processing findings in schizophrenia. PMID:21606322

  14. Influence of face mask design on bag-valve-mask ventilation performance: a randomized simulation study.

    PubMed

    Na, J U; Han, S K; Choi, P C; Cho, J H; Shin, D H

    2013-10-01

    Different face mask designs can influence bag-valve-mask (BVM) ventilation performance during resuscitation. We compared a single-use, air-cushioned face mask (AM) with a reusable silicone face mask (SM) for quality of BVM ventilation on a manikin simulating cardiac arrest. Thirty-two physicians were recruited, and a prospective, randomized, crossover observational study was conducted after an American Heart Association-accredited basic life support provider course and standardized practice time were completed. Participants performed 12 cycles of BVM ventilation with both the AM and SM on a SmartMan lung simulator. Mean tidal volume was significantly higher in ventilations performed using the AM vs. the SM (548 ± 159 ml vs. 439 ± 163 ml, P < 0.01). In addition, the proportion of low-volume ventilation was significantly lower with the AM than the SM [6/12 (2-11) vs. 9/12 (5-12), P = 0.03]. Bag-valve-AM ventilation volume was not affected by the physical characteristics of the rescuers, except for sex. In contrast, bag-valve-SM ventilation volume was affected by most of the characteristics tested, including sex, height, weight, hand width, hand length, and grip power. The AM seems to be a more efficient face mask than the SM at delivering sufficient ventilation volumes. The performance of the AM did not seem to be associated with the physical characteristics of the rescuers, whereas that of the SM was affected by these factors. The SM may not be an appropriate face mask for performing one-person BVM ventilation during resuscitation for rescuers who are smaller in stature, have a smaller hand size, or have weaker grip power. © 2013 The Acta Anaesthesiologica Scandinavica Foundation. Published by John Wiley & Sons Ltd.

  15. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    PubMed

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P < .001), and lowest airway pressure (P < .001), compared to the Boussignac valve. In all measurements the Boussignac valve showed higher than imposed CPAP level (P < .001). In ICU subjects the high-flow mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  16. New objects do not capture attention without a sensory transient.

    PubMed

    Hollingworth, Andrew; Simons, Daniel J; Franconeri, Steven L

    2010-07-01

    Attention capture occurs when a stimulus event involuntarily recruits attention. The abrupt appearance of a new object is perhaps the most well-studied attention-capturing event, yet there is debate over the root cause of this capture. Does a new object capture attention because it involves the creation of a new object representation or because its appearance creates a characteristic luminance transient? The present study sought to resolve this question by introducing a new object into a search display, either with or without a unique luminance transient. Contrary to the results of a recent study (Davoli, Suszko, & Abrams, 2007), when the new object's transient was masked by a brief interstimulus interval introduced between the placeholder and search arrays, a new object did not capture attention. Moreover, when a new object's transient was masked, participants could not locate a new object efficiently even when that was their explicit goal. Together, these data suggest that luminance transient signals are necessary for attention capture by new objects.

  17. A New MRI Masking Technique Based on Multi-Atlas Brain Segmentation in Controls and Schizophrenia: A Rapid and Viable Alternative to Manual Masking.

    PubMed

    Del Re, Elisabetta C; Gao, Yi; Eckbo, Ryan; Petryshen, Tracey L; Blokland, Gabriëlla A M; Seidman, Larry J; Konishi, Jun; Goldstein, Jill M; McCarley, Robert W; Shenton, Martha E; Bouix, Sylvain

    2016-01-01

    Brain masking of MRI images separates brain from surrounding tissue and its accuracy is important for further imaging analyses. We implemented a new brain masking technique based on multi-atlas brain segmentation (MABS) and compared MABS to masks generated using FreeSurfer (FS; version 5.3), Brain Extraction Tool (BET), and Brainwash, using manually defined masks (MM) as the gold standard. We further determined the effect of different masking techniques on cortical and subcortical volumes generated by FreeSurfer. Images were acquired on a 3-Tesla MR Echospeed system General Electric scanner on five control and five schizophrenia subjects matched on age, sex, and IQ. Automated masks were generated from MABS, FS, BET, and Brainwash, and compared to MM using these metrics: a) volume difference from MM; b) Dice coefficients; and c) intraclass correlation coefficients. Mean volume difference between MM and MABS masks was significantly less than the difference between MM and FS or BET masks. Dice coefficient between MM and MABS was significantly higher than Dice coefficients between MM and FS, BET, or Brainwash. For subcortical and left cortical regions, MABS volumes were closer to MM volumes than were BET or FS volumes. For right cortical regions, MABS volumes were closer to MM volumes than were BET volumes. Brain masks generated using FreeSurfer, BET, and Brainwash are rapidly obtained, but are less accurate than manually defined masks. Masks generated using MABS, in contrast, resemble more closely the gold standard of manual masking, thereby offering a rapid and viable alternative. Copyright © 2015 by the American Society of Neuroimaging.

  18. DUV mask writer for BEOL 90-nm technology layers

    NASA Astrophysics Data System (ADS)

    Hong, Dongsung; Krishnan, Prakash; Coburn, Dianna; Jeewakhan, Nazneen; Xie, Shengqi; Broussard, Joshua; Ferguson, Bradley; Green, Kent G.; Buck, Peter; Jackson, Curt A.; Martinez, Larry

    2003-12-01

    Mask CD resolution and uniformity requirements for back end of line (BEOL) layers for the 90nm Technology Node push the capability of I-line mask writers; yet, do not require the capability offered by more expensive 50KeV ebeam mask writers. This suite of mask layers seems to be a perfect match for the capabilities of the DUV mask writing tools, which offer a lower cost option to the 50KeV platforms. This paper will evaluate both the mask and wafer results from all three platforms of mask writers (50KeV VSB,ETEC Alta 4300TM DUV laser and ETEC Alta 3500TM I-line laser) for a Cypress 90nm node Metal 1 layer, and demonstrate the benefits of the DUV platform with no change to OPC for this layer.

  19. Phase-shifting point diffraction interferometer mask designs

    DOEpatents

    Goldberg, Kenneth Alan

    2001-01-01

    In a phase-shifting point diffraction interferometer, different image-plane mask designs can improve the operation of the interferometer. By keeping the test beam window of the mask small compared to the separation distance between the beams, the problem of energy from the reference beam leaking through the test beam window is reduced. By rotating the grating and mask 45.degree., only a single one-dimensional translation stage is required for phase-shifting. By keeping two reference pinholes in the same orientation about the test beam window, only a single grating orientation, and thus a single one-dimensional translation stage, is required. The use of a two-dimensional grating allows for a multiplicity of pinholes to be used about the pattern of diffracted orders of the grating at the mask. Orientation marks on the mask can be used to orient the device and indicate the position of the reference pinholes.

  20. Simulation based mask defect repair verification and disposition

    NASA Astrophysics Data System (ADS)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  1. A closer look at four-dot masking of a foveated target

    PubMed Central

    Wilson, Hugh R.

    2016-01-01

    Four-dot masking with a common onset mask was recently demonstrated in a fully attended and foveated target (Filmer, Mattingley & Dux, 2015). Here, we replicate and extend this finding by directly comparing a four-dot mask with an annulus mask while probing masking as a function of mask duration, and target-mask separation. Our results suggest that while an annulus mask operates via spatially local contour interactions, a four-dot mask operates through spatially global mechanisms. We also measure how the visual system’s representation of an oriented bar is impacted by a four-dot mask, and find that masking here does not degrade the precision of perceived targets, but instead appears to be driven exclusively by rendering the target completely invisible. PMID:27280073

  2. Perception as Evidence Accumulation and Bayesian Inference: Insights from Masked Priming

    ERIC Educational Resources Information Center

    Norris, Dennis; Kinoshita, Sachiko

    2008-01-01

    The authors argue that perception is Bayesian inference based on accumulation of noisy evidence and that, in masked priming, the perceptual system is tricked into treating the prime and the target as a single object. Of the 2 algorithms considered for formalizing how the evidence sampled from a prime and target is combined, only 1 was shown to be…

  3. Functional neuroanatomy of visual masking deficits in schizophrenia.

    PubMed

    Green, Michael F; Lee, Junghee; Cohen, Mark S; Engel, Steven A; Korb, Alexander S; Nuechterlein, Keith H; Wynn, Jonathan K; Glahn, David C

    2009-12-01

    Visual masking procedures assess the earliest stages of visual processing. Patients with schizophrenia reliably show deficits on visual masking, and these procedures have been used to explore vulnerability to schizophrenia, probe underlying neural circuits, and help explain functional outcome. To identify and compare regional brain activity associated with one form of visual masking (ie, backward masking) in schizophrenic patients and healthy controls. Subjects received functional magnetic resonance imaging scans. While in the scanner, subjects performed a backward masking task and were given 3 functional localizer activation scans to identify early visual processing regions of interest (ROIs). University of California, Los Angeles, and the Department of Veterans Affairs Greater Los Angeles Healthcare System. Nineteen patients with schizophrenia and 19 healthy control subjects. Main Outcome Measure The magnitude of the functional magnetic resonance imaging signal during backward masking. Two ROIs (lateral occipital complex [LO] and the human motion selective cortex [hMT+]) showed sensitivity to the effects of masking, meaning that signal in these areas increased as the target became more visible. Patients had lower activation than controls in LO across all levels of visibility but did not differ in other visual processing ROIs. Using whole-brain analyses, we also identified areas outside the ROIs that were sensitive to masking effects (including bilateral inferior parietal lobe and thalamus), but groups did not differ in signal magnitude in these areas. The study results support a key role in LO for visual masking, consistent with previous studies in healthy controls. The current results indicate that patients fail to activate LO to the same extent as controls during visual processing regardless of stimulus visibility, suggesting a neural basis for the visual masking deficit, and possibly other visual integration deficits, in schizophrenia.

  4. JAPE 91: Influence of terrain masking of the acoustic propagation of helicopter noise

    NASA Technical Reports Server (NTRS)

    Naz, P.

    1993-01-01

    The acoustic propagation in the case of a noise source masked by a small element of terrain has been investigated experimentally. These data have been measured during the 'terrain masking' experiment of the NATO JAPE 91 experimental campaign. The main objective of that experiment was to study the acoustic detection of a helicopter masked by a small hill. Microphones have been placed at different locations on the shadow zone of the hill to study the effect of the terrain obstruction on sound propagation. The results presented come from data measured by Atlas Elektronik and by ISL, and have been processed together. The terrain obstruction causes an excess attenuation of the SPL (Sound Pressure Level) for all the frequencies, but this attenuation is more effective for the high frequencies than for the low frequencies. Results typical of diffraction phenomena have been observed; the SPL is minimal at the foot of the hill and is relatively constant beyond it.

  5. Coatings on reflective mask substrates

    DOEpatents

    Tong, William Man-Wai; Taylor, John S.; Hector, Scott D.; Mangat, Pawitter J. S.; Stivers, Alan R.; Kofron, Patrick G.; Thompson, Matthew A.

    2002-01-01

    A process for creating a mask substrate involving depositing: 1) a coating on one or both sides of a low thermal expansion material EUVL mask substrate to improve defect inspection, surface finishing, and defect levels; and 2) a high dielectric coating, on the backside to facilitate electrostatic chucking and to correct for any bowing caused by the stress imbalance imparted by either other deposited coatings or the multilayer coating of the mask substrate. An film, such as TaSi, may be deposited on the front side and/or back of the low thermal expansion material before the material coating to balance the stress. The low thermal expansion material with a silicon overlayer and a silicon and/or other conductive underlayer enables improved defect inspection and stress balancing.

  6. 46 CFR 197.322 - Surface-supplied helmets and masks.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Surface-supplied helmets and masks. 197.322 Section 197... helmets and masks. (a) Each surface-supplied helmet or mask must have— (1) A nonreturn valve at the attachment point between helmet or mask and umbilical that closes readily and positively; (2) An exhaust...

  7. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  8. Method for imaging a concealed object

    DOEpatents

    Davidson, James R [Idaho Falls, ID; Partin, Judy K [Idaho Falls, ID; Sawyers, Robert J [Idaho Falls, ID

    2007-07-03

    A method for imaging a concealed object is described and which includes a step of providing a heat radiating body, and wherein an object to be detected is concealed on the heat radiating body; imaging the heat radiating body to provide a visibly discernible infrared image of the heat radiating body; and determining if the visibly discernible infrared image of the heat radiating body is masked by the presence of the concealed object.

  9. Individual differences in metacontrast masking regarding sensitivity and response bias.

    PubMed

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  10. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  11. Observation of EUVL mask using coherent EUV scatterometry microscope with high-harmonic-generation EUV source

    NASA Astrophysics Data System (ADS)

    Mamezaki, Daiki; Harada, Tetsuo; Nagata, Yutaka; Watanabe, Takeo

    2017-07-01

    In extreme ultraviolet (EUV) lithography, development of review tools for EUV mask pattern and phase defect at working wavelength of 13.5 nm is required. The EUV mask is composed of an absorber pattern (50 - 70 nm thick) and Mo/Si multilayer (280 nm thick) on a glass substrate. This mask pattern seems three-dimensional (3D) structure. This 3D structure would modulate EUV reflection phase, which would cause focus and pattern shifts. Thus, EUV phase imaging is important to evaluate this phase modulation. We have developed coherent EUV scatterometry microscope (CSM), which is a simple microscope without objective optics. EUV phase and intensity image are reconstructed with diffraction images by ptychography with coherent EUV illumination. The high-harmonic-generation (HHG) EUV source was employed for standalone CSM system. In this study, we updated HHG system of pump-laser reduction and gas-pressure control. Two types of EUV mask absorber patterns were observed. An 88-nm lines-and-spaces and a cross-line patterns were clearly reconstructed by ptychography. In addition, a natural defect with 2-μm diameter on the cross-line was well reconstructed. This demonstrated the high capability of the standalone CSM, which system will be used in the factories, such as mask shops and semiconductor fabrication plants.

  12. An investigation into the efficiency of disposable face masks.

    PubMed Central

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  13. Face mask ventilation--the dos and don'ts.

    PubMed

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  15. Performance and stability of mask process correction for EBM-7000

    NASA Astrophysics Data System (ADS)

    Saito, Yasuko; Chen, George; Wang, Jen-Shiang; Bai, Shufeng; Howell, Rafael; Li, Jiangwei; Tao, Jun; VanDenBroeke, Doug; Wiley, Jim; Takigawa, Tadahiro; Ohnishi, Takayuki; Kamikubo, Takashi; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi

    2010-05-01

    In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology . The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns) are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity. Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing run time is less than 7 hours for 32nm half-pitch technology node. From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the 32nm node and future technology generations, including EUV, when used with advance mask writing processes like the EBM-7000.

  16. Designs and Materials for Better Coronagraph Occulting Masks

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatham

    2010-01-01

    New designs, and materials appropriate for such designs, are under investigation in an effort to develop coronagraph occulting masks having broad-band spectral characteristics superior to those currently employed. These designs and materials are applicable to all coronagraphs, both ground-based and spaceborne. This effort also offers potential benefits for the development of other optical masks and filters that are required (1) for precisely tailored spatial transmission profiles, (2) to be characterized by optical-density neutrality and phase neutrality (that is, to be characterized by constant optical density and constant phase over broad wavelength ranges), and/or (3) not to exhibit optical- density-dependent phase shifts. The need for this effort arises for the following reasons: Coronagraph occulting masks are required to impose, on beams of light transmitted through them, extremely precise control of amplitude and phase according to carefully designed transmission profiles. In the original application that gave rise to this effort, the concern has been to develop broad-band occulting masks for NASA s Terrestrial Planet Finder coronagraph. Until now, experimental samples of these masks have been made from high-energy-beam-sensitive (HEBS) glass, which becomes locally dark where irradiated with a high-energy electron beam, the amount of darkening depending on the electron-beam energy and dose. Precise mask profiles have been written on HEBS glass blanks by use of electron beams, and the masks have performed satisfactorily in monochromatic light. However, the optical-density and phase profiles of the HEBS masks vary significantly with wavelength; consequently, the HEBS masks perform unsatisfactorily in broad-band light. The key properties of materials to be used in coronagraph occulting masks are their extinction coefficients, their indices of refraction, and the variations of these parameters with wavelength. The effort thus far has included theoretical

  17. A respiratory mask for resting and exercising dogs.

    PubMed

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  18. Communication masking in marine mammals: A review and research strategy.

    PubMed

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  19. Psychometric functions for informational masking

    NASA Astrophysics Data System (ADS)

    Lutfi, Robert A.; Kistler, Doris J.; Callahan, Michael R.; Wightman, Frederic L.

    2003-12-01

    The term informational masking has traditionally been used to refer to elevations in signal threshold resulting from masker uncertainty. In the present study, the method of constant stimuli was used to obtain complete psychometric functions (PFs) from 44 normal-hearing listeners in conditions known to produce varying amounts of informational masking. The listener's task was to detect a pure-tone signal in the presence of a broadband noise masker (low masker uncertainty) and in the presence of multitone maskers with frequencies and amplitudes that varied at random from one presentation to the next (high masker uncertainty). Relative to the broadband noise condition, significant reductions were observed in both the slope and the upper asymptote of the PF for multitone maskers producing large amounts of informational masking. Slope was affected more for some listeners and conditions while asymptote was affected more for others; consequently, neither parameter alone was highly predictive of individual thresholds or the amount of informational masking. Mean slopes and asymptotes varied nonmonotonically with the number of masker components in a manner similar to mean thresholds, particularly when the estimated effect of energetic masking on thresholds was subtracted out. As in past studies, the threshold data were well described by a model in which trial-by-trial judgments are based on a weighted sum of levels in dB at the output of independent auditory filters. The psychometric data, however, complicated the model's interpretation in two ways: First, they suggested that, depending on the listener and condition, the weights can either reflect a fixed influence of masker components on each trial or the effect of occasionally mistaking a masker component for the signal from trial to trial. Second, they indicated that in either case the variance of the underlying decision variable as estimated from PF slope is not by itself great enough to account for the observed changes

  20. Vector scattering analysis of TPF coronagraph pupil masks

    NASA Astrophysics Data System (ADS)

    Ceperley, Daniel P.; Neureuther, Andrew R.; Lieber, Michael D.; Kasdin, N. Jeremy; Shih, Ta-Ming

    2004-10-01

    Rigorous finite-difference time-domain electromagnetic simulation is used to simulate the scattering from proto-typical pupil mask cross-section geometries and to quantify the differences from the normally assumed ideal on-off behavior. Shaped pupil plane masks are a promising technology for the TPF coronagraph mission. However the stringent requirements placed on the optics require that the detailed behavior of the edge-effects of these masks be examined carefully. End-to-end optical system simulation is essential and an important aspect is the polarization and cross-section dependent edge-effects which are the subject of this paper. Pupil plane masks are similar in many respects to photomasks used in the integrated circuit industry. Simulation capabilities such as the FDTD simulator, TEMPEST, developed for analyzing polarization and intensity imbalance effects in nonplanar phase-shifting photomasks, offer a leg-up in analyzing coronagraph masks. However, the accuracy in magnitude and phase required for modeling a chronograph system is extremely demanding and previously inconsequential errors may be of the same order of magnitude as the physical phenomena under study. In this paper, effects of thick masks, finite conductivity metals, and various cross-section geometries on the transmission of pupil-plane masks are illustrated. Undercutting the edge shape of Cr masks improves the effective opening width to within λ/5 of the actual opening but TE and TM polarizations require opposite compensations. The deviation from ideal is examined at the reference plane of the mask opening. Numerical errors in TEMPEST, such as numerical dispersion, perfectly matched layer reflections, and source haze are also discussed along with techniques for mitigating their impacts.

  1. Substituted-Letter and Transposed-Letter Effects in a Masked Priming Paradigm with French Developing Readers and Dyslexics

    ERIC Educational Resources Information Center

    Lete, Bernard; Fayol, Michel

    2013-01-01

    The aim of the study was to undertake a behavioral investigation of the development of automatic orthographic processing during reading acquisition in French. Following Castles and colleagues' 2007 study ("Journal of Experimental Child Psychology, 97," 165-182) and their lexical tuning hypothesis framework, substituted-letter and…

  2. Orientation congruency effects for familiar objects: coordinate transformations in object recognition.

    PubMed

    Graf, M; Kaping, D; Bülthoff, H H

    2005-03-01

    How do observers recognize objects after spatial transformations? Recent neurocomputational models have proposed that object recognition is based on coordinate transformations that align memory and stimulus representations. If the recognition of a misoriented object is achieved by adjusting a coordinate system (or reference frame), then recognition should be facilitated when the object is preceded by a different object in the same orientation. In the two experiments reported here, two objects were presented in brief masked displays that were in close temporal contiguity; the objects were in either congruent or incongruent picture-plane orientations. Results showed that naming accuracy was higher for congruent than for incongruent orientations. The congruency effect was independent of superordinate category membership (Experiment 1) and was found for objects with different main axes of elongation (Experiment 2). The results indicate congruency effects for common familiar objects even when they have dissimilar shapes. These findings are compatible with models in which object recognition is achieved by an adjustment of a perceptual coordinate system.

  3. The attentional blink is not affected by backward masking of T2, T2-mask SOA, or level of T2 impoverishment.

    PubMed

    Jannati, Ali; Spalek, Thomas M; Lagroix, Hayley E P; Di Lollo, Vincent

    2012-02-01

    Identification of the second of two targets (T2) is impaired when presented shortly after the first (T1). This attentional blink (AB) is thought to arise from a delay in T2 processing during which T2 is vulnerable to masking. Conventional studies have measured T2 accuracy which is constrained by the 100% ceiling. We avoided this problem by using a dynamic threshold-tracking procedure that is inherently free from ceiling constraints. In two experiments we examined how AB magnitude is affected by three masking-related factors: (a) presence/absence of T2 mask, (b) T2-mask stimulus onset asynchrony (SOA), and (c) level of T2 impoverishment (signal-to-noise ratio [SNR]). In Experiment 1, overall accuracy decreased with T2-mask SOA. The magnitude of the AB, however, was invariant with SOA and with mask presence/absence. Experiment 2 further showed that the AB was invariant with T2 SNR. The relationship among mask presence/absence, SOA, and T2 SNR and the AB is encompassed in a qualitative model.

  4. Fast synthesis of topographic mask effects based on rigorous solutions

    NASA Astrophysics Data System (ADS)

    Yan, Qiliang; Deng, Zhijie; Shiely, James

    2007-10-01

    Topographic mask effects can no longer be ignored at technology nodes of 45 nm, 32 nm and beyond. As feature sizes become comparable to the mask topographic dimensions and the exposure wavelength, the popular thin mask model breaks down, because the mask transmission no longer follows the layout. A reliable mask transmission function has to be derived from Maxwell equations. Unfortunately, rigorous solutions of Maxwell equations are only manageable for limited field sizes, but impractical for full-chip optical proximity corrections (OPC) due to the prohibitive runtime. Approximation algorithms are in demand to achieve a balance between acceptable computation time and tolerable errors. In this paper, a fast algorithm is proposed and demonstrated to model topographic mask effects for OPC applications. The ProGen Topographic Mask (POTOMAC) model synthesizes the mask transmission functions out of small-sized Maxwell solutions from a finite-difference-in-time-domain (FDTD) engine, an industry leading rigorous simulator of topographic mask effect from SOLID-E. The integral framework presents a seamless solution to the end user. Preliminary results indicate the overhead introduced by POTOMAC is contained within the same order of magnitude in comparison to the thin mask approach.

  5. Aerial image metrology for OPC modeling and mask qualification

    NASA Astrophysics Data System (ADS)

    Chen, Ao; Foong, Yee Mei; Thaler, Thomas; Buttgereit, Ute; Chung, Angeline; Burbine, Andrew; Sturtevant, John; Clifford, Chris; Adam, Kostas; De Bisschop, Peter

    2017-06-01

    As nodes become smaller and smaller, the OPC applied to enable these nodes becomes more and more sophisticated. This trend peaks today in curve-linear OPC approaches that are currently starting to appear on the roadmap. With this sophistication of OPC, the mask pattern complexity increases. CD-SEM based mask qualification strategies as they are used today are starting to struggle to provide a precise forecast of the printing behavior of a mask on wafer. An aerial image CD measurement performed on ZEISS Wafer-Level CD system (WLCD) is a complementary approach to mask CD-SEMs to judge the lithographical performance of the mask and its critical production features. The advantage of the aerial image is that it includes all optical effects of the mask such as OPC, SRAF, 3D mask effects, once the image is taken under scanner equivalent illumination conditions. Additionally, it reduces the feature complexity and analyzes the printing relevant CD.

  6. Comparison of the upper airway dynamics of oronasal and nasal masks with positive airway pressure treatment using cine magnetic resonance imaging.

    PubMed

    Ebben, Matthew R; Milrad, Sara; Dyke, Jonathan P; Phillips, C Douglas; Krieger, Ana C

    2016-03-01

    It is known that oronasal masks are not as effective at opening the upper airway compared to nasal only continuous positive airway pressure (CPAP) masks in patients with sleep-disordered breathing. However, the physiological mechanism for this difference in efficacy is not known; although, it has been hypothesized to involve the retroglossal and/or retropalatal region of the upper airway. The objective of this study was to investigate differences in retroglossal and retropalatal anterior-posterior space with the use of oronasal vs. nasal CPAP masks using real-time cine magnetic resonance imaging (cMRI). Ten subjects (eight men, two women) with obstructive sleep apnea (OSA) were given cMRI with both nasal and oronasal CPAP masks. Each subject was imaged with each interface at pressures of 5, 10, and 15 cm of H2O, while in the supine position along the sagittal plane. The oronasal mask produced significantly less airway opening in the retropalatal region of the upper airway compared to the nasal mask interface. During exhalation, mask style had a significant effect on anterior-posterior distance p = 0.016. No differences were found in the retroglossal region between mask styles. Our study confirmed previous findings showing differences in treatment efficacy between oronasal and nasal mask styles. We have shown anatomic evidence that the nasal mask is more effective in opening the upper airway compared to the oronasal mask in the retropalatal region.

  7. Comparison of the Upper Airway Dynamics of Oronasal and Nasal Masks with Positive Airway Pressure Treatment using cine Magnetic Resonance Imaging

    PubMed Central

    Ebben, Matthew R.; Milrad, Sara; Dyke, Jonathan P.; Phillips, C. Douglas; Krieger, Ana C.

    2016-01-01

    Purpose It is known that oronasal masks are not as effective at opening the upper airway compared to nasal only continuous positive airway pressure (CPAP) masks in patients with sleep disordered breathing. However, the physiological mechanism for this difference in efficacy is not known; although, it has been hypothesized to involve the retroglossal and/or retropalatal region of the upper airway. The objective of this study was to investigate differences in retroglossal and retropalatal anterior-posterior space with the use of oronasal vs. nasal CPAP masks using real-time cine Magnetic Resonance Imaging (cMRI). Methods 10-Subjects (8-men, 2-women) with obstructive sleep apnea (OSA) were given cMRI with both nasal and oronasal CPAP masks. Each subject was imaged with each interface at pressures of 5, 10 and 15 cm of H2O, while in the supine position along the sagittal plane. Results The oronasal mask produced significantly less airway opening in the retropalatal region of the upper airway compared to the nasal mask interface. During exhalation, mask style had a significant effect on anterior-posterior distance p=0.016. No differences were found in the retroglossal region between mask styles. Conclusions Our study confirmed previous findings showing differences in treatment efficacy between oronasal and nasal mask styles. We have shown anatomic evidence that the nasal mask is more effective in opening the upper airway compared to the oronasal mask in the retropalatal region. PMID:25924934

  8. Masking the Feeling of Being Stupid.

    ERIC Educational Resources Information Center

    Smith, Sally L.

    1988-01-01

    Teaching experience at The Lab School of Washington has shown that learning-disabled children and adults cope with their lack of self-esteem and feelings of stupidity by developing masks to hide their hurt. These include masks of super-competence, helplessness, invisibility, clowning, injustice collecting, indifference, boredom, outrageousness,…

  9. Strategy optimization for mask rule check in wafer fab

    NASA Astrophysics Data System (ADS)

    Yang, Chuen Huei; Lin, Shaina; Lin, Roger; Wang, Alice; Lee, Rachel; Deng, Erwin

    2015-07-01

    Photolithography process is getting more and more sophisticated for wafer production following Moore's law. Therefore, for wafer fab, consolidated and close cooperation with mask house is a key to achieve silicon wafer success. However, generally speaking, it is not easy to preserve such partnership because many engineering efforts and frequent communication are indispensable. The inattentive connection is obvious in mask rule check (MRC). Mask houses will do their own MRC at job deck stage, but the checking is only for identification of mask process limitation including writing, etching, inspection, metrology, etc. No further checking in terms of wafer process concerned mask data errors will be implemented after data files of whole mask are composed in mask house. There are still many potential data errors even post-OPC verification has been done for main circuits. What mentioned here are the kinds of errors which will only occur as main circuits combined with frame and dummy patterns to form whole reticle. Therefore, strategy optimization is on-going in UMC to evaluate MRC especially for wafer fab concerned errors. The prerequisite is that no impact on mask delivery cycle time even adding this extra checking. A full-mask checking based on job deck in gds or oasis format is necessary in order to secure acceptable run time. Form of the summarized error report generated by this checking is also crucial because user friendly interface will shorten engineers' judgment time to release mask for writing. This paper will survey the key factors of MRC in wafer fab.

  10. Sandwich masking eliminates both visual awareness of faces and face-specific brain activity through a feedforward mechanism.

    PubMed

    Harris, Joseph A; Wu, Chien-Te; Woldorff, Marty G

    2011-06-07

    It is generally agreed that considerable amounts of low-level sensory processing of visual stimuli can occur without conscious awareness. On the other hand, the degree of higher level visual processing that occurs in the absence of awareness is as yet unclear. Here, event-related potential (ERP) measures of brain activity were recorded during a sandwich-masking paradigm, a commonly used approach for attenuating conscious awareness of visual stimulus content. In particular, the present study used a combination of ERP activation contrasts to track both early sensory-processing ERP components and face-specific N170 ERP activations, in trials with versus without awareness. The electrophysiological measures revealed that the sandwich masking abolished the early face-specific N170 neural response (peaking at ~170 ms post-stimulus), an effect that paralleled the abolition of awareness of face versus non-face image content. Furthermore, however, the masking appeared to render a strong attenuation of earlier feedforward visual sensory-processing signals. This early attenuation presumably resulted in insufficient information being fed into the higher level visual system pathways specific to object category processing, thus leading to unawareness of the visual object content. These results support a coupling of visual awareness and neural indices of face processing, while also demonstrating an early low-level mechanism of interference in sandwich masking.

  11. New method of contour-based mask-shape compiler

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  12. In collaboration with mask suppliers for change management enhancement

    NASA Astrophysics Data System (ADS)

    Deng, Erwin; Lee, Chun Der; Lee, Rachel

    2013-06-01

    For those wafer fabs that have no their own maskshops, the main target of mask quality department is to gain stable mask quality performance through effective supplier management, and therefore achieves competitive business results. After dealing with lots of mask data preparation (MDP) quality problems with suppliers, we have found that incomplete change management procedures are one of major sources that induce incorrect mask data for writing. This article will share our experience in how to enhance change management flows with mask suppliers together and will also show the utility after a series of flow improvement actions.

  13. Developing a New Quantitative Account of Backward Masking

    ERIC Educational Resources Information Center

    Francis, Gregory

    2003-01-01

    A new general explanation for u-shaped backward masking is analyzed and found to predict shifts in the interstimulus interval (ISI) that produces strongest masking. This predicted shift is then compared to six sets of masking data. The resulting comparisons force the general explanation to make certain assumptions to account for the data. In this…

  14. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  15. Masking interrupts figure-ground signals in V1.

    PubMed

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (<100 msec) followed by a second stimulus. This typically results in a dramatic decrease in the visibility of the target stimulus. It has been shown that masking reduces responses in V1. It is not known, however, which process in V1 is affected by the mask. In the past, we have shown that in V1, modulations of neural activity that are specifically related to figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  16. Low-order aberration sensitivity of eighth-order coronagraph masks

    NASA Technical Reports Server (NTRS)

    Shaklan, Stuart B.; Green, Joseph J.

    2005-01-01

    In a recent paper, Kuchner, Crepp, and Ge describe new image-plane coronagraph mask designs that reject to eighth order the leakage of starlight caused by image motion at the mask, resulting in a substantial relaxation of image centroiding requirements compared to previous fourth-order and second-order masks. They also suggest that the new masks are effective at rejecting leakage caused by low-order aberrations (e.g., focus, coma, and astigmatism). In this paper, we derive the sensitivity of eighth-order masks to aberrations of any order and provide simulations of coronagraph behavior in the presence of optical aberrations.We find that the masks leak light as the fourth power of focus, astigmatism, coma, and trefoil. This has tremendous performance advantages for the Terrestrial Planet Finder Coronagraph.

  17. Improved techniques reduce face mask leak during simulated neonatal resuscitation: study 2.

    PubMed

    Wood, Fiona E; Morley, Colin J; Dawson, Jennifer A; Kamlin, C Omar F; Owen, Louise S; Donath, Susan; Davis, Peter G

    2008-05-01

    Techniques of positioning and holding neonatal face masks vary. Studies have shown that leak at the face mask is common and often substantial irrespective of operator experience. (1) To identify a technique for face mask placement and hold which will minimise mask leak. (2) To investigate the effect of written instruction and demonstration of the identified technique on mask leak for two round face masks. Three experienced neonatologists compared methods of placing and holding face masks to minimise the leak for Fisher & Paykel 60 mm and Laerdal size 0/1 masks. 50 clinical staff gave positive pressure ventilation to a modified manikin designed to measure leak at the face mask. They were provided with written instructions on how to position and hold each mask and then received a demonstration. Face mask leak was measured after each teaching intervention. A technique of positioning and holding the face masks was identified which minimised leak. The mean (SD) mask leaks before instruction, after instruction and after demonstration were 55% (31), 49% (30), 33% (26) for the Laerdal mask and 57% (25), 47% (28), 32% (30) for the Fisher & Paykel mask. There was no significant difference in mask leak between the two masks. Written instruction alone reduced leak by 8.8% (CI 1.4% to 16.2%) for either mask; when combined with a demonstration mask leak was reduced by 24.1% (CI 16.4% to 31.8%). Written instruction and demonstration of the identified optimal technique resulted in significantly reduced face mask leak.

  18. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    NASA Astrophysics Data System (ADS)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  19. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    ERIC Educational Resources Information Center

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  20. 1995 mask industry quality assessment

    NASA Astrophysics Data System (ADS)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  1. Development of movable mask system to cope with high beam current

    NASA Astrophysics Data System (ADS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-07-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator.

  2. Force-dependent static dead space of face masks used with holding chambers.

    PubMed

    Shah, Samir A; Berlinski, Ariel B; Rubin, Bruce K

    2006-02-01

    Pressurized metered-dose inhalers with valved holding chambers and masks are commonly used for aerosol delivery in children. Drug delivery can decrease when the dead-space volume (DSV) of the valved holding chamber is increased, but there are no published data evaluating force-dependent DSV among different masks. Seven masks were studied. Masks were sealed at the valved holding chamber end and filled with water to measure mask volume. To measure mask DSV we used a mannequin of 2-year-old-size face and we applied the mask with forces of 1.5, 3.5, and 7 pounds. Mask seal was determined by direct observation. Intra-brand analysis was done via analysis of variance. At 3.5 pounds of force, the DSV ranged from 29 mL to 100 mL, with 3 masks having DSV of < 50 mL. The remaining masks all had DSV > 60 mL. At 3.5 pounds of force, DSV percent of mask volume ranged from 33.7% (Aerochamber, p < 0.01 compared with other masks) to 100% (Pocket Chamber). DSV decreased with increasing force with most of the masks, and the slope of this line was inversely proportional to mask flexibility. Mask fit was 100% at 1.5 pounds of force only with the Aerochamber and Optichamber. Mask fit was poorest with the Vortex, Pocket Chamber, and BreatheRite masks. Rigid masks with large DSV might not be not suitable for use in children, especially if discomfort from the stiff mask makes its use less acceptable to the child.

  3. Physiological functioning of the ear and masking

    NASA Technical Reports Server (NTRS)

    1984-01-01

    The physiological functions of the ear and the role masking plays in speech communication are examined. Topics under investigation include sound analysis of the ear, the aural reflex, and various types of noise masking.

  4. Masked Repetition Priming Treatment for Anomia

    ERIC Educational Resources Information Center

    Silkes, JoAnn P.

    2018-01-01

    Purpose: Masked priming has been suggested as a way to directly target implicit lexical retrieval processes in aphasia. This study was designed to investigate repeated use of masked repetition priming to improve picture naming in individuals with anomia due to aphasia. Method: A single-subject, multiple-baseline design was used across 6 people…

  5. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    PubMed Central

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  6. [Patients' reaction to pharmacists wearing a mask during their consultations].

    PubMed

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  7. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    NASA Technical Reports Server (NTRS)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  8. Vertical Feature Mask Feature Classification Flag Extraction

    Atmospheric Science Data Center

    2013-03-28

      Vertical Feature Mask Feature Classification Flag Extraction This routine demonstrates extraction of the ... in a CALIPSO Lidar Level 2 Vertical Feature Mask feature classification flag value. It is written in Interactive Data Language (IDL) ...

  9. Rescue therapy by switching to total face mask after failure of face mask-delivered noninvasive ventilation in do-not-intubate patients in acute respiratory failure.

    PubMed

    Lemyze, Malcolm; Mallat, Jihad; Nigeon, Olivier; Barrailler, Stéphanie; Pepy, Florent; Gasan, Gaëlle; Vangrunderbeeck, Nicolas; Grosset, Philippe; Tronchon, Laurent; Thevenin, Didier

    2013-02-01

    To evaluate the impact of switching to total face mask in cases where face mask-delivered noninvasive mechanical ventilation has already failed in do-not-intubate patients in acute respiratory failure. Prospective observational study in an ICU and a respiratory stepdown unit over a 12-month study period. Switching to total face mask, which covers the entire face, when noninvasive mechanical ventilation using facial mask (oronasal mask) failed to reverse acute respiratory failure. Seventy-four patients with a do-not-intubate order and treated by noninvasive mechanical ventilation for acute respiratory failure. Failure of face mask-delivered noninvasive mechanical ventilation was associated with a three-fold increase in in-hospital mortality (36% vs. 10.5%; p = 0.009). Nevertheless, 23 out of 36 patients (64%) in whom face mask-delivered noninvasive mechanical ventilation failed to reverse acute respiratory failure and, therefore, switched to total face mask survived hospital discharge. Reasons for switching from facial mask to total face mask included refractory hypercapnic acute respiratory failure (n = 24, 66.7%), painful skin breakdown or facial mask intolerance (n = 11, 30%), and refractory hypoxemia (n = 1, 2.7%). In the 24 patients switched from facial mask to total face mask because of refractory hypercapnia, encephalopathy score (3 [3-4] vs. 2 [2-3]; p < 0.0001), PaCO2 (87 ± 25 mm Hg vs. 70 ± 17 mm Hg; p < 0.0001), and pH (7.24 ± 0.1 vs. 7.32 ± 0.09; p < 0.0001) significantly improved after 2 hrs of total face mask-delivered noninvasive ventilation. Patients switched early to total face mask (in the first 12 hrs) developed less pressure sores (n = 5, 24% vs. n = 13, 87%; p = 0.0002), despite greater length of noninvasive mechanical ventilation within the first 48 hrs (44 hrs vs. 34 hrs; p = 0.05) and less protective dressings (n = 2, 9.5% vs. n = 8, 53.3%; p = 0.007). The optimal cutoff value for face mask-delivered noninvasive mechanical ventilation

  10. Use of simulation to optimize the pinhole diameter and mask thickness for an x-ray backscatter imaging system

    NASA Astrophysics Data System (ADS)

    Vella, A.; Munoz, Andre; Healy, Matthew J. F.; Lane, David; Lockley, D.

    2017-08-01

    The PENELOPE Monte Carlo simulation code was used to determine the optimum thickness and aperture diameter of a pinhole mask for X-ray backscatter imaging in a security application. The mask material needs to be thick enough to absorb most X-rays, and the pinhole must be wide enough for sufficient field of view whilst narrow enough for sufficient image spatial resolution. The model consisted of a fixed geometry test object, various masks with and without pinholes, and a 1040 x 1340 pixels' area detector inside a lead lined camera housing. The photon energy distribution incident upon masks was flat up to selected energy limits. This artificial source was used to avoid the optimisation being specific to any particular X-ray source technology. The pixelated detector was modelled by digitising the surface area represented by the PENELOPE phase space file and integrating the energies of the photons impacting within each pixel; a MATLAB code was written for this. The image contrast, signal to background ratio, spatial resolution, and collimation effect were calculated at the simulated detector as a function of pinhole diameter and various thicknesses of mask made of tungsten, tungsten/epoxy composite or bismuth alloy. A process of elimination was applied to identify suitable masks for a viable X-ray backscattering security application.

  11. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  12. Fiber-optic fringe projection with crosstalk reduction by adaptive pattern masking

    NASA Astrophysics Data System (ADS)

    Matthias, Steffen; Kästner, Markus; Reithmeier, Eduard

    2017-02-01

    To enable in-process inspection of industrial manufacturing processes, measuring devices need to fulfill time and space constraints, while also being robust to environmental conditions, such as high temperatures and electromagnetic fields. A new fringe projection profilometry system is being developed, which is capable of performing the inspection of filigree tool geometries, e.g. gearing elements with tip radii of 0.2 mm, inside forming machines of the sheet-bulk metal forming process. Compact gradient-index rod lenses with a diameter of 2 mm allow for a compact design of the sensor head, which is connected to a base unit via flexible high-resolution image fibers with a diameter of 1.7 mm. The base unit houses a flexible DMD based LED projector optimized for fiber coupling and a CMOS camera sensor. The system is capable of capturing up to 150 gray-scale patterns per second as well as high dynamic range images from multiple exposures. Owing to fiber crosstalk and light leakage in the image fiber, signal quality suffers especially when capturing 3-D data of technical surfaces with highly varying reflectance or surface angles. An algorithm is presented, which adaptively masks parts of the pattern to reduce these effects via multiple exposures. The masks for valid surface areas are automatically defined according to different parameters from an initial capture, such as intensity and surface gradient. In a second step, the masks are re-projected to projector coordinates using the mathematical model of the system. This approach is capable of reducing both inter-pixel crosstalk and inter-object reflections on concave objects while maintaining measurement durations of less than 5 s.

  13. An object-mediated updating account of insensitivity to transsaccadic change

    PubMed Central

    Tas, A. Caglar; Moore, Cathleen M.; Hollingworth, Andrew

    2012-01-01

    Recent evidence has suggested that relatively precise information about the location and visual form of a saccade target object is retained across a saccade. However, this information appears to be available for report only when the target is removed briefly, so that the display is blank when the eyes land. We hypothesized that the availability of precise target information is dependent on whether a post-saccade object is mapped to the same object representation established for the presaccade target. If so, then the post-saccade features of the target overwrite the presaccade features, a process of object mediated updating in which visual masking is governed by object continuity. In two experiments, participants' sensitivity to the spatial displacement of a saccade target was improved when that object changed surface feature properties across the saccade, consistent with the prediction of the object-mediating updating account. Transsaccadic perception appears to depend on a mechanism of object-based masking that is observed across multiple domains of vision. In addition, the results demonstrate that surface-feature continuity contributes to visual stability across saccades. PMID:23092946

  14. Preparation of orally disintegrating tablets with taste-masking function: masking effect in granules prepared with correctives using the dry granulation method and evaluation of tablets prepared using the taste-masked granules.

    PubMed

    Kawano, Yayoi; Ito, Akihiko; Sasatsu, Masanaho; Machida, Yoshiharu

    2010-01-01

    We investigated several methods of taste masking in the preparation of orally disintegrating tablets (ODTs), using furosemide (FU) as a model drug. Four types of FU preparations were prepared: granules with maltitol (MA), granules with yogurt powder (YO), a physical mixture of FU and MA, and a physical mixture of FU and YO. All taste-masking granules were prepared using the dry granulation method. The taste of each type of preparation was evaluated. All four preparations markedly improved the taste of the FU tablets, but the mixing ratios of the correctives did not affect the masking effect. No difference in masking effect was found between MA and YO in the physical mixtures, but the masking effect in the granules with YO was superior to that of the granules with MA. Taste-masked FU tablets were prepared using the direct compression method; crystalline cellulose (Avicel PH-302) and mannitol were added as excipients at the mixing ratio of 1/1. All four types of tablets displayed sufficient hardness, but MA-containing tablets were harder than YO-containing tablets. The hardness of the tablets prepared from YO granules increased as the YO content increased. The most rapidly disintegrating tablets were those of YO granules prepared at a mixing ratio of FU/YO=1/1, which disintegrated within 20 s, followed by the tablets of MA granules prepared at a mixing ratio of FU/MA=1/1. The disintegration times of the tablets made from physical mixtures, in contrast, were longer than 200 s. Disintegration time lengthened as the mixing ratio of YO or MA increased. The hardness and disintegration time of these tablets could be controlled by varying the compression pressure. We found that YO is more useful than MA in masking unpleasant tastes and confirmed that orally disintegrating tablets with taste-masking function can be prepared using granules of YO prepared using the dry granulation method as a new corrective.

  15. Counteracting Power Analysis Attacks by Masking

    NASA Astrophysics Data System (ADS)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  16. Pattern masking: the importance of remote spatial frequencies and their phase alignment.

    PubMed

    Huang, Pi-Chun; Maehara, Goro; May, Keith A; Hess, Robert F

    2012-02-16

    To assess the effects of spatial frequency and phase alignment of mask components in pattern masking, target threshold vs. mask contrast (TvC) functions for a sine-wave grating (S) target were measured for five types of mask: a sine-wave grating (S), a square-wave grating (Q), a missing fundamental square-wave grating (M), harmonic complexes consisting of phase-scrambled harmonics of a square wave (Qp), and harmonic complexes consisting of phase-scrambled harmonics of a missing fundamental square wave (Mp). Target and masks had the same fundamental frequency (0.46 cpd) and the target was added in phase with the fundamental frequency component of the mask. Under monocular viewing conditions, the strength of masking depends on phase relationships among mask spatial frequencies far removed from that of the target, at least 3 times the target frequency, only when there are common target and mask spatial frequencies. Under dichoptic viewing conditions, S and Q masks produced similar masking to each other and the phase-scrambled masks (Qp and Mp) produced less masking. The results suggest that pattern masking is spatial frequency broadband in nature and sensitive to the phase alignments of spatial components.

  17. Differential effect of visual masking in perceptual categorization.

    PubMed

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  18. Propagation of resist heating mask error to wafer level

    NASA Astrophysics Data System (ADS)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  19. 76 FR 1660 - Culturally Significant Objects Imported for Exhibition Determinations: “Reconfiguring an African...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-01-11

    ... DEPARTMENT OF STATE [Public Notice 7290] Culturally Significant Objects Imported for Exhibition Determinations: ``Reconfiguring an African Icon: Odes to the Mask by Modern and Contemporary Artists From Three... ``Reconfiguring an African Icon: Odes to the Mask by Modern and Contemporary Artists from Three Continents...

  20. Non-invasive primate head restraint using thermoplastic masks.

    PubMed

    Drucker, Caroline B; Carlson, Monica L; Toda, Koji; DeWind, Nicholas K; Platt, Michael L

    2015-09-30

    The success of many neuroscientific studies depends upon adequate head fixation of awake, behaving animals. Typically, this is achieved by surgically affixing a head-restraint prosthesis to the skull. Here we report the use of thermoplastic masks to non-invasively restrain monkeys' heads. Mesh thermoplastic sheets become pliable when heated and can then be molded to an individual monkey's head. After cooling, the custom mask retains this shape indefinitely for day-to-day use. We successfully trained rhesus macaques (Macaca mulatta) to perform cognitive tasks while wearing thermoplastic masks. Using these masks, we achieved a level of head stability sufficient for high-resolution eye-tracking and intracranial electrophysiology. Compared with traditional head-posts, we find that thermoplastic masks perform at least as well during infrared eye-tracking and single-neuron recordings, allow for clearer magnetic resonance image acquisition, enable freer placement of a transcranial magnetic stimulation coil, and impose lower financial and time costs on the lab. We conclude that thermoplastic masks are a viable non-invasive form of primate head restraint that enable a wide range of neuroscientific experiments. Copyright © 2015 Elsevier B.V. All rights reserved.

  1. Non-invasive primate head restraint using thermoplastic masks

    PubMed Central

    Drucker, Caroline B.; Carlson, Monica L.; Toda, Koji; DeWind, Nicholas K.; Platt, Michael L.

    2015-01-01

    Background The success of many neuroscientific studies depends upon adequate head fixation of awake, behaving animals. Typically, this is achieved by surgically affixing a head-restraint prosthesis to the skull. New Method Here we report the use of thermoplastic masks to non-invasively restrain monkeys’ heads. Mesh thermoplastic sheets become pliable when heated and can then be molded to an individual monkey’s head. After cooling, the custom mask retains this shape indefinitely for day-to-day use. Results We successfully trained rhesus macaques (Macaca mulatta) to perform cognitive tasks while wearing thermoplastic masks. Using these masks, we achieved a level of head stability sufficient for high-resolution eye-tracking and intracranial electrophysiology. Comparison with Existing Method Compared with traditional head-posts, we find that thermoplastic masks perform at least as well during infrared eye-tracking and single-neuron recordings, allow for clearer magnetic resonance image acquisition, enable freer placement of a transcranial magnetic stimulation coil, and impose lower financial and time costs on the lab. Conclusions We conclude that thermoplastic masks are a viable non-invasive form of primate head restraint that enable a wide range of neuroscientific experiments. PMID:26112334

  2. Influence of auditory fatigue on masked speech intelligibility

    NASA Technical Reports Server (NTRS)

    Parker, D. E.; Martens, W. L.; Johnston, P. A.

    1980-01-01

    Intelligibility of PB word lists embedded in simultaneous masking noise was evaluated before and after fatiguing-noise exposure, which was determined by observing the number of words correctly repeated during a shadowing task. Both the speech signal and the masking noise were filtered to a 2825-3185-Hz band. Masking-noise leves were varied from 0- to 90-dB SL. Fatigue was produced by a 1500-3000-Hz octave band of noise at 115 dB (re 20 micron-Pa) presented continuously for 5 min. The results of three experiments indicated that speed intelligibility was reduced when the speech was presented against a background of silence but that the fatiguing-noise exposure had no effect on intelligibility when the speech was made more intense and embedded in masking noise of 40-90-dB SL. These observations are interpreted by considering the recruitment produced by fatigue and masking noise.

  3. Using automated texture features to determine the probability for masking of a tumor on mammography, but not ultrasound.

    PubMed

    Häberle, Lothar; Hack, Carolin C; Heusinger, Katharina; Wagner, Florian; Jud, Sebastian M; Uder, Michael; Beckmann, Matthias W; Schulz-Wendtland, Rüdiger; Wittenberg, Thomas; Fasching, Peter A

    2017-08-30

    Tumors in radiologically dense breast were overlooked on mammograms more often than tumors in low-density breasts. A fast reproducible and automated method of assessing percentage mammographic density (PMD) would be desirable to support decisions whether ultrasonography should be provided for women in addition to mammography in diagnostic mammography units. PMD assessment has still not been included in clinical routine work, as there are issues of interobserver variability and the procedure is quite time consuming. This study investigated whether fully automatically generated texture features of mammograms can replace time-consuming semi-automatic PMD assessment to predict a patient's risk of having an invasive breast tumor that is visible on ultrasound but masked on mammography (mammography failure). This observational study included 1334 women with invasive breast cancer treated at a hospital-based diagnostic mammography unit. Ultrasound was available for the entire cohort as part of routine diagnosis. Computer-based threshold PMD assessments ("observed PMD") were carried out and 363 texture features were obtained from each mammogram. Several variable selection and regression techniques (univariate selection, lasso, boosting, random forest) were applied to predict PMD from the texture features. The predicted PMD values were each used as new predictor for masking in logistic regression models together with clinical predictors. These four logistic regression models with predicted PMD were compared among themselves and with a logistic regression model with observed PMD. The most accurate masking prediction was determined by cross-validation. About 120 of the 363 texture features were selected for predicting PMD. Density predictions with boosting were the best substitute for observed PMD to predict masking. Overall, the corresponding logistic regression model performed better (cross-validated AUC, 0.747) than one without mammographic density (0.734), but less well

  4. 40 CFR 721.981 - Substituted naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) TOXIC SUBSTANCES CONTROL ACT SIGNIFICANT NEW USES OF CHEMICAL SUBSTANCES Significant New Uses for Specific Chemical Substances § 721.981 Substituted naphtholoazo-substituted naphthalenyl-substituted azonaphthol chromium complex. (a) Chemical substance and significant new...

  5. New method of 2-dimensional metrology using mask contouring

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  6. Face mask use and control of respiratory virus transmission in households.

    PubMed

    MacIntyre, C Raina; Cauchemez, Simon; Dwyer, Dominic E; Seale, Holly; Cheung, Pamela; Browne, Gary; Fasher, Michael; Wood, James; Gao, Zhanhai; Booy, Robert; Ferguson, Neil

    2009-02-01

    Many countries are stockpiling face masks for use as a nonpharmaceutical intervention to control virus transmission during an influenza pandemic. We conducted a prospective cluster-randomized trial comparing surgical masks, non-fit-tested P2 masks, and no masks in prevention of influenza-like illness (ILI) in households. Mask use adherence was self-reported. During the 2006 and 2007 winter seasons, 286 exposed adults from 143 households who had been exposed to a child with clinical respiratory illness were recruited. We found that adherence to mask use significantly reduced the risk for ILI-associated infection, but <50% of participants wore masks most of the time. We concluded that household use of face masks is associated with low adherence and is ineffective for controlling seasonal respiratory disease. However, during a severe pandemic when use of face masks might be greater, pandemic transmission in households could be reduced.

  7. Active mask segmentation of fluorescence microscope images.

    PubMed

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  8. Human observers have optimal introspective access to perceptual processes even for visually masked stimuli

    PubMed Central

    Peters, Megan A K; Lau, Hakwan

    2015-01-01

    Many believe that humans can ‘perceive unconsciously’ – that for weak stimuli, briefly presented and masked, above-chance discrimination is possible without awareness. Interestingly, an online survey reveals that most experts in the field recognize the lack of convincing evidence for this phenomenon, and yet they persist in this belief. Using a recently developed bias-free experimental procedure for measuring subjective introspection (confidence), we found no evidence for unconscious perception; participants’ behavior matched that of a Bayesian ideal observer, even though the stimuli were visually masked. This surprising finding suggests that the thresholds for subjective awareness and objective discrimination are effectively the same: if objective task performance is above chance, there is likely conscious experience. These findings shed new light on decades-old methodological issues regarding what it takes to consider a neurobiological or behavioral effect to be 'unconscious,' and provide a platform for rigorously investigating unconscious perception in future studies. DOI: http://dx.doi.org/10.7554/eLife.09651.001 PMID:26433023

  9. Dead space variability of face masks for valved holding chambers.

    PubMed

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  10. A novel anti-influenza copper oxide containing respiratory face mask.

    PubMed

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10)TCID(50)), while 4.67+/-1.35 log(10)TCID(50) were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10)TCID(50) and from the control masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk

  11. Do Plant-Bound Masked Mycotoxins Contribute to Toxicity?

    PubMed Central

    Gratz, Silvia W.

    2017-01-01

    Masked mycotoxins are plant metabolites of mycotoxins which co-contaminate common cereal crops. Since their discovery, the question has arisen if they contribute to toxicity either directly or indirectly through the release of the parent mycotoxins. Research in this field is rapidly emerging and the aim of this review is to summarize the latest knowledge on the fate of masked mycotoxins upon ingestion. Fusarium mycotoxins are the most prevalent masked mycotoxins and evidence is mounting that DON3Glc and possibly other masked trichothecenes are stable in conditions prevailing in the upper gut and are not absorbed intact. DON3Glc is also not toxic per se, but is hydrolyzed by colonic microbes and further metabolized to DOM-1 in some individuals. Masked zearalenone is rather more bio-reactive with some evidence on gastric and small intestinal hydrolysis as well as hydrolysis by intestinal epithelium and components of blood. Microbial hydrolysis of ZEN14Glc is almost instantaneous and further metabolism also occurs. Identification of zearalenone metabolites and their fate in the colon are still missing as is further clarification on whether or not masked zearalenone is hydrolyzed by mammalian cells. New masked mycotoxins continuously emerge and it is crucial that we gain detailed understanding of their individual metabolic fate in the body before we can assess synergistic effects and extrapolate the additive risk of all mycotoxins present in food. PMID:28264486

  12. Integration of mask and silicon metrology in DFM

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  13. CD-measurement technique for hole patterns on stencil mask

    NASA Astrophysics Data System (ADS)

    Ishikawa, Mikio; Yusa, Satoshi; Takikawa, Tadahiko; Fujita, Hiroshi; Sano, Hisatake; Hoga, Morihisa; Hayashi, Naoya

    2004-12-01

    EB lithography has a potential to successfully form hole patterns as small as 80 nm with a stencil mask. In a previous paper we proposed a technique using a HOLON dual-mode critical dimension (CD) SEM ESPA-75S in the transmission mode for CD measurement of line-and-space patterns on a stencil mask. In this paper we extend our effort of developing a CD measurement technique to contact hole features and determine it in comparison of measured values between features on mask and those printed on wafer. We have evaluated the width method and the area methods using designed 80-500 nm wide contact hole patterns on a large area membrane mask and their resist images on wafer printed by a LEEPL3000. We find that 1) the width method and the area methods show an excellent mask-wafer correlation for holes over 110 nm, and 2) the area methods show a better mask-wafer correlation than the width method does for holes below 110 nm. We conclude that the area calculated from the transmission SEM image is more suitable in defining the hole dimensions than the width for contact holes on a stencil mask.

  14. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  15. A mathematical framework to quantify the masking effect associated with the confidence intervals of measures of disproportionality

    PubMed Central

    Maignen, François; Hauben, Manfred; Dogné, Jean-Michel

    2017-01-01

    Background: The lower bound of the 95% confidence interval of measures of disproportionality (Lower95CI) is widely used in signal detection. Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other medicines. The primary objective of our study is to develop and validate a mathematical framework for assessing the masking effect of Lower95CI. Methods: We have developed our new algorithm based on the masking ratio (MR) developed for the measures of disproportionality. A MR for the Lower95CI (MRCI) is proposed. A simulation study to validate this algorithm was also conducted. Results: We have established the existence of a very close mathematical relation between MR and MRCI. For a given drug–event pair, the same product will be responsible for the highest masking effect with the measure of disproportionality and its Lower95CI. The extent of masking is likely to be very similar across the two methods. An important proportion of identical drug–event associations affected by the presence of an important masking effect is revealed by the unmasking exercise, whether the proportional reporting ratio (PRR) or its confidence interval are used. Conclusion: The detection of the masking effect of Lower95CI can be automated. The real benefits of this unmasking in terms of new true-positive signals (rate of true-positive/false-positive) or time gained by the revealing of signals using this method have not been fully assessed. These benefits should be demonstrated in the context of prospective studies. PMID:28845231

  16. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    PubMed

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  17. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  18. Spatial Release from Masking in Children: Effects of Simulated Unilateral Hearing Loss

    PubMed Central

    Corbin, Nicole E.; Buss, Emily; Leibold, Lori J.

    2016-01-01

    Objectives The purpose of this study was twofold: 1) to determine the effect of an acute simulated unilateral hearing loss on children’s spatial release from masking in two-talker speech and speech-shaped noise, and 2) to develop a procedure to be used in future studies that will assess spatial release from masking in children who have permanent unilateral hearing loss. There were three main predictions. First, spatial release from masking was expected to be larger in two-talker speech than speech-shaped noise. Second, simulated unilateral hearing loss was expected to worsen performance in all listening conditions, but particularly in the spatially separated two-talker speech masker. Third, spatial release from masking was expected to be smaller for children than for adults in the two-talker masker. Design Participants were 12 children (8.7 to 10.9 yrs) and 11 adults (18.5 to 30.4 yrs) with normal bilateral hearing. Thresholds for 50%-correct recognition of Bamford-Kowal-Bench sentences were measured adaptively in continuous two-talker speech or speech-shaped noise. Target sentences were always presented from a loudspeaker at 0° azimuth. The masker stimulus was either co-located with the target or spatially separated to +90° or −90° azimuth. Spatial release from masking was quantified as the difference between thresholds obtained when the target and masker were co-located and thresholds obtained when the masker was presented from +90° or − 90°. Testing was completed both with and without a moderate simulated unilateral hearing loss, created with a foam earplug and supra-aural earmuff. A repeated-measures design was used to compare performance between children and adults, and performance in the no-plug and simulated-unilateral-hearing-loss conditions. Results All listeners benefited from spatial separation of target and masker stimuli on the azimuth plane in the no-plug listening conditions; this benefit was larger in two-talker speech than in speech

  19. Does "Darkness" Lead to "Happiness"? Masked Suffix Priming Effects

    ERIC Educational Resources Information Center

    Dunabeitia, Jon Andoni; Perea, Manuel; Carreiras, Manuel

    2008-01-01

    Masked affix priming effects have usually been obtained for words sharing the initial affix (e.g., "reaction"-"REFORM"). However, prior evidence on masked suffix priming effects (e.g., "baker"-"WALKER") is inconclusive. In the present series of masked priming lexical decision experiments, a target word was…

  20. The technical consideration of multi-beam mask writer for production

    NASA Astrophysics Data System (ADS)

    Lee, Sang Hee; Ahn, Byung-Sup; Choi, Jin; Shin, In Kyun; Tamamushi, Shuichi; Jeon, Chan-Uk

    2016-10-01

    Multi-beam mask writer is under development to solve the throughput and patterning resolution problems in VSB mask writer. Theoretically, the writing time is appropriate for future design node and the resolution is improved with multi-beam mask writer. Many previous studies show the feasible results of resolution, CD control and registration. Although such technical results of development tool seem to be enough for mass production, there are still many unexpected problems for real mass production. In this report, the technical challenges of multi-beam mask writer are discussed in terms of production and application. The problems and issues are defined based on the performance of current development tool compared with the requirements of mask quality. Using the simulation and experiment, we analyze the specific characteristics of electron beam in multi-beam mask writer scheme. Consequently, we suggest necessary specifications for mass production with multi-beam mask writer in the future.

  1. Gentle Masking of Low-Complexity Sequences Improves Homology Search

    PubMed Central

    Frith, Martin C.

    2011-01-01

    Detection of sequences that are homologous, i.e. descended from a common ancestor, is a fundamental task in computational biology. This task is confounded by low-complexity tracts (such as atatatatatat), which arise frequently and independently, causing strong similarities that are not homologies. There has been much research on identifying low-complexity tracts, but little research on how to treat them during homology search. We propose to find homologies by aligning sequences with “gentle” masking of low-complexity tracts. Gentle masking means that the match score involving a masked letter is , where is the unmasked score. Gentle masking slightly but noticeably improves the sensitivity of homology search (compared to “harsh” masking), without harming specificity. We show examples in three useful homology search problems: detection of NUMTs (nuclear copies of mitochondrial DNA), recruitment of metagenomic DNA reads to reference genomes, and pseudogene detection. Gentle masking is currently the best way to treat low-complexity tracts during homology search. PMID:22205972

  2. Secondary gamma-ray production in a coded aperture mask

    NASA Technical Reports Server (NTRS)

    Owens, A.; Frye, G. M., Jr.; Hall, C. J.; Jenkins, T. L.; Pendleton, G. N.; Carter, J. N.; Ramsden, D.; Agrinier, B.; Bonfand, E.; Gouiffes, C.

    1985-01-01

    The application of the coded aperture mask to high energy gamma-ray astronomy will provide the capability of locating a cosmic gamma-ray point source with a precision of a few arc-minutes above 20 MeV. Recent tests using a mask in conjunction with drift chamber detectors have shown that the expected point spread function is achieved over an acceptance cone of 25 deg. A telescope employing this technique differs from a conventional telescope only in that the presence of the mask modifies the radiation field in the vicinity of the detection plane. In addition to reducing the primary photon flux incident on the detector by absorption in the mask elements, the mask will also be a secondary radiator of gamma-rays. The various background components in a CAMTRAC (Coded Aperture Mask Track Chamber) telescope are considered. Monte-Carlo calculations are compared with recent measurements obtained using a prototype instrument in a tagged photon beam line.

  3. Optical performances of the FM JEM-X masks

    NASA Astrophysics Data System (ADS)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  4. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  5. Masking responses to light in period mutant mice.

    PubMed

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  6. [Oxygenation: the impact of face mask coupling.].

    PubMed

    Gregori, Waldemar Montoya de; Mathias, Lígia Andrade da Silva Telles; Piccinini Filho, Luiz; Pena, Ernesto Leonardo de Carpio; Vicuna, Aníbal Heberto Mora; Vieira, Joaquim Edson

    2005-10-01

    Different oxygenation techniques aim at promoting denitrogenation before apnea during induction. The main reason why CIO2 = 100% cannot be reached is the lack of adequate face mask coupling, allowing the entry of room air. Although anesthesiologists know this principle, not all of them apply it correctly, facilitating the entry of air in fresh gases flow and consequently diluting CIO2. This prospective study was performed to comparatively evaluate, through the variation of oxygen expired concentration (CEO2), the efficacy of the oxygenation technique via face mask in the conditions routinely used by anesthesiologists, simulating situations of progressive leaks. Oxygen end-tidal concentrations of 15 volunteers, physical status ASA I, were studied with 8 deep breaths (vital capacity) in 60 s with fresh gas flow of 10 L.min-1. The face mask was: tightly fitted with 100% CIO2 (Tf100) or varying from 50% to 90%, (Tf50, Tf60, Tf70, Tf80, Tf90); gravity-coupled to face and 100% CIO2 (Grav) and moved 1 cm away from face with 100% CIO2 (Aw). CEO2 was recorded at 10 s intervals. P < 0.05 was considered statistically significant. CEO2 has increased for all groups (p < 0.001), but only Tf100 reached values close to ideal (82.20 - 87). Comparing mean CEO2 of Grav and Tf100 at the end of 60s, (82.20 and 65.87) there was a difference of approximately 20% between both techniques, since gravity-coupled mask only did not provide adequate oxygenation. There were no significant differences between groups Tf70 and Grav (65.87 and 62.67) in all studied moments, suggesting that the latter simulates a 70% CIO2 at 60 s. Mean Aw group CEO2 increased to 47.20 at 60s showing that this technique may be associated to unacceptable risk of hypoxemia. All situations of face mask coupling gradually increased CEO2, although with decreased oxygenation efficacy due to situations of face mask malposition. This study has shown the need for attention during oxygenation, using well coupled face mask and

  7. Cross-talk free selective reconstruction of individual objects from multiplexed optical field data

    NASA Astrophysics Data System (ADS)

    Zea, Alejandro Velez; Barrera, John Fredy; Torroba, Roberto

    2018-01-01

    In this paper we present a data multiplexing method for simultaneous storage in a single package composed by several optical fields of tridimensional (3D) objects, and their individual cross-talk free retrieval. Optical field data are extracted from off axis Fourier holograms, and then sampled by multiplying them with random binary masks. The resulting sampled optical fields can be used to reconstruct the original objects. Sampling causes a loss of quality that can be controlled by the number of white pixels in the binary masks and by applying a padding procedure on the optical field data. This process can be performed using a different binary mask for each optical field, and then added to form a multiplexed package. With the adequate choice of sampling and padding, we can achieve a volume reduction in the multiplexed package over the addition of all individual optical fields. Moreover, the package can be multiplied by a binary mask to select a specific optical field, and after the reconstruction procedure, the corresponding 3D object is recovered without any cross-talk. We demonstrate the effectiveness of our proposal for data compression with a comparison with discrete cosine transform filtering. Experimental results confirm the validity of our proposal.

  8. Substitution laws, insurance coverage, and generic drug use.

    PubMed

    Anis, A H

    1994-03-01

    This study examined the role of various policies (drug product substitution laws) that are usually motivated by cost containment objectives of insurers in facilitating entry by generic firms. Using data for six Canadian provinces over the years 1981-1988, we evaluated the impact of specific aspects of substitution laws on the level of generic use. We find that formularies and the passage of time are not significant determinants of substitution levels. Legal liability, mandatory product selection, deductible and co-payment schemes, and consumer awareness were found to be important variables. Price responsiveness of generic drugs is indicated but the evidence is not strong.

  9. Mask manufacturing improvement through capability definition and bottleneck line management

    NASA Astrophysics Data System (ADS)

    Strott, Al

    1994-02-01

    In 1989, Intel's internal mask operation limited itself to research and development activities and re-inspection and pellicle application of externally manufactured masks. Recognizing the rising capital cost of mask manufacturing at the leading edge, Intel's Mask Operation management decided to offset some of these costs by manufacturing more masks internally. This was the beginning of the challenge they set to manufacture at least 50% of Intel's mask volume internally, at world class performance levels. The first step in responding to this challenge was the completion of a comprehensive operation capability analysis. A series of bottleneck improvements by focus teams resulted in an average cycle time improvement to less than five days on all product and less than two days on critical products.

  10. Joint optimization of source, mask, and pupil in optical lithography

    NASA Astrophysics Data System (ADS)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  11. Object Trimming: When Masking Dots Alter Rather than Replace Target Representations

    ERIC Educational Resources Information Center

    Kahan, Todd A.; Enns, James T.

    2010-01-01

    Five experiments demonstrate that when dots appear beside a briefly presented target object, and persist on view longer than the target, the flanked object is perceptually altered by the dots. Three methods are used to explore this "object trimming effect". Experiments 1-3 assess participants' conscious reports of trimmed digits, Experiment 4 uses…

  12. Masked translation priming effects with low proficient bilinguals.

    PubMed

    Dimitropoulou, Maria; Duñabeitia, Jon Andoni; Carreiras, Manuel

    2011-02-01

    Non-cognate masked translation priming lexical decision studies with unbalanced bilinguals suggest that masked translation priming effects are asymmetric as a function of the translation direction (significant effects only in the dominant [L1] to nondominant [L2] language translation direction). However, in contrast to the predictions of most current accounts of masked translation priming effects, bidirectional effects have recently been reported with a group of low proficient bilinguals Duyck & Warlop 2009 (Experimental Psychology 56:173-179). In a series of masked translation priming lexical decision experiments we examined whether the same pattern of effects would emerge with late and low proficient Greek (L1)-Spanish (L2) bilinguals. Contrary to the results obtained by Duyck and Warlop, and in line with the results found in most studies in the masked priming literature, significant translation priming effects emerged only when the bilinguals performed the task with L1 primes and L2 targets. The existence of the masked translation priming asymmetry with low proficient bilinguals suggests that cross-linguistic automatic lexico-semantic links may be established very early in the process of L2 acquisition. These findings could help to define models of bilingualism that consider L2 proficiency level to be a determining factor.

  13. Maximizing noise energy for noise-masking studies.

    PubMed

    Jules Étienne, Cédric; Arleo, Angelo; Allard, Rémy

    2017-08-01

    Noise-masking experiments are widely used to investigate visual functions. To be useful, noise generally needs to be strong enough to noticeably impair performance, but under some conditions, noise does not impair performance even when its contrast approaches the maximal displayable limit of 100 %. To extend the usefulness of noise-masking paradigms over a wider range of conditions, the present study developed a noise with great masking strength. There are two typical ways of increasing masking strength without exceeding the limited contrast range: use binary noise instead of Gaussian noise or filter out frequencies that are not relevant to the task (i.e., which can be removed without affecting performance). The present study combined these two approaches to further increase masking strength. We show that binarizing the noise after the filtering process substantially increases the energy at frequencies within the pass-band of the filter given equated total contrast ranges. A validation experiment showed that similar performances were obtained using binarized-filtered noise and filtered noise (given equated noise energy at the frequencies within the pass-band) suggesting that the binarization operation, which substantially reduced the contrast range, had no significant impact on performance. We conclude that binarized-filtered noise (and more generally, truncated-filtered noise) can substantially increase the energy of the noise at frequencies within the pass-band. Thus, given a limited contrast range, binarized-filtered noise can display higher energy levels than Gaussian noise and thereby widen the range of conditions over which noise-masking paradigms can be useful.

  14. Protective Face Mask

    NASA Technical Reports Server (NTRS)

    1981-01-01

    Mask to protect the physically impaired from injuries to the face and head has been developed by Langley Research Center. It is made of composite materials, usually graphite or boron fibers woven into a matrix. Weighs less than three ounces.

  15. Testing the efficacy of homemade masks: would they protect in an influenza pandemic?

    PubMed

    Davies, Anna; Thompson, Katy-Anne; Giri, Karthika; Kafatos, George; Walker, Jimmy; Bennett, Allan

    2013-08-01

    This study examined homemade masks as an alternative to commercial face masks. Several household materials were evaluated for the capacity to block bacterial and viral aerosols. Twenty-one healthy volunteers made their own face masks from cotton t-shirts; the masks were then tested for fit. The number of microorganisms isolated from coughs of healthy volunteers wearing their homemade mask, a surgical mask, or no mask was compared using several air-sampling techniques. The median-fit factor of the homemade masks was one-half that of the surgical masks. Both masks significantly reduced the number of microorganisms expelled by volunteers, although the surgical mask was 3 times more effective in blocking transmission than the homemade mask. Our findings suggest that a homemade mask should only be considered as a last resort to prevent droplet transmission from infected individuals, but it would be better than no protection.

  16. Masked and unmasked error-related potentials during continuous control and feedback

    NASA Astrophysics Data System (ADS)

    Lopes Dias, Catarina; Sburlea, Andreea I.; Müller-Putz, Gernot R.

    2018-06-01

    The detection of error-related potentials (ErrPs) in tasks with discrete feedback is well established in the brain–computer interface (BCI) field. However, the decoding of ErrPs in tasks with continuous feedback is still in its early stages. Objective. We developed a task in which subjects have continuous control of a cursor’s position by means of a joystick. The cursor’s position was shown to the participants in two different modalities of continuous feedback: normal and jittered. The jittered feedback was created to mimic the instability that could exist if participants controlled the trajectory directly with brain signals. Approach. This paper studies the electroencephalographic (EEG)—measurable signatures caused by a loss of control over the cursor’s trajectory, causing a target miss. Main results. In both feedback modalities, time-locked potentials revealed the typical frontal-central components of error-related potentials. Errors occurring during the jittered feedback (masked errors) were delayed in comparison to errors occurring during normal feedback (unmasked errors). Masked errors displayed lower peak amplitudes than unmasked errors. Time-locked classification analysis allowed a good distinction between correct and error classes (average Cohen-, average TPR  =  81.8% and average TNR  =  96.4%). Time-locked classification analysis between masked error and unmasked error classes revealed results at chance level (average Cohen-, average TPR  =  60.9% and average TNR  =  58.3%). Afterwards, we performed asynchronous detection of ErrPs, combining both masked and unmasked trials. The asynchronous detection of ErrPs in a simulated online scenario resulted in an average TNR of 84.0% and in an average TPR of 64.9%. Significance. The time-locked classification results suggest that the masked and unmasked errors were indistinguishable in terms of classification. The asynchronous classification results suggest that the

  17. Masking Treatment and its Effect on Tinnitus Parameters.

    PubMed

    Aytac, Ismail; Baysal, Elif; Gulsen, Secaattin; Tumuklu, Koray; Durucu, Cengiz; Mumbuc, Lütfi Semih; Kanlikama, MUzaffer

    2017-12-01

    Tinnitus is described as the perception of sound without any external acoustic stimulation. Any pathology of auditory pathways or any system of the human body may result with tinnitus. The pathophysiology of tinnitus accompanying the disorders of auditory system is not fully understood and there is not any particular effective treatment method has been specified. Tinnitus masking therapy has been reported as an effective treatment modality in the treatment of tinnitus. In this study, the results of tinnitus masking treatment on the parameters were evaluated prospectively. Patients with normal physical examination was enrolled in the study. Blood tests (complete blood count, biochemical analysis of lipid profile, and thyroid hormones), pure tone audiometry, tympanometric measurement of the middle ear pressure and stapedial reflexes were performed, Sixty six patients with normal results of blood tests and normal hearing thresholds with type A tympanogram were included. Tinnitus sufferers questionnaires (socio-demographics, clinical information, Tinnitus Handicap Inventory (THI), Beck Depression Inventory (BDI) was filled, audiological tests were performed, tinnitus parameters (frequency, intensity, minimal masking levels, residual inhibition) were measured. After four weeks of the treatment the questionnaires were repeated. Masking treatment for tinnitus patients resulted with significant decrease in Tinnitus Handicap Inventory and VAS scores. After four weeks of the masking treatment the questionnaire was repeated. Twenty patients did not respond to treatment. Masking therapy is one of the most effective methods of treatment for tinnitus patients. Masking therapy, that is not invasive and cost-effective has an important place in the treatment of tinnitus. Especially in a short time provides a significant reduction in tinnitus parameters.

  18. Captive breeding and reintroduction of the endangered masked bobwhite

    USGS Publications Warehouse

    Carpenter, J.W.; Gabel, R.R.; Goodwin, J.G.

    1991-01-01

    Efforts to restore the endangered masked bobwhite (Colinus virginianus ridgwayi) to its former range have required 1) habitat acquisition, restoration, and preservation; 2) captive propagation; and 3) reintroduction .bf captive-bred stock. In its role to recover the masked bobwhite, the Patuxent Wildlife Research Center (U.S. Fish and Wildli e Service) has refined captive breeding techniques; provided captive-produced stock for release; conducted field research on the distribution, limiting factors, and habitat characteristics of this species; and developed release methods. Techniques for the husbandry and captive management, breeding, artificial incubation and hatching of eggs, and rearing of young of the masked bobwhite have been developed. Successful reintroduction techniques for the masked bobwhite have included prerelease conditioning and/or cross-fostering of captive-reared masked bobwhite chicks to a wild-caught, related, vasectomized bobwhite species and their release to the wild as family units. In addition, the establishment by the U. S. Fish and Wildlife Service of the Buenos Aires National Wildlife Refuge in 1985 has further enhanced the potential for establishing a self-sustaining population of the masked bobwhite in the U. S. Through continued releases and active management of habitat, therefore, it is believed that the masked bobwhite can become permanently established at the refuge to ensure its continued survival in the wild.

  19. Direct Measures of Character Mislocalizations with Masked/Unmasked Exposures.

    ERIC Educational Resources Information Center

    Chastain, Garvin; And Others

    Butler (1980) compared errors representing intrusions and mislocalizations on 3x3 letter displays under pattern-mask versus no-mask conditions and found that pattern masking increased character mislocalization errors (naming a character in the display but not in the target position as being the target) over intrusion errors (naming a character not…

  20. A procedure and program to calculate shuttle mask advantage

    NASA Astrophysics Data System (ADS)

    Balasinski, A.; Cetin, J.; Kahng, A.; Xu, X.

    2006-10-01

    A well-known recipe for reducing mask cost component in product development is to place non-redundant elements of layout databases related to multiple products on one reticle plate [1,2]. Such reticles are known as multi-product, multi-layer, or, in general, multi-IP masks. The composition of the mask set should minimize not only the layout placement cost, but also the cost of the manufacturing process, design flow setup, and product design and introduction to market. An important factor is the quality check which should be expeditious and enable thorough visual verification to avoid costly modifications once the data is transferred to the mask shop. In this work, in order to enable the layer placement and quality check procedure, we proposed an algorithm where mask layers are first lined up according to the price and field tone [3]. Then, depending on the product die size, expected fab throughput, and scribeline requirements, the subsequent product layers are placed on the masks with different grades. The actual reduction of this concept to practice allowed us to understand the tradeoffs between the automation of layer placement and setup related constraints. For example, the limited options of the numbers of layer per plate dictated by the die size and other design feedback, made us consider layer pairing based not only on the final price of the mask set, but also on the cost of mask design and fab-friendliness. We showed that it may be advantageous to introduce manual layer pairing to ensure that, e.g., all interconnect layers would be placed on the same plate, allowing for easy and simultaneous design fixes. Another enhancement was to allow some flexibility in mixing and matching of the layers such that non-critical ones requiring low mask grade would be placed in a less restrictive way, to reduce the count of orphan layers. In summary, we created a program to automatically propose and visualize shuttle mask architecture for design verification, with

  1. Green binary and phase shifting mask

    NASA Astrophysics Data System (ADS)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  2. A Novel Anti-Influenza Copper Oxide Containing Respiratory Face Mask

    PubMed Central

    Borkow, Gadi; Zhou, Steve S.; Page, Tom; Gabbay, Jeffrey

    2010-01-01

    Background Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. Methodology/Principal Findings We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66±0.51 and 6.17±0.37 log10TCID50 of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (≤0.88 log10TCID50), while 4.67±1.35 log10TCID50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were ≤0.97±0.01 log10TCID50 and from the control masks 5.03±0.54 log10TCID50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Conclusions/Significance Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks

  3. Effectiveness of activated carbon masks in preventing anticancer drug inhalation.

    PubMed

    Sato, Junya; Kogure, Atushi; Kudo, Kenzo

    2016-01-01

    The exposure of healthcare workers to anticancer drugs such as cyclophosphamide (CPA) is a serious health concern. Anticancer drug pollution may spread outside biological safety cabinets even when a closed system is used. The inhalation of vaporized anticancer drugs is thought to be the primary route of exposure. Therefore, it is important that healthcare workers wear masks to prevent inhalation of anticancer drugs. However, the permeability of medical masks to vaporized anticancer drugs has not been examined. Furthermore, the performance differences between masks including activated carbon with chemical adsorptivity and non-activated carbon masks are uncertain. We investigated activated carbon mask permeability to vaporized CPA, and assessed whether inhibition of vaporized CPA permeability was attributable to the masks' adsorption abilities. A CPA solution (4 mg) was vaporized in a chamber and passed through three types of masks: Pleated-type cotton mask (PCM), pleated-type activated carbon mask (PAM), and stereoscopic-type activated carbon mask (SAM); the flow rate was 1.0 L/min for 1 h. The air was then recovered in 50 % ethanol. CPA quantities in the solution were determined by liquid chromatography time-of-flight mass spectrometry. To determine CPA adsorption by the mask, 5 cm 2 of each mask was immersed in 10 mL of CPA solution (50-2500 μg/mL) for 1 h. CPA concentrations were measured by high-performance liquid chromatography with ultraviolet detection. For the control (no mask), 3.735 ± 0.543 μg of CPA was recovered from the aerated solution. Significantly lower quantities were recovered from PCM (0.538 ± 0.098 μg) and PAM (0.236 ± 0.193 μg) ( p  < 0.001 and p  < 0.001 vs control, respectively). CPA quantities recovered from all of SAM samples were below the quantification limit. When a piece of the SAM was immersed in the CPA solution, a marked decrease to less than 3.1 % of the initial CPA concentration was observed

  4. Nutrient-substituted hydroxyapatites: synthesis and characterization

    NASA Technical Reports Server (NTRS)

    Golden, D. C.; Ming, D. W.

    1999-01-01

    Incorporation of Mg, S, and plant-essential micronutrients into the structure of synthetic hydroxyapatite (HA) may be advantageous for closed-loop systems, such as will be required on Lunar and Martian outposts, because these apatites can be used as slow-release fertilizers. Our objective was to synthesize HA with Ca, P, Mg, S, Fe, Cu, Mn, Zn, Mo, B, and Cl incorporated into the structure, i.e., nutrient-substituted apatites. Hydroxyapatite, carbonate hydroxyapatite (CHA), nutrient-substituted hydroxyapatite (NHA), and nutrient-substituted carbonate hydroxyapatite (NCHA) were synthesized by precipitating from solution. Chemical and mineralogical analysis of precipitated samples indicated a considerable fraction of the added cations were incorporated into HA, without mineral impurities. Particle size of the HA was in the 1 to 40 nm range, and decreased with increased substitution of nutrient elements. The particle shape of HA was elongated in the c-direction in unsubstituted HA and NHA but more spherical in CHA and NCHA. The substitution of cations and anions in the HA structure was confirmed by the decrease of the d[002] spacing of HA with substitution of ions with an ionic radius less than that of Ca or P. The DTPA-extractable Cu ranged from 8 to 8429 mg kg-1, Zn ranged from 57 to 1279 mg kg-1, Fe from 211 to 2573 mg kg-1, and Mn from 190 to 1719 mg kg-1, depending on the substitution level of each element in HA. Nutrient-substituted HA has the potential to be used as a slow-release fertilizer to supply micronutrients, S, and Mg in addition to Ca and P.

  5. Effects of temporal integration on the shape of visual backward masking functions.

    PubMed

    Francis, Gregory; Cho, Yang Seok

    2008-10-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be monotonic increasing but other times is U-shaped. Theories of backward masking have long hypothesized that temporal integration of the target and mask influences properties of masking but have not connected the influence of integration with the shape of the masking function. With two experiments that vary the spatial properties of the target and mask, the authors provide evidence that temporal integration of the stimuli plays a critical role in determining the shape of the masking function. The resulting data both challenge current theories of backward masking and indicate what changes to the theories are needed to account for the new data. The authors further discuss the implication of the findings for uses of backward masking to explore other aspects of cognition.

  6. An improved land mask for the SSM/I grid

    NASA Technical Reports Server (NTRS)

    Martino, Michael G.; Cavalieri, Donald J.; Gloersen, Per; Zwally, H. Jay; Acker, James G. (Editor)

    1995-01-01

    This paper discusses the development of a new land/ocean/coastline mask for use with Defense Meteorological Satellite Program (DMSP) Special Sensor Microwave/Imager (SSM/I) data, and other types of data which are mapped to the polar stereographic SSM/I grid. Pre-existing land masks were found to disagree, to lack certain land features, and to disagree with land boundaries that are visible in high resolution sensor imagery, such as imagery from the Synthetic Aperture Radar (SAR) on the Earth Resources Satellite (ERS-1). The Digital Chart of the World (DCW) database was initially selected as a source of shoreline data for this effort. Techniques for developing a land mask from these shoreline data are discussed. The resulting land mask, although not perfect, is seen to exhibit significant improvement over previous land mask products.

  7. Thermal Management in Nanofiber-Based Face Mask.

    PubMed

    Yang, Ankun; Cai, Lili; Zhang, Rufan; Wang, Jiangyan; Hsu, Po-Chun; Wang, Hongxia; Zhou, Guangmin; Xu, Jinwei; Cui, Yi

    2017-06-14

    Face masks are widely used to filter airborne pollutants, especially when particulate matter (PM) pollution has become a serious concern to public health. Here, the concept of thermal management is introduced into face masks for the first time to enhance the thermal comfort of the user. A system of nanofiber on nanoporous polyethylene (fiber/nanoPE) is developed where the nanofibers with strong PM adhesion ensure high PM capture efficiency (99.6% for PM 2.5 ) with low pressure drop and the nanoPE substrate with high-infrared (IR) transparency (92.1%, weighted based on human body radiation) results in effective radiative cooling. We further demonstrate that by coating nanoPE with a layer of Ag, the fiber/Ag/nanoPE mask shows a high IR reflectance (87.0%) and can be used for warming purposes. These multifunctional face mask designs can be explored for both outdoor and indoor applications to protect people from PM pollutants and simultaneously achieve personal thermal comfort.

  8. Identification of Bitterness-Masking Compounds from Cheese

    PubMed Central

    2012-01-01

    Bitterness-masking compounds were identified in a natural white mold cheese. The oily fraction of the cheese was extracted and further fractionated by using silica gel column chromatography. The four fractions obtained were characterized by thin-layer chromatography and nuclear magnetic resonance spectroscopy. The fatty acid-containing fraction was found to have the highest bitterness-masking activity against quinine hydrochloride. Bitterness-masking activity was quantitated using a method based on subjective equivalents. At 0.5 mM, the fatty acid mixture, which had a composition similar to that of cheese, suppressed the bitterness of 0.008% quinine hydrochloride to be equivalent to that of 0.0049–0.0060% and 0.5 mM oleic acid to that of 0.0032–0.0038% solution. The binding potential between oleic acid and the bitter compounds was estimated by isothermal titration calorimetry. These results suggest that oleic acid masked bitterness by forming a complex with the bitter compounds. PMID:22502602

  9. Advances in low-defect multilayers for EUVL mask blanks

    NASA Astrophysics Data System (ADS)

    Folta, James A.; Davidson, J. Courtney; Larson, Cindy C.; Walton, Christopher C.; Kearney, Patrick A.

    2002-07-01

    Low-defect multilayer coatings are required to fabricate mask blanks for Extreme Ultraviolet Lithography (EUVL). The mask blanks consist of high reflectance EUV multilayers on low thermal expansion substrates. A defect density of 0.0025 printable defects/cm2 for both the mask substrate and the multilayer is required to provide a mask blank yield of 60 percent. Current low defect multilayer coating technology allows repeated coating-added defect levels of 0.05/cm2 for defects greater than 90 nm polystyrene latex sphere (PSL) equivalent size for lots of 20 substrates. Extended clean operation of the coating system at levels below 0.08/cm2 for 3 months of operation has also been achieved. Two substrates with zero added defects in the quality area have been fabricated, providing an existence proof that ultra low defect coatings are possible. Increasing the ion source-to-target distance from 410 to 560 mm to reduce undesired coating of the ion source caused the defect density to increase to 0.2/cm2. Deposition and etching diagnostic witness substrates and deposition pinhole cameras showed a much higher level of ion beam spillover (ions missing the sputter target) than expected. Future work will quantify beam spillover, and test designs to reduce spillover, if it is confirmed to be the cause of the increased defect level. The LDD system will also be upgraded to allow clean coating of standard format mask substrates. The upgrade will confirm that the low defect process developed on Si wafers is compatible with the standard mask format 152 mm square substrates, and will provide a clean supply of EUVL mask blanks needed to support development of EUVL mask patterning processes and clean mask handling technologies.

  10. 42 CFR 84.252 - Gas masks; requirements and tests.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas masks; requirements and tests. 84.252 Section... Respirators § 84.252 Gas masks; requirements and tests. (a) Except for the tests prescribed in § 84.126, the minimum requirements and performance tests for gas masks, prescribed in Subpart I of this part, are...

  11. Programmable masks for optical information processing

    NASA Technical Reports Server (NTRS)

    Goebel, J. H.; Matsumoto, T.; Mina, C.; Welch, J.

    1984-01-01

    Research in progress at NASA Ames Research Center on programmable masks is discussed in this paper. One type is a large area format liquid crystal mask intended for binary optical computation problems. To date, an on-off contrast ratio of 500:1 has been achieved at a switching rate of 1 Hz. With a 32 x 32 format, a ratio 10 to the 4th power:1 is desirable. The switching contrast is entirely dependent on the polarized quality; therefore, it is believed that 10 to the 4th power:1 in transmission is possible. Larger format masks are under development. An application to Hadamard transform polarized imagery at wavelengths of 1.0 to 2.0 micrometers, which is competitive with the best monolithic infrared detector arrays, is discussed.

  12. The Four-Quadrant Phase-Mask Coronagraph. I. Principle

    NASA Astrophysics Data System (ADS)

    Rouan, D.; Riaud, P.; Boccaletti, A.; Clénet, Y.; Labeyrie, A.

    2000-11-01

    We describe a new type of coronagraph, based on the principle of a phase mask as proposed by Roddier and Roddier a few years ago but using an original mask design found by one of us (D. R.), a four-quadrant binary phase mask (0, π) covering the full field of view at the focal plane. The mutually destructive interferences of the coherent light from the main source produce a very efficient nulling. The computed rejection rate of this coronagraph appears to be very high since, when perfectly aligned and phase-error free, it could in principle reduce the total amount of light from the bright source by a factor of 108, corresponding to a gain of 20 mag in brightness at the location of the first Airy ring, relative to the Airy peak. In the real world the gain is of course reduced by a strong factor, but nulling is still performing quite well, provided that the perturbation of the phase, for instance, due to the Earth's atmosphere, is efficiently corrected by adaptive optics. We show from simulations that a detection at a contrast of 10 mag between a star and a faint companion is achievable in excellent conditions, while 8 mag appears routinely feasible. This coronagraph appears less sensitive to atmospheric turbulence and has a larger dynamic range than other recently proposed nulling techniques: the phase-mask coronagraph (by Roddier and Roddier) or the Achromatic Interfero-Coronagraph (by Gay and Rabbia). We present the principle of the four-quadrant coronagraph and results of a first series of simulations. We compare those results with theoretical performances of other devices. We briefly analyze the different limitations in space or ground-based observations, as well as the issue of manufacturing the device. We also discuss several ways to improve the detection of a faint companion around a bright object. We conclude that, with respect to previous techniques, an instrument equipped with this coronagraph should have better performance and even enable the imaging of

  13. Invariant correlation to position and rotation using a binary mask applied to binary and gray images

    NASA Astrophysics Data System (ADS)

    Álvarez-Borrego, Josué; Solorza, Selene; Bueno-Ibarra, Mario A.

    2013-05-01

    In this paper more alternative ways to generate the binary ring masks are studied and a new methodology is presented when in the analysis the image come with some distortion due to rotation. This new algorithm requires low computational cost. Signature vectors of the target so like signature vectors of the object to be recognized in the problem image are obtained using a binary ring mask constructed in accordance with the real or the imaginary part of their Fourier transform analyzing two different conditions in each one. In this manner, each image target or problem image, will have four unique binary ring masks. The four ways are analyzed and the best is chosen. In addition, due to any image with rotation include some distortion, the best transect is chosen in the Fourier plane in order to obtain the best signature through the different ways to obtain the binary mask. This methodology is applied to two cases: to identify different types of alphabetic letters in Arial font and to identify different fossil diatoms images. Considering the great similarity between diatom images the results obtained are excellent.

  14. Dynamics of normalization underlying masking in human visual cortex.

    PubMed

    Tsai, Jeffrey J; Wade, Alex R; Norcia, Anthony M

    2012-02-22

    Stimulus visibility can be reduced by other stimuli that overlap the same region of visual space, a process known as masking. Here we studied the neural mechanisms of masking in humans using source-imaged steady state visual evoked potentials and frequency-domain analysis over a wide range of relative stimulus strengths of test and mask stimuli. Test and mask stimuli were tagged with distinct temporal frequencies and we quantified spectral response components associated with the individual stimuli (self terms) and responses due to interaction between stimuli (intermodulation terms). In early visual cortex, masking alters the self terms in a manner consistent with a reduction of input contrast. We also identify a novel signature of masking: a robust intermodulation term that peaks when the test and mask stimuli have equal contrast and disappears when they are widely different. We fit all of our data simultaneously with family of a divisive gain control models that differed only in their dynamics. Models with either very short or very long temporal integration constants for the gain pool performed worse than a model with an integration time of ∼30 ms. Finally, the absolute magnitudes of the response were controlled by the ratio of the stimulus contrasts, not their absolute values. This contrast-contrast invariance suggests that many neurons in early visual cortex code relative rather than absolute contrast. Together, these results provide a more complete description of masking within the normalization framework of contrast gain control and suggest that contrast normalization accomplishes multiple functional goals.

  15. Estimating subglottal pressure via airflow interruption with auditory masking.

    PubMed

    Hoffman, Matthew R; Jiang, Jack J

    2009-11-01

    Current noninvasive measurement of subglottal pressure using airflow interruption often produces inconsistent results due to the elicitation of audio-laryngeal reflexes. Auditory feedback could be considered as a means of ensuring measurement accuracy and precision. The purpose of this study was to determine if auditory masking could be used with the airflow interruption system to improve intrasubject consistency. A prerecorded sample of subject phonation was played on a loop over headphones during the trials with auditory masking. This provided subjects with a target pitch and blocked out distracting ambient noise created by the airflow interrupter. Subglottal pressure was noninvasively measured using the airflow interruption system. Thirty subjects, divided into two equal groups, performed 10 trials without auditory masking and 10 trials with auditory masking. Group one performed the normal trials first, followed by the trials with auditory masking. Group two performed the auditory masking trials first, followed by the normal trials. Intrasubject consistency was improved by adding auditory masking, resulting in a decrease in average intrasubject standard deviation from 0.93+/-0.51 to 0.47+/-0.22 cm H(2)O (P < 0.001). Auditory masking can be used effectively to combat audio-laryngeal reflexes and aid subjects in maintaining constant glottal configuration and frequency, thereby increasing intrasubject consistency when measuring subglottal pressure. By considering auditory feedback, a more reliable method of measurement was developed. This method could be used by clinicians, as reliable, immediately available values of subglottal pressure are useful in evaluating laryngeal health and monitoring treatment progress.

  16. Bubble masks for time-encoded imaging of fast neutrons.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixedmore » blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.« less

  17. Selective spatial attention modulates bottom-up informational masking of speech

    PubMed Central

    Carlile, Simon; Corkhill, Caitlin

    2015-01-01

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention. PMID:25727100

  18. Selective spatial attention modulates bottom-up informational masking of speech.

    PubMed

    Carlile, Simon; Corkhill, Caitlin

    2015-03-02

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention.

  19. Method and apparatus for inspecting an EUV mask blank

    DOEpatents

    Goldberg, Kenneth A.

    2005-11-08

    An apparatus and method for at-wavelength EUV mask-blank characterization for inspection of moderate and low spatial frequency coating uniformity using a synchrotron or other source of EUV light. The apparatus provides for rapid, non-destruction, non-contact, at-wavelength qualification of large mask areas, and can be self-calibrating or be calibrated to well-characterized reference samples. It can further check for spatial variation of mask reflectivity or for global differences among masks. The apparatus and method is particularly suited for inspection of coating uniformity and quality and can detect defects in the order of 50 .mu.m and above.

  20. The neural processing of masked speech

    PubMed Central

    Scott, Sophie K; McGettigan, Carolyn

    2014-01-01

    Spoken language is rarely heard in silence, and a great deal of interest in psychoacoustics has focused on the ways that the perception of speech is affected by properties of masking noise. In this review we first briefly outline the neuroanatomy of speech perception. We then summarise the neurobiological aspects of the perception of masked speech, and investigate this as a function of masker type, masker level and task. PMID:23685149

  1. Tinnitus retraining therapy: mixing point and total masking are equally effective.

    PubMed

    Tyler, Richard S; Noble, William; Coelho, Claudia Barros; Ji, Haihong

    2012-01-01

    Habituation to tinnitus cannot occur with total masking, an argument made by proponents of "tinnitus retraining therapy." We also compared the effectiveness of retraining therapy with mixing-point masking, total masking, and with counseling alone. Forty-eight tinnitus patients were randomly assigned to one of three groups: counseling, counseling plus bilateral noise generators set to completely mask the tinnitus, or counseling plus bilateral noise generators with a focus on the mixing point (partial masking just below total masking). A picture-based counseling protocol was used to assist in providing similar counseling among all three groups. The Tinnitus Handicap Questionnaire was administered before and after about 12 months of treatment. After 12 months, in the counseling group, three of 18 patients benefited significantly, in the mixing-point group, six of 19 patients benefited, and in the total masking group, four of 11 patients benefited from the treatment. The average decrease in the questionnaire was 16.7% for the counseling group, 31.6% for the retraining group, and 36.4% for the total masking group. No significant average differences among groups were observed. One premise of retraining therapy is incorrect; a focus on mixing-point masking is not required for habituation.

  2. The efficacy of three different mask styles on a PAP titration night.

    PubMed

    Ebben, Matthew R; Oyegbile, Temitayo; Pollak, Charles P

    2012-06-01

    This study compared the efficacy of three different masks, nasal pillows, nasal masks and full face (oronasal) masks, during a single night of titration with continuous positive airway pressure (CPAP). Fifty five subjects that included men (n=33) and women (n=22) were randomly assigned to one of three masks and underwent a routine titration with incremental CPAP applied through the different masks. CPAP applied through the nasal pillows and nasal mask was equally effective in treating mild, moderate, and severe sleep apnea. However, CPAP applied through the oronasal mask required a significantly higher pressure compared to nasal masks to treat moderately severe (2.8 cm of H(2)O ± 2.1 SD) and severe (6.0 cm of H(2)O ± 3.2 SD) obstructive sleep apnea. CPAP applied with either nasal mask was effective in treating mild, moderate, and severe sleep apnea. The oronasal mask required significantly higher pressures in subjects with moderate to severe disease. Therefore, when changing from a nasal to an oronasal mask, a repeat titration is required to ensure effective treatment of sleep apnea, especially in patients with moderate to severe disease. Copyright © 2012 Elsevier B.V. All rights reserved.

  3. Coherent diffractive imaging using randomly coded masks

    DOE PAGES

    Seaberg, Matthew H.; d'Aspremont, Alexandre; Turner, Joshua J.

    2015-12-07

    We experimentally demonstrate an extension to coherent diffractive imaging that encodes additional information through the use of a series of randomly coded masks, removing the need for typical object-domain constraints while guaranteeing a unique solution to the phase retrieval problem. Phase retrieval is performed using a numerical convex relaxation routine known as “PhaseCut,” an iterative algorithm known for its stability and for its ability to find the global solution, which can be found efficiently and which is robust to noise. As a result, the experiment is performed using a laser diode at 532.2 nm, enabling rapid prototyping for future X-raymore » synchrotron and even free electron laser experiments.« less

  4. Coherent diffractive imaging using randomly coded masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seaberg, Matthew H., E-mail: seaberg@slac.stanford.edu; Linac Coherent Light Source, SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, California 94025; D'Aspremont, Alexandre

    2015-12-07

    We experimentally demonstrate an extension to coherent diffractive imaging that encodes additional information through the use of a series of randomly coded masks, removing the need for typical object-domain constraints while guaranteeing a unique solution to the phase retrieval problem. Phase retrieval is performed using a numerical convex relaxation routine known as “PhaseCut,” an iterative algorithm known for its stability and for its ability to find the global solution, which can be found efficiently and which is robust to noise. The experiment is performed using a laser diode at 532.2 nm, enabling rapid prototyping for future X-ray synchrotron and even freemore » electron laser experiments.« less

  5. Effects of Cueing in Auditory Temporal Masking

    ERIC Educational Resources Information Center

    Zhang, Ting; Formby, Craig

    2007-01-01

    Purpose: In a landmark study, B. A. Wright et al. (1997) reported an apparent backward-masking deficit in language-learning-impaired children. Subsequently, the controversial interpretation of those results has been influential in guiding treatments for childhood language problems. This study revisited the temporal-masking paradigm reported by B.…

  6. Tactile exploration of virtual objects for blind and sighted people: the role of beta 1 EEG band in sensory substitution and supramodal mental mapping

    PubMed Central

    Brayda, L.; De Carli, F.; Chellali, R.; Famà, F.; Bruzzo, C.; Lucagrossi, L.; Rodriguez, G.

    2012-01-01

    The neural correlates of exploration and cognitive mapping in blindness remain elusive. The role of visuo-spatial pathways in blind vs. sighted subjects is still under debate. In this preliminary study, we investigate, as a possible estimation of the activity in the visuo-spatial pathways, the EEG patterns of blind and blindfolded-sighted subjects during the active tactile construction of cognitive maps from virtual objects compared with rest and passive tactile stimulation. Ten blind and ten matched, blindfolded-sighted subjects participated in the study. Events were defined as moments when the finger was only stimulated (passive stimulation) or the contour of a virtual object was touched (during active exploration). Event-related spectral power and coherence perturbations were evaluated within the beta 1 band (14–18 Hz). They were then related to a subjective cognitive-load estimation required by the explorations [namely, perceived levels of difficulty (PLD)]. We found complementary cues for sensory substitution and spatial processing in both groups: both blind and sighted subjects showed, while exploring, late power decreases and early power increases, potentially associated with motor programming and touch, respectively. The latter involved occipital areas only for blind subjects (long-term plasticity) and only during active exploration, thus supporting tactile-to-visual sensory substitution. In both groups, coherences emerged among the fronto-central, centro-parietal, and occipito-temporal derivations associated with visuo-spatial processing. This seems in accordance with mental map construction involving spatial processing, sensory-motor processing, and working memory. The observed involvement of the occipital regions suggests that a substitution process also occurs in sighted subjects. Only during explorations did coherence correlate positively with PLD for both groups and in derivations, which can be related to visuo-spatial processing, supporting the

  7. A computational investigation of feedforward and feedback processing in metacontrast backward masking

    PubMed Central

    Silverstein, David N.

    2015-01-01

    In human perception studies, visual backward masking has been used to understand the temporal dynamics of subliminal vs. conscious perception. When a brief target stimulus is followed by a masking stimulus after a short interval of <100 ms, performance on the target is impaired when the target and mask are in close spatial proximity. While the psychophysical properties of backward masking have been studied extensively, there is still debate on the underlying cortical dynamics. One prevailing theory suggests that the impairment of target performance due to the mask is the result of lateral inhibition between the target and mask in feedforward processing. Another prevailing theory suggests that this impairment is due to the interruption of feedback processing of the target by the mask. This computational study demonstrates that both aspects of these theories may be correct. Using a biophysical model of V1 and V2, visual processing was modeled as interacting neocortical attractors, which must propagate up the visual stream. If an activating target attractor in V1 is quiesced enough with lateral inhibition from a mask, or not reinforced by recurrent feedback, it is more likely to burn out before becoming fully active and progressing through V2 and beyond. Results are presented which simulate metacontrast backward masking with an increasing stimulus interval and with the presence and absence of feedback activity. This showed that recurrent feedback diminishes backward masking effects and can make conscious perception more likely. One model configuration presented a metacontrast noise mask in the same hypercolumns as the target, and produced type-A masking. A second model configuration presented a target line with two parallel adjacent masking lines, and produced type-B masking. Future work should examine how the model extends to more complex spatial mask configurations. PMID:25759672

  8. Computerized Dead-Space Volume Measurement of Face Masks Applied to Simulated Faces.

    PubMed

    Amirav, Israel; Luder, Anthony S; Halamish, Asaf; Marzuk, Chatib; Daitzchman, Marcelo; Newhouse, Michael T

    2015-09-01

    The dead-space volume (VD) of face masks for metered-dose inhaler treatments is particularly important in infants and young children with asthma, who have relatively low tidal volumes. Data about VD have been traditionally obtained from water displacement measurements, in which masks are held against a flat surface. Because, in real life, masks are placed against the face, VD is likely to differ considerably between masks depending upon their contour and fit. The aim of this study was to develop an accurate and reliable way to measure VD electronically and to apply this technique by comparing the electronic VD of commonly available face masks. Average digital faces were obtained from 3-dimensional images of 270 infants and children. Commonly used face masks (small and medium) from various manufacturers (Monaghan Medical, Pari Respiratory Equipment, Philips Respironics, and InspiRx) were scanned and digitized by means of computed tomography. Each mask was electronically applied to its respective digital face, and the VD enclosed (mL) was computerized and precisely measured. VD varied between 22.6 mL (SootherMask, InspiRx) and 43.1 mL (Vortex, Pari) for small masks and between 41.7 mL (SootherMask) and 71.5 mL (AeroChamber, Monaghan Medical) for medium masks. These values were significantly lower and less variable than measurements obtained by water displacement. Computerized techniques provide an innovative and relatively simple way of accurately measuring the VD of face masks applied to digital faces. As determined by computerized measurement using average-size virtual faces, the InspiRx masks had a significantly smaller VD for both small and medium masks compared with the other masks. This is of considerable importance with respect to aerosol dose and delivery time, particularly in young children. (ClinicalTrials.gov registration NCT01274299.). Copyright © 2015 by Daedalus Enterprises.

  9. [Laryngeal mask for intubation (Fastrach)].

    PubMed

    Añez Simón, C; Boada Pié, S; Solsona Dellá, B

    2000-10-01

    The laryngeal mask for intubation (MLI), or "Fastrach", is a new device designed by Brain for airway management. The MLI, a modified version of the conventional laryngeal mask, allows for blind intubation through the device using endotracheal tubes up to 8 mm in diameter. Insertion with the head in a neutral position makes this system useful for managing the airway when neck injury is present. The device has been used successfully in patients assessed as having difficult-to-manage airways and its use in emergencies inside or outside the hospital is promising. The MLI has been used with high rates of success in combination with other techniques such as fiberoptic bronchoscopy (success rate 99 to 100%) and transillumination (95 to 100% success rate) in patients whose airways have been considered difficult to manage. Given such high rates of success for MLI placement (95 to 100%) and for blind orotracheal intubation (81 to 100%), the Fastrach may offer an alternative to the conventional laryngeal mask in algorithms for airway management.

  10. Improved mask-based CD uniformity for gridded-design-rule lithography

    NASA Astrophysics Data System (ADS)

    Faivishevsky, Lev; Khristo, Sergey; Sagiv, Amir; Mangan, Shmoolik

    2009-03-01

    The difficulties encountered during lithography of state-of-the-art 2D patterns are formidable, and originate from the fact that deep sub-wavelength features are being printed. This results in a practical limit of k1 >=0.4 as well as a multitude of complex restrictive design rules, in order to mitigate or minimize lithographic hot spots. An alternative approach, that is gradually attracting the lithographic community's attention, restricts the design of critical layers to straight, dense lines (a 1D grid), that can be relatively easily printed using current lithographic technology. This is then followed by subsequent, less critical trimming stages to obtain circuit functionality. Thus, the 1D gridded approach allows hotspot-free, proximity-effect free lithography of ultra low- k1 features. These advantages must be supported by a stable CD control mechanism. One of the overriding parameters impacting CDU performance is photo mask quality. Previous publications have demonstrated that IntenCDTM - a novel, mask-based CDU mapping technology running on Applied Materials' Aera2TM aerial imaging mask inspection tool - is ideally fit for detecting mask-based CDU issues in 1D (L&S) patterned masks for memory production. Owing to the aerial nature of image formation, IntenCD directly probes the CD as it is printed on the wafer. In this paper we suggest that IntenCD is naturally fit for detecting mask-based CDU issues in 1D GDR masks. We then study a novel method of recovering and quantifying the physical source of printed CDU, using a novel implementation of the IntenCD technology. We demonstrate that additional, simple measurements, which can be readily performed on board the Aera2TM platform with minimal throughput penalty, may complement IntenCD and allow a robust estimation of the specific nature and strength of mask error source, such as pattern width variation or phase variation, which leads to CDU issues on the printed wafer. We finally discuss the roles played by

  11. Barriers to mask wearing for influenza-like illnesses among urban Hispanic households.

    PubMed

    Ferng, Yu-hui; Wong-McLoughlin, Jennifer; Barrett, Angela; Currie, Leanne; Larson, Elaine

    2011-01-01

    To identify barriers to mask wearing and to examine the factors associated with the willingness to wear masks among households. We used data sources from a study assessing the impact of 3 nonpharmaceutical interventions on the rates of influenza: exit interviews; home visits with a subset of the mask group; and a focus group. Risk perception score, univariate analysis, and logistic regression were conducted to identify the characteristics and predictors of mask use. Thematic barriers to mask wearing were identified from qualitative data obtained at home visits and focus group. Respondents from the mask group, when compared with the nonmask group, demonstrated higher risk perception scores concerning influenza (maximum score: 60, means: 37.6 and 30.2, p<.001) and increased perception of effectiveness of mask wearing (maximum score: 10, means: 7.8 and 7.3, p=.043). There was no significant association between demographic, attitudinal, or knowledge variables and adherence to wearing masks. Thematic barriers were identified such as social acceptability of mask use, comfort and fit, and perception of the risk/need for masks. Face masks may not be an effective intervention for seasonal or pandemic influenza unless the risk perception of influenza is high. Dissemination of culturally appropriate mask use information by health authorities and providers must be emphasized when educating the public. © 2010 Wiley Periodicals, Inc.

  12. The Supraglottic Effect of a Reduction in Expiratory Mask Pressure During Continuous Positive Airway Pressure

    PubMed Central

    Masdeu, Maria J.; Patel, Amit V.; Seelall, Vijay; Rapoport, David M.; Ayappa, Indu

    2012-01-01

    Study Objectives: Patients with obstructive sleep apnea may have difficulty exhaling against positive pressure, hence limiting their acceptance of continuous positive airway pressure (CPAP). C-Flex is designed to improve comfort by reducing pressure in the mask during expiration proportionally to expiratory airflow (3 settings correspond to increasing pressure changes). When patients use CPAP, nasal resistance determines how much higher supraglottic pressure is than mask pressure. We hypothesized that increased nasal resistance results in increased expiratory supraglottic pressure swings that could be mitigated by the effects of C-Flex on mask pressure. Design: Cohort study. Setting: Sleep center. Participants: Seventeen patients with obstructive sleep apnea/hypopnea syndrome and a mechanical model of the upper airway. Interventions: In patients on fixed CPAP, CPAP with different C-Flex levels was applied multiple times during the night. In the model, 2 different respiratory patterns and resistances were tested. Measurements and Results: Airflow, expiratory mask, and supraglottic pressures were measured on CPAP and on C-Flex. Swings in pressure during expiration were determined. On CPAP, higher nasal resistance produced greater expiratory pressure swings in the supraglottis in the patients and in the model, as expected. C-Flex 3 produced expiratory drops in mask pressure (range −0.03 to −2.49 cm H2O) but mitigated the expira-tory pressure rise in the supraglottis only during a sinusoidal respiratory pattern in the model. Conclusions: Expiratory changes in mask pressure induced by C-Flex did not uniformly transmit to the supraglottis in either patients with obstructive sleep apnea on CPAP or in a mechanical model of the upper airway with fixed resistance. Data suggest that the observed lack of expiratory drop in supraglottic pressure swings is related to dynamics of the C-Flex algorithm. Citation: Masdeu MJ; Patel AV; Seelall V; Rapoport DM; Ayappa I. The

  13. Layperson mouth-to-mask ventilation using a modified I-gel laryngeal mask after brief onsite instruction: a manikin-based feasibility trial.

    PubMed

    Schälte, Gereon; Bomhard, Lilli-Theresa; Rossaint, Rolf; Coburn, Mark; Stoppe, Christian; Zoremba, Norbert; Rieg, Annette

    2016-05-12

    The intention of this manikin-based trial was to evaluate whether laypersons are able to operate an I-gel laryngeal mask (I-gel) modified for mouth-to-mask ventilation after receiving brief on-site instruction. Entrance hall of a university hospital and the city campus of a public technical university, using a protected manikin scenario. Laypersons were handed a labelled, mouthpiece-integrated I-gel laryngeal mask and a corresponding instruction chart and were asked to follow the printed instructions. The overall process was analysed and evaluated according to quality and duration. Data from 100 participants were analysed. Overall, 79% of participants were able to effectively ventilate the manikin, 90% placed the laryngeal mask with the correct turn and direction, 19% did not position the mask deep enough and 85% believed that their inhibition threshold for performing resuscitation was lowered. A significant reduction in reluctance before and after the trial was found (p<0.0001). A total of 35% of participants had concerns about applying first aid in an emergency. Former basic life support (BLS) training significantly reduced the time of insertion (19.6 s, 95% CI 17.8 to 21.5, p=0.0004) and increased overall success (p=0.0096). Laypersons were able to manage mouth-to-mask ventilation in the manikin with a reasonable success rate after receiving brief chart-based on-site instructions using a labelled I-gel mask. Positioning the mask deep enough and identifying whether the manikin was successfully ventilated were the main problems observed. A significant reduction in reluctance towards initialising BLS by using a modified supraglottic airway device (SAD) may lead to better acceptance of bystander resuscitation in laypersons, supporting the introduction of SADs into BLS courses and the stocking of SADs in units with public automatic external defibrillators. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a

  14. [Effect of a surgical mask on six minute walking distance].

    PubMed

    Person, E; Lemercier, C; Royer, A; Reychler, G

    2018-03-01

    Six minutes walking test (6MWT) is regularly used in pulmonology. To minimize the risk of cross-infection, some patients must wear surgical mask at rest and sometimes during exercise. To evaluate the effect of wearing a surgical mask during 6MWT in healthy subjects. It is a prospective study on 44 healthy subjects. After a first 6MWT for training, they performed randomly two 6MWT: with or without a surgical mask. Distance and dyspnea, heart rate and saturation variations were recorded. Distance was not modified by the mask (P=0.99). Dyspnea variation was significantly higher with surgical mask (+5.6 vs. +4.6; P<0.001) and the difference was clinically relevant. No difference was found for the variation of other parameters. Wearing a surgical mask modifies significantly and clinically dyspnea without influencing walked distance. Copyright © 2017 SPLF. Published by Elsevier Masson SAS. All rights reserved.

  15. Masking with faces in central visual field under a variety of temporal schedules.

    PubMed

    Daar, Marwan; Wilson, Hugh R

    2015-11-01

    With a few exceptions, previous studies have explored masking using either a backward mask or a common onset trailing mask, but not both. In a series of experiments, we demonstrate the use of faces in central visual field as a viable method to study the relationship between these two types of mask schedule. We tested observers in a two alternative forced choice face identification task, where both target and mask comprised synthetic faces, and show that a simple model can successfully predict masking across a variety of masking schedules ranging from a backward mask to a common onset trailing mask and a number of intermediate variations. Our data are well accounted for by a window of sensitivity to mask interference that is centered at around 100 ms. Copyright © 2015 Elsevier Ltd. All rights reserved.

  16. Hyper-realistic face masks: a new challenge in person identification.

    PubMed

    Sanders, Jet Gabrielle; Ueda, Yoshiyuki; Minemoto, Kazusa; Noyes, Eilidh; Yoshikawa, Sakiko; Jenkins, Rob

    2017-01-01

    We often identify people using face images. This is true in occupational settings such as passport control as well as in everyday social environments. Mapping between images and identities assumes that facial appearance is stable within certain bounds. For example, a person's apparent age, gender and ethnicity change slowly, if at all. It also assumes that deliberate changes beyond these bounds (i.e., disguises) would be easy to spot. Hyper-realistic face masks overturn these assumptions by allowing the wearer to look like an entirely different person. If unnoticed, these masks break the link between facial appearance and personal identity, with clear implications for applied face recognition. However, to date, no one has assessed the realism of these masks, or specified conditions under which they may be accepted as real faces. Herein, we examined incidental detection of unexpected but attended hyper-realistic masks in both photographic and live presentations. Experiment 1 (UK; n = 60) revealed no evidence for overt detection of hyper-realistic masks among real face photos, and little evidence of covert detection. Experiment 2 (Japan; n = 60) extended these findings to different masks, mask-wearers and participant pools. In Experiment 3 (UK and Japan; n = 407), passers-by failed to notice that a live confederate was wearing a hyper-realistic mask and showed limited evidence of covert detection, even at close viewing distance (5 vs. 20 m). Across all of these studies, viewers accepted hyper-realistic masks as real faces. Specific countermeasures will be required if detection rates are to be improved.

  17. Orally disintegrating dosage forms and taste-masking technologies; 2010.

    PubMed

    Douroumis, Dennis

    2011-05-01

    In the last decade the development of orally disintegrating tablets (ODTs) and thin-film platforms has grown enormously in the field of pharmaceutical industry. A wide variety of new masking technologies combined with the aforementioned platforms have been developed in order to mask the taste of bitter active substances and achieve patient compliance. The commercial success and viability of such products requires the development of robust formulations with excellent palatability, disintegration times, physicochemical stability and pharmacokinetic profiles. In this review, emerging taste-masking technologies applied to solid dosage form manufacturing are summarized. The unique features and principles of taste-masking approaches used with ODT platforms are discussed, including the advantages and limitations of each technology. A brief discussion is also included on the taste masking of thin-film technologies, owing to their similar applications and requirements. This review elucidates the unique features of current commercially available or highly promising ODT and thin-film technologies, along with taste-masking approaches used in the manufacturing of oral solid dosage forms. A better understanding of these drug delivery approaches will help researchers to select the appropriate platform, or to develop innovative products with improved safety, compliance and clinical value.

  18. Color masking of developmental enamel defects: a case series.

    PubMed

    Torres, C R G; Borges, A B

    2015-01-01

    Developmental defects involving color alteration of enamel frequently compromise the esthetic appearance of the tooth. The resin infiltration technique represents an alternative treatment for color masking of these lesions and uniformization of tooth color. This technique is considered relatively simple and microinvasive, since only a minimal portion of enamel is removed. This article illustrates the color-masking effect with resin infiltration of fluorosis and traumatic hypomineralization lesions with a case series. The final esthetic outcomes demonstrated the ability of the resin infiltrant to mask the color of white developmental defect lesions, resulting in satisfactory clinical esthetic improvements. However, in more severe cases, the color-masking effect was not complete.

  19. Increased dead space in face mask continuous positive airway pressure in neonates.

    PubMed

    Hishikawa, Kenji; Fujinaga, Hideshi; Ito, Yushi

    2017-01-01

    Continuous positive airway pressure (CPAP) by face mask is commonly performed in newborn resuscitation. We evaluated the effect of face mask CPAP on system dead space. Face mask CPAP increases dead space. A CPAP model study. We estimated the volume of the inner space of the mask. We devised a face mask CPAP model, in which the outlet of the mask was covered with plastic; and three modified face mask CPAP models, in which holes were drilled near to the cushion of the covered face mask to alter the air exit. We passed a continuous flow of 21% oxygen through each model and we controlled the inner pressure to 5 cmH 2 O by adjusting the flow-relief valve. To evaluate the ventilation in the inner space of each model, we measured the oxygen concentration rise time, that is, the time needed for the oxygen concentration of each model to reach 35% after the oxygen concentration of the continuous flow was raised from 21% to 40%. The volume of inner space of the face mask was 38.3 ml. Oxygen concentration rise time in the face mask CPAP model was significantly longer at various continuous flow rates and points of the inner space of the face mask compared with that of the modified face mask CPAP model. Our study indicates that face mask CPAP leads to an increase in dead space and a decrease in ventilation efficiency under certain circumstances. Pediatr Pulmonol. 2017;52:107-111. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  20. Determining the energetic and informational components of speech-on-speech masking

    PubMed Central

    Kidd, Gerald; Mason, Christine R.; Swaminathan, Jayaganesh; Roverud, Elin; Clayton, Kameron K.; Best, Virginia

    2016-01-01

    Identification of target speech was studied under masked conditions consisting of two or four independent speech maskers. In the reference conditions, the maskers were colocated with the target, the masker talkers were the same sex as the target, and the masker speech was intelligible. The comparison conditions, intended to provide release from masking, included different-sex target and masker talkers, time-reversal of the masker speech, and spatial separation of the maskers from the target. Significant release from masking was found for all comparison conditions. To determine whether these reductions in masking could be attributed to differences in energetic masking, ideal time-frequency segregation (ITFS) processing was applied so that the time-frequency units where the masker energy dominated the target energy were removed. The remaining target-dominated “glimpses” were reassembled as the stimulus. Speech reception thresholds measured using these resynthesized ITFS-processed stimuli were the same for the reference and comparison conditions supporting the conclusion that the amount of energetic masking across conditions was the same. These results indicated that the large release from masking found under all comparison conditions was due primarily to a reduction in informational masking. Furthermore, the large individual differences observed generally were correlated across the three masking release conditions. PMID:27475139

  1. Advantages, Disadvantages, Indications, Contraindications and Surgical Technique of Laryngeal Airway Mask

    PubMed Central

    Shekar, Ashim; Balakrishna, Ramdas; Sudarshan, H.; Veena, G. C.; Bhuvaneshwari, S.

    2017-01-01

    The beauty of the laryngeal mask is that it forms an air tight seal enclosing the larynx rather than plugging the pharynx, and avoid airway obstruction in the oropharynx. The goal of its development was to create an intermediate form of airway management face mask and endotracheal tube. Indication for its use includes any procedure that would normally involve the use of a face mask. The laryngeal mask airway was designed as a new concept in airway management and has been gaining a firm position in anesthetic practice. Despite wide spread use the definitive role of the laryngeal mask airway is yet to be established. In some situations, such as after failed tracheal intubation or in oral surgery its use is controversial. There are several unresolved issues, for example the effect of the laryngeal mask on regurgitation and whether or not cricoids pressure prevents placement of mask. We review the techniques of insertion, details of misplacement, and complications associated with use of the laryngeal mask. We then attempt to clarify the role of laryngeal mask in air way management during anesthesia, discussing the advantages and disadvantages as well as indications and contraindications of its use in oral and maxillofacial surgery. PMID:29349045

  2. Advantages, Disadvantages, Indications, Contraindications and Surgical Technique of Laryngeal Airway Mask.

    PubMed

    Jannu, Anubhav; Shekar, Ashim; Balakrishna, Ramdas; Sudarshan, H; Veena, G C; Bhuvaneshwari, S

    2017-12-01

    The beauty of the laryngeal mask is that it forms an air tight seal enclosing the larynx rather than plugging the pharynx, and avoid airway obstruction in the oropharynx. The goal of its development was to create an intermediate form of airway management face mask and endotracheal tube. Indication for its use includes any procedure that would normally involve the use of a face mask. The laryngeal mask airway was designed as a new concept in airway management and has been gaining a firm position in anesthetic practice. Despite wide spread use the definitive role of the laryngeal mask airway is yet to be established. In some situations, such as after failed tracheal intubation or in oral surgery its use is controversial. There are several unresolved issues, for example the effect of the laryngeal mask on regurgitation and whether or not cricoids pressure prevents placement of mask. We review the techniques of insertion, details of misplacement, and complications associated with use of the laryngeal mask. We then attempt to clarify the role of laryngeal mask in air way management during anesthesia, discussing the advantages and disadvantages as well as indications and contraindications of its use in oral and maxillofacial surgery.

  3. Mechanically and electrically robust metal-mask design for organic CMOS circuits

    NASA Astrophysics Data System (ADS)

    Shintani, Michihiro; Qin, Zhaoxing; Kuribara, Kazunori; Ogasahara, Yasuhiro; Hiromoto, Masayuki; Sato, Takashi

    2018-04-01

    The design of metal masks for fabricating organic CMOS circuits requires the consideration of not only the electrical property of the circuits, but also the mechanical strength of the masks. In this paper, we propose a new design flow for metal masks that realizes coanalysis of the mechanical and electrical properties and enables design exploration considering the trade-off between the two properties. As a case study, we apply a “stitching technique” to the mask design of a ring oscillator and explore the best design. With this technique, mask patterns are divided into separate parts using multiple mask layers to improve the mechanical strength at the cost of high resistance of the vias. By a numerical experiment, the design trade-off of the stitching technique is quantitatively analyzed, and it is demonstrated that the proposed flow is useful for the exploration of the designs of metal masks.

  4. Design criteria for small coded aperture masks in gamma-ray astronomy

    NASA Technical Reports Server (NTRS)

    Sembay, S.; Gehrels, Neil

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy gamma-ray astronomy has concentrated on masks with large numbers of elements. For gamma-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyze by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. A particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns is developed. The results of such a computer analysis for masks up to dimension 5 x 5 unit cell are presented and it is concluded that there is a great deal of flexibility in the choice of mask pattern for each dimension.

  5. Dynamic mask for producing uniform or graded-thickness thin films

    DOEpatents

    Folta, James A [Livermore, CA

    2006-06-13

    A method for producing single layer or multilayer films with high thickness uniformity or thickness gradients. The method utilizes a moving mask which blocks some of the flux from a sputter target or evaporation source before it deposits on a substrate. The velocity and position of the mask is computer controlled to precisely tailor the film thickness distribution. The method is applicable to any type of vapor deposition system, but is particularly useful for ion beam sputter deposition and evaporation deposition; and enables a high degree of uniformity for ion beam deposition, even for near-normal incidence of deposition species, which may be critical for producing low-defect multilayer coatings, such as required for masks for extreme ultraviolet lithography (EUVL). The mask can have a variety of shapes, from a simple solid paddle shape to a larger mask with a shaped hole through which the flux passes. The motion of the mask can be linear or rotational, and the mask can be moved to make single or multiple passes in front of the substrate per layer, and can pass completely or partially across the substrate.

  6. Thermal Management in Nanofiber-Based Face Mask

    DOE PAGES

    Yang, Ankun; Cai, Lili; Zhang, Rufan; ...

    2017-05-15

    Face masks are widely used to filter airborne pollutants, especially when particulate matter (PM) pollution has become a serious concern to public health. Here in this paper, the concept of thermal management is introduced into face masks for the first time to enhance the thermal comfort of the user. A system of nanofiber on nanoporous polyethylene (fiber/nanoPE) is developed where the nanofibers with strong PM adhesion ensure high PM capture efficiency (99.6% for PM 2.5) with low pressure drop and the nanoPE substrate with high-infrared (IR) transparency (92.1%, weighted based on human body radiation) results in effective radiative cooling. Wemore » further demonstrate that by coating nanoPE with a layer of Ag, the fiber/Ag/nanoPE mask shows a high IR reflectance (87.0%) and can be used for warming purposes. These multifunctional face mask designs can be explored for both outdoor and indoor applications to protect people from PM pollutants and simultaneously achieve personal thermal comfort.« less

  7. Thermal Management in Nanofiber-Based Face Mask

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Ankun; Cai, Lili; Zhang, Rufan

    Face masks are widely used to filter airborne pollutants, especially when particulate matter (PM) pollution has become a serious concern to public health. Here in this paper, the concept of thermal management is introduced into face masks for the first time to enhance the thermal comfort of the user. A system of nanofiber on nanoporous polyethylene (fiber/nanoPE) is developed where the nanofibers with strong PM adhesion ensure high PM capture efficiency (99.6% for PM 2.5) with low pressure drop and the nanoPE substrate with high-infrared (IR) transparency (92.1%, weighted based on human body radiation) results in effective radiative cooling. Wemore » further demonstrate that by coating nanoPE with a layer of Ag, the fiber/Ag/nanoPE mask shows a high IR reflectance (87.0%) and can be used for warming purposes. These multifunctional face mask designs can be explored for both outdoor and indoor applications to protect people from PM pollutants and simultaneously achieve personal thermal comfort.« less

  8. Antigen Masking During Fixation and Embedding, Dissected

    PubMed Central

    Scalia, Carla Rossana; Boi, Giovanna; Bolognesi, Maddalena Maria; Riva, Lorella; Manzoni, Marco; DeSmedt, Linde; Bosisio, Francesca Maria; Ronchi, Susanna; Leone, Biagio Eugenio; Cattoretti, Giorgio

    2016-01-01

    Antigen masking in routinely processed tissue is a poorly understood process caused by multiple factors. We sought to dissect the effect on antigenicity of each step of processing by using frozen sections as proxies of the whole tissue. An equivalent extent of antigen masking occurs across variable fixation times at room temperature. Most antigens benefit from longer fixation times (>24 hr) for optimal detection after antigen retrieval (AR; for example, Ki-67, bcl-2, ER). The transfer to a graded alcohol series results in an enhanced staining effect, reproduced by treating the sections with detergents, possibly because of a better access of the polymeric immunohistochemical detection system to tissue structures. A second round of masking occurs upon entering the clearing agent, mostly at the paraffin embedding step. This may depend on the non-freezable water removal. AR fully reverses the masking due both to the fixation time and the paraffin embedding. AR itself destroys some epitopes which do not survive routine processing. Processed frozen sections are a tool to investigate fixation and processing requirements for antigens in routine specimens. PMID:27798289

  9. A survey of Alberta physicians' use of and attitudes toward face masks and face shields in the operating room setting.

    PubMed

    Davis, Philip J; Spady, Donald; Forgie, Sarah E D

    2007-09-01

    There is little evidence that surgical mask use by physicians in the operating room (OR) reduces surgical site infections (SSIs), but masks do protect the wearer from potentially infectious splashes. Face shields offer even more protection because they cover the eyes, but they may be perceived as offering less protection to the patient than do masks. The objectives of this study were to ascertain if there were predictors to determine which OR physicians are continuing to use masks and what their reasons are for doing so, and which OR physicians would accept face shields and their reasons for doing so. We surveyed the province of Alberta's surgeons, general practice (GP) surgeons, anesthesiologists, and GP anesthetists to determine how many physicians in the OR wear surgical masks, their reasons for wearing surgical masks (ethical, legal, protection of the patient, protection of the wearer), and if they believe that face shields offer more protection to the patient or to the wearer. We also sought to examine which demographic factors affected their responses. The data were examined with chi(2) analysis to assess the relationships of age and practitioner type, and for various outcome variables. A significance level of P < .05 was accepted as statistically significant. The sex of the physician did not affect his/her responses. Older physicians believe that the OR team has an ethical and legal responsibility to wear surgical masks; masks are worn to prevent the spread of disease, not because it is tradition to do so; masks protect the wearer more than do face shields; and wearing face shields alone will subject the patient to higher rates of SSIs. Surgeons are more likely than are anesthesiologists to wear surgical masks in the OR and wear a surgical mask and a face shield if the patient has risk factors for a blood borne infection. According to our survey, age and profession were the most important variables that affected the potential use of surgical masks and face

  10. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  11. A schlieren optical study of the human cough with and without wearing masks for aerosol infection control

    PubMed Central

    Tang, Julian W.; Liebner, Thomas J.; Craven, Brent A.; Settles, Gary S.

    2009-01-01

    Various infectious agents are known to be transmitted naturally via respiratory aerosols produced by infected patients. Such aerosols may be produced during normal activities by breathing, talking, coughing and sneezing. The schlieren optical method, previously applied mostly in engineering and physics, can be effectively used here to visualize airflows around human subjects in such indoor situations, non-intrusively and without the need for either tracer gas or airborne particles. It accomplishes this by rendering visible the optical phase gradients owing to real-time changes in air temperature. In this study, schlieren video records are obtained of human volunteers coughing with and without wearing standard surgical and N95 masks. The object is to characterize the exhaled airflows and evaluate the effect of these commonly used masks on the fluid-dynamic mechanisms that spread infection by coughing. Further, a high-speed schlieren video of a single cough is analysed by a computerized method of tracking individual turbulent eddies, demonstrating the non-intrusive velocimetry of the expelled airflow. Results show that human coughing projects a rapid turbulent jet into the surrounding air, but that wearing a surgical or N95 mask thwarts this natural mechanism of transmitting airborne infection, either by blocking the formation of the jet (N95 mask), or by redirecting it in a less harmful direction (surgical mask). PMID:19815575

  12. A schlieren optical study of the human cough with and without wearing masks for aerosol infection control.

    PubMed

    Tang, Julian W; Liebner, Thomas J; Craven, Brent A; Settles, Gary S

    2009-12-06

    Various infectious agents are known to be transmitted naturally via respiratory aerosols produced by infected patients. Such aerosols may be produced during normal activities by breathing, talking, coughing and sneezing. The schlieren optical method, previously applied mostly in engineering and physics, can be effectively used here to visualize airflows around human subjects in such indoor situations, non-intrusively and without the need for either tracer gas or airborne particles. It accomplishes this by rendering visible the optical phase gradients owing to real-time changes in air temperature. In this study, schlieren video records are obtained of human volunteers coughing with and without wearing standard surgical and N95 masks. The object is to characterize the exhaled airflows and evaluate the effect of these commonly used masks on the fluid-dynamic mechanisms that spread infection by coughing. Further, a high-speed schlieren video of a single cough is analysed by a computerized method of tracking individual turbulent eddies, demonstrating the non-intrusive velocimetry of the expelled airflow. Results show that human coughing projects a rapid turbulent jet into the surrounding air, but that wearing a surgical or N95 mask thwarts this natural mechanism of transmitting airborne infection, either by blocking the formation of the jet (N95 mask), or by redirecting it in a less harmful direction (surgical mask).

  13. The Four-Quadrant Phase-Mask Coronagraph. II. Simulations

    NASA Astrophysics Data System (ADS)

    Riaud, P.; Boccaletti, A.; Rouan, D.; Lemarquis, F.; Labeyrie, A.

    2001-09-01

    In the first paper in this series, we described the principle of a coronagraph utilizing a four-quadrant phase mask and the results of numerical simulations obtained in the perfect case. In this second paper, we performed additional numerical simulations to assess in more detail the performances and limitations of this coronagraph under real conditions. The effect of geometrical parameters such as shape and size of both the phase mask and the Lyot stop is studied. We also analyze the effect of low- and high-order aberrations generated, for instance, by the atmospheric turbulence. An important issue is the wavelength dependence of the phase mask. We show that the performance decreases rapidly as the spectral bandwidth is increased, and as a consequence, we discuss the manufacturing of achromatized masks using multiple thin films. An optical concept is proposed.

  14. My Other Half Manifested in Mask-Making

    ERIC Educational Resources Information Center

    Abel, Xanthippi

    2010-01-01

    Every fall season, each grade level of Rowland Hall St. Mark's Lower School in Salt Lake City, Utah, completes a mask-making project to be featured in a schoolwide parade. This sparked an opportunity to incorporate the fourth-grade unit of realistic and observational drawing with mask making. In this article, the author describes how her students…

  15. Poisson-Spot Intensity Reduction with a Partially-Transparent Petal-Shaped Optical Mask

    NASA Technical Reports Server (NTRS)

    Shiri, Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of Poisson's spot, also known as the spot of Arago, formed along the optical axis in the geometrical shadow behind an obstruction, has been known since the 18th century. The presence of this spot can best be described as the consequence of constructive interference of light waves diffracted on the edge of the obstruction where its central position can··be determined by the symmetry of the object More recently, the elimination of this spot has received attention in the fields of particle physics, high-energy lasers, astronomy and lithography. In this paper, we introduce a novel, partially transparent petaled mask shape that suppresses the bright spot by up to 10 orders of magnitude in intensity, with powerful applications to many of the above fields. The optimization technique formulated in this design can identify mask shapes having partial transparency only near the petal tips.

  16. Task-Dependent Masked Priming Effects in Visual Word Recognition

    PubMed Central

    Kinoshita, Sachiko; Norris, Dennis

    2012-01-01

    A method used widely to study the first 250 ms of visual word recognition is masked priming: These studies have yielded a rich set of data concerning the processes involved in recognizing letters and words. In these studies, there is an implicit assumption that the early processes in word recognition tapped by masked priming are automatic, and masked priming effects should therefore be invariant across tasks. Contrary to this assumption, masked priming effects are modulated by the task goal: For example, only word targets show priming in the lexical decision task, but both words and non-words do in the same-different task; semantic priming effects are generally weak in the lexical decision task but are robust in the semantic categorization task. We explain how such task dependence arises within the Bayesian Reader account of masked priming (Norris and Kinoshita, 2008), and how the task dissociations can be used to understand the early processes in lexical access. PMID:22675316

  17. X ray reflection masks: Manufacturing, characterization and first tests

    NASA Astrophysics Data System (ADS)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  18. A new suction mask to reduce leak during neonatal resuscitation: a manikin study.

    PubMed

    Lorenz, Laila; Maxfield, Dominic A; Dawson, Jennifer A; Kamlin, C Omar F; McGrory, Lorraine; Thio, Marta; Donath, Susan M; Davis, Peter G

    2016-09-01

    Leak around the face mask is a common problem during neonatal resuscitation. A newly designed face mask using a suction system to enhance contact between the mask and the infant's face might reduce leak and improve neonatal resuscitation. The aim of the study is to determine whether leak is reduced using the suction mask (Resusi-sure mask) compared with a conventional mask (Laerdal Silicone mask) in a manikin model. Sixty participants from different professional categories (neonatal consultants, fellows, registrars, nurses, midwives and students) used each face mask in a random order to deliver 2 min of positive pressure ventilation to a manikin. Delivered airway pressures were measured using a pressure line. Inspiratory and expiratory flows were measured using a flow sensor, and expiratory tidal volumes and mask leaks were derived from these values. A median (IQR) leak of 12.1 (0.6-39.0)% was found with the conventional mask compared with 0.7 (0.2-4.6)% using the suction mask (p=0.002). 50% of the participants preferred to use the suction mask and 38% preferred to use the conventional mask. There was no correlation between leak and operator experience. A new neonatal face mask based on the suction system reduced leak in a manikin model. Clinical studies to test the safety and effectiveness of this mask are needed. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  19. Masked polycythaemia vera: presenting features, response to treatment and clinical outcomes.

    PubMed

    Alvarez-Larrán, Alberto; Angona, Anna; Ancochea, Agueda; García-Pallarols, Francesc; Fernández, Concepción; Longarón, Raquel; Bellosillo, Beatriz; Besses, Carlos

    2016-01-01

    Masked polycythaemia vera (PV) has been proposed as a new entity with poorer outcome than overt PV. In this study, the initial clinical and laboratory characteristics, response to treatment and outcome of masked and overt PV were compared using red cell mass and haemoglobin or haematocrit levels for the distinction between both entities. Sixty-eight of 151 PV patients (45%) were classified as masked PV according to World Health Organisation diagnostic criteria, whereas 16 (11%) were classified as masked PV using the British Committee for Standards in Haematology (BCSH). In comparison with overt PV, a higher platelet count and a lower JAK2V617F allele burden at diagnosis were observed in masked PV. Patients with masked PV needed lower phlebotomies and responded faster to hydroxcarbamide than those with overt PV. Complete haematological response was more frequently achieved in masked than in overt PV (79% vs. 58%, P = 0.001). There were no significant differences in the duration of haematological response, the rate of resistance or intolerance to hydroxycarbamide and the probability of molecular response according to type of PV (masked vs. overt). Overall survival, rate of thrombosis and major bleeding, and probability of transformation was superimposable among patients with masked and overt PV. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  20. Nasal masks or binasal prongs for delivering continuous positive airway pressure in preterm neonates-a randomised trial.

    PubMed

    Chandrasekaran, Aparna; Thukral, Anu; Jeeva Sankar, M; Agarwal, Ramesh; Paul, Vinod K; Deorari, Ashok K

    2017-03-01

    The objective of this study was to compare the efficacy and safety of continuous positive airway pressure (CPAP) delivered using nasal masks with binasal prongs. We randomly allocated 72 neonates between 26 and 32 weeks gestation to receive bubble CPAP by either nasal mask (n = 37) or short binasal prongs (n = 35). Primary outcome was mean FiO 2 requirement at 6, 12 and 24 h of CPAP initiation and the area under curve (AUC) of FiO 2 against time during the first 24 h (FiO 2 AUC 0-24 ). Secondary outcomes were the incidence of CPAP failure and nasal trauma. FiO 2 requirement at 6, 12 and 24 h (mean (SD); 25 (5.8) vs. 27.9 (8); 23.8 (4.5) vs. 25.4 (6.8) and 22.6 (6.8) vs. 22.7 (3.3)) as well as FiO 2 AUC 0-24 (584.0 (117.8) vs. 610.6 (123.6)) were similar between the groups. There was no difference in the incidence of CPAP failure (14 vs. 20%; relative risk 0.67; 95% confidence interval 0.24-1.93). Incidence of severe nasal trauma was lower with the use of nasal masks (0 vs. 31%; p < .001). Nasal masks appear to be as efficacious as binasal prongs in providing CPAP. Masks are associated with lower risk of severe nasal trauma. CTRI2012/08/002868 What is Known? • Binasal prongs are better than single nasal and nasopharyngeal prongs for delivering continuous positive airway pressure (CPAP) in preventing need for re-intubation. • It is unclear if they are superior to newer generation nasal masks in preterm neonates requiring CPAP. What is New? • Oxygen requirement during the first 24 h of CPAP delivery is comparable with use of nasal masks and binasal prongs. • Use of nasal masks is, however, associated with significantly lower risk of severe grades of nasal injury.

  1. RHIC Prefire Protection Masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drees, A.; Biscardi, C.; Curcio, T.

    2015-01-07

    The protection of the RHIC experimental detectors from damage due to beam hitting close upstream elements in cases of abort kicker prefires requires some dedicated precautionary measures with two general options: to bring the beam close to a limiting aperture (i.e. the beam pipe wall), as far upstream of the detector components as possible or, alternatively, to bring a limiting aperture close to the circulating beam. During the FY 2014 RHIC Heavy Ion run the first option was chosen because of the limited time available for preparation before the start of the run. For future runs the second option, inmore » this case the installation of dual-sided movable masks, is preferred. The installation of the masks, one per ring, is planned before the start of the FY 2015 run.« less

  2. Efficacy of a heat exchanger mask in cold exercise-induced asthma.

    PubMed

    Beuther, David A; Martin, Richard J

    2006-05-01

    To determine the efficacy of a novel mask device in limiting cold air exercise-induced decline in lung function in subjects with a history of exercise-induced asthma (EIA). In spite of appropriate medical therapy, many asthma patients are limited in cold weather activities. In study 1, 13 asthmatic subjects performed two randomized, single-blind treadmill exercise tests while breathing cold air (- 25 to - 15 degrees C) through a placebo or active heat exchanger mask. In study 2, five subjects with EIA performed three treadmill exercise tests while breathing cold air: one test using the heat exchanger mask, one test without the mask but with albuterol pretreatment, and one test with neither the mask nor albuterol pretreatment (unprotected exercise). For all studies, spirometry was performed before and at 5, 15, and 30 min after exercise challenge. For both studies, a total of 15 subjects with a history of asthma symptoms during cold air exercise were recruited. In study 1, the mean decrease (+/- SE) in FEV1 was 19 +/- 4.9% with placebo, and 4.3 +/- 1.6% with the active device (p = 0.0002). The mean decrease in maximum mid-expiratory flow (FEF(25-75)) was 31 +/- 5.7% with placebo and 4.7 +/- 1.7% with the active device (p = 0.0002). In study 2, the mean decrease in FEV1 was 6.3 +/- 3.9%, 11 +/- 3.7%, and 28 +/- 10% for the heat exchanger mask, albuterol pretreatment, and unprotected exercises, respectively (p = 0.4375 for mask vs albuterol, p = 0.0625 for mask vs unprotected exercise). The mean decrease in FEF(25-75) was 10 +/- 4.8%, 23 +/- 6.0%, and 36 +/- 11%, respectively (p = 0.0625 for mask vs albuterol, p = 0.0625 for mask vs unprotected exercise). This heat exchanger mask blocks cold exercise-induced decline in lung function at least as effectively as albuterol pretreatment.

  3. Exploring the additivity of binaural and monaural masking release

    PubMed Central

    Hall, Joseph W.; Buss, Emily; Grose, John H.

    2011-01-01

    Experiment 1 examined comodulation masking release (CMR) for a 700-Hz tonal signal under conditions of NoSo (noise and signal interaurally in phase) and NoSπ (noise in phase, signal out of phase) stimulation. The baseline stimulus for CMR was either a single 24-Hz wide narrowband noise centered on the signal frequency [on-signal band (OSB)] or the OSB plus, a set of flanking noise bands having random envelopes. Masking noise was either gated or continuous. The CMR, defined with respect to either the OSB or the random noise baseline, was smaller for NoSπ than NoSo stimulation, particularly when the masker was continuous. Experiment 2 examined whether the same pattern of results would be obtained for a 2000-Hz signal frequency; the number of flanking bands was also manipulated (two versus eight). Results again showed smaller CMR for NoSπ than NoSo stimulation for both continuous and gated masking noise. The CMR was larger with eight than with two flanking bands, and this difference was greater for NoSo than NoSπ. The results of this study are compatible with serial mechanisms of binaural and monaural masking release, but they indicate that the combined masking release (binaural masking-level difference and CMR) falls short of being additive. PMID:21476663

  4. Status of EUVL mask development in Europe (Invited Paper)

    NASA Astrophysics Data System (ADS)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  5. MTO-like reference mask modeling for advanced inverse lithography technology patterns

    NASA Astrophysics Data System (ADS)

    Park, Jongju; Moon, Jongin; Son, Suein; Chung, Donghoon; Kim, Byung-Gook; Jeon, Chan-Uk; LoPresti, Patrick; Xue, Shan; Wang, Sonny; Broadbent, Bill; Kim, Soonho; Hur, Jiuk; Choo, Min

    2017-07-01

    Advanced Inverse Lithography Technology (ILT) can result in mask post-OPC databases with very small address units, all-angle figures, and very high vertex counts. This creates mask inspection issues for existing mask inspection database rendering. These issues include: large data volumes, low transfer rate, long data preparation times, slow inspection throughput, and marginal rendering accuracy leading to high false detections. This paper demonstrates the application of a new rendering method including a new OASIS-like mask inspection format, new high-speed rendering algorithms, and related hardware to meet the inspection challenges posed by Advanced ILT masks.

  6. Patterned mask inspection technology with Projection Electron Microscope (PEM) technique for 11 nm half-pitch (hp) generation EUV masks

    NASA Astrophysics Data System (ADS)

    Hirano, Ryoichi; Iida, Susumu; Amano, Tsuyoshi; Watanabe, Hidehiro; Hatakeyama, Masahiro; Murakami, Takeshi; Yoshikawa, Shoji; Suematsu, Kenichi; Terao, Kenji

    2015-07-01

    High-sensitivity EUV mask pattern defect detection is one of the major issues in order to realize the device fabrication by using the EUV lithography. We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 ("Model EBEYE" is an EBARA's model code), and which seems to be quite promising for 16 nm hp generation EUVL Patterned mask Inspection (PI). Defect inspection sensitivity was evaluated by capturing an electron image generated at the mask by focusing onto an image sensor. The progress of the novel PEM optics performance is not only about making an image sensor with higher resolution but also about doing a better image processing to enhance the defect signal. In this paper, we describe the experimental results of EUV patterned mask inspection using the above-mentioned system. The performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask. To improve the inspection throughput for 11 nm hp generation defect detection, it would require a data processing rate of greater than 1.5 Giga- Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process. The aims of the development program are to attain a higher throughput, and enhance the defect detection sensitivity by using an adequate pixel size with sophisticated image processing resulting in a higher processing rate.

  7. Impact of topographic mask models on scanner matching solutions

    NASA Astrophysics Data System (ADS)

    Tyminski, Jacek K.; Pomplun, Jan; Renwick, Stephen P.

    2014-03-01

    Of keen interest to the IC industry are advanced computational lithography applications such as Optical Proximity Correction of IC layouts (OPC), scanner matching by optical proximity effect matching (OPEM), and Source Optimization (SO) and Source-Mask Optimization (SMO) used as advanced reticle enhancement techniques. The success of these tasks is strongly dependent on the integrity of the lithographic simulators used in computational lithography (CL) optimizers. Lithographic mask models used by these simulators are key drivers impacting the accuracy of the image predications, and as a consequence, determine the validity of these CL solutions. Much of the CL work involves Kirchhoff mask models, a.k.a. thin masks approximation, simplifying the treatment of the mask near-field images. On the other hand, imaging models for hyper-NA scanner require that the interactions of the illumination fields with the mask topography be rigorously accounted for, by numerically solving Maxwell's Equations. The simulators used to predict the image formation in the hyper-NA scanners must rigorously treat the masks topography and its interaction with the scanner illuminators. Such imaging models come at a high computational cost and pose challenging accuracy vs. compute time tradeoffs. Additional complication comes from the fact that the performance metrics used in computational lithography tasks show highly non-linear response to the optimization parameters. Finally, the number of patterns used for tasks such as OPC, OPEM, SO, or SMO range from tens to hundreds. These requirements determine the complexity and the workload of the lithography optimization tasks. The tools to build rigorous imaging optimizers based on first-principles governing imaging in scanners are available, but the quantifiable benefits they might provide are not very well understood. To quantify the performance of OPE matching solutions, we have compared the results of various imaging optimization trials obtained

  8. Simultaneous masking additivity for short Gaussian-shaped tones: spectral effects.

    PubMed

    Laback, Bernhard; Necciari, Thibaud; Balazs, Peter; Savel, Sophie; Ystad, Sølvi

    2013-08-01

    Laback et al. [(2011). J. Acoust. Soc. Am. 129, 888-897] investigated the additivity of nonsimultaneous masking using short Gaussian-shaped tones as maskers and target. The present study involved Gaussian stimuli to measure the additivity of simultaneous masking for combinations of up to four spectrally separated maskers. According to most basilar membrane measurements, the maskers should be processed linearly at the characteristic frequency (CF) of the target. Assuming also compression of the target, all masker combinations should produce excess masking (exceeding linear additivity). The results for a pair of maskers flanking the target indeed showed excess masking. The amount of excess masking could be predicted by a model assuming summation of masker-evoked excitations in intensity units at the target CF and compression of the target, using compressive input/output functions derived from the nonsimultaneous masking study. However, the combinations of lower-frequency maskers showed much less excess masking than predicted by the model. This cannot easily be attributed to factors like off-frequency listening, combination tone perception, or between-masker suppression. It was better predicted, however, by assuming weighted intensity summation of masker excitations. The optimum weights for the lower-frequency maskers were smaller than one, consistent with partial masker compression as indicated by recent psychoacoustic data.

  9. Electrochemical Micromachining with Fiber Laser Masking for 304 Stainless Steel

    NASA Astrophysics Data System (ADS)

    Li, Xiaohai; Wang, Shuming; Wang, Dong; Tong, Han

    2017-10-01

    In order to fabricate micro structure, the combined machining of electrochemical micro machining (EMM) and laser masking for 304 stainless steel was studied. A device of composite machining of EMM with laser masking was developed, and the experiments of EMM with laser masking were carried out. First, by marking pattern with fiber laser on the surface of 304 stainless steel, the special masking layer can be formed. Through X ray photoelectron spectroscopy (XPS), the corrosion resistance of laser masking layer was analyzed. It is proved by XPS that the iron oxide and chromium oxide on the surface of stainless steel generates due to air oxidation when laser scanning heats. Second, the localization and precision of EMM are improved, since the marking patterns forming on the surface of stainless steel by laser masking play a protective role in the process of subsequent EMM when the appropriate parameters of EMM are selected. At last, the shape and the roughness of the machined samples were measured by SEM and optical profilometer and analyzed. The results show that the rapid fabrication of micro structures on the 304 stainless steel surface can be achieved by EMM with fiber laser masking, which has a good prospect in the field of micro machining.

  10. Registration performance on EUV masks using high-resolution registration metrology

    NASA Astrophysics Data System (ADS)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  11. Electron-beam Induced Processes and their Applicability to Mask Repair

    NASA Astrophysics Data System (ADS)

    Boegli, Volker A.; Koops, Hans W. P.; Budach, Michael; Edinger, Klaus; Hoinkis, Ottmar; Weyrauch, Bernd; Becker, Rainer; Schmidt, Rudolf; Kaya, Alexander; Reinhardt, Andreas; Braeuer, Stephan; Honold, Heinz; Bihr, Johannes; Greiser, Jens; Eisenmann, Michael

    2002-12-01

    The applicability of electron-beam induced chemical reactions to mask repair is investigated. To achieve deposition and chemical etching with a focused electron-beam system, it is required to disperse chemicals in a molecular beam to the area of interest with a well-defined amount of molecules and monolayers per second. For repair of opaque defects the precursor gas reacts with the absorber material of the mask and forms a volatile reaction product, which leaves the surface. In this way the surface atoms are removed layer by layer. For clear defect repair, additional material, which is light absorbing in the UV, is deposited onto the defect area. This material is rendered as a nanocrystalline deposit from metal containing precursors. An experimental electron-beam mask repair system is developed and used to perform exploratory work applicable to photo mask, EUV mask, EPL and LEEPL stencil mask repair. The tool is described and specific repair actions are demonstrated. Platinum deposited features with lateral dimensions down to 20 nm demonstrate the high resolution obtainable with electron beam induced processes, while AFM and AIMS measurements indicate, that specifications for mask repair at the 70 nm device node can be met. In addition, examples of etching quartz and TaN are given.

  12. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  13. Facial and ocular deposition of nebulized budesonide: effects of face mask design.

    PubMed

    Harris, Keith W; Smaldone, Gerald C

    2008-02-01

    In vivo case reports and in vitro studies have indicated that aerosol therapy using face masks can result in drug deposition on the face and in the eyes, and that face mask design may affect drug delivery. To test different mask/nebulizer combinations for budesonide, a nebulized steroid used to treat pediatric patients with asthma. Using high-performance liquid chromatography, drug delivery (inhaled mass), facial, and ocular deposition of budesonide aerosols were studied in vitro using a ventilated face facsimile (tidal volume, 50 mL; rate, 25 breaths/min, duty cycle 0.4), a tight-fitting test mask, a standard commercial mask, and a prototype mask designed to optimize delivery by reducing particle inertia. Nebulizer insertion into the mask (front loaded vs bottom loaded) was also tested. Particle size was measured by cascade impaction. Pari LC Plus (PARI Respiratory Equipment; Midlothian, VA) and MistyNeb (Allegiance; McGaw Park, IL) nebulizers were tested. Inhaled mass for tight-fitting and prototype masks was similar (13.2 +/- 1.85% vs 14.4 +/- 0.67% [percentage of nebulizer charge], p = 0.58) and significantly greater than for the commercial mask (3.03 +/- 0.26%, p = 0.005). Mask insertion of nebulizer was a key factor (inhaled mass: front loaded vs bottom loaded, 8.23 +/- 0.18% vs 3.03 +/- 0.26%; p = 0.005). Ocular deposition varied by an order of magnitude and was a strong function of mask design (4.77 +/- 0.24% vs 0.35 +/- 0.05%, p = 0.002, tight fitting vs prototype). Particle sizes (7.3 to 9 microm) were larger than previously reported for budesonide. For pediatric breathing patterns, mask design is a key factor defining budesonide delivery to the lungs, face, and eyes. Front-loaded nebulizer mask combinations are more efficient than bottom-loaded systems.

  14. Mask-to-wafer alignment system

    DOEpatents

    Sweatt, William C.; Tichenor, Daniel A.; Haney, Steven J.

    2003-11-04

    A modified beam splitter that has a hole pattern that is symmetric in one axis and anti-symmetric in the other can be employed in a mask-to-wafer alignment device. The device is particularly suited for rough alignment using visible light. The modified beam splitter transmits and reflects light from a source of electromagnetic radiation and it includes a substrate that has a first surface facing the source of electromagnetic radiation and second surface that is reflective of said electromagnetic radiation. The substrate defines a hole pattern about a central line of the substrate. In operation, an input beam from a camera is directed toward the modified beam splitter and the light from the camera that passes through the holes illuminates the reticle on the wafer. The light beam from the camera also projects an image of a corresponding reticle pattern that is formed on the mask surface of the that is positioned downstream from the camera. Alignment can be accomplished by detecting the radiation that is reflected from the second surface of the modified beam splitter since the reflected radiation contains both the image of the pattern from the mask and a corresponding pattern on the wafer.

  15. Calibration of a Spatial-Temporal Discrimination Model from Forward, Simultaneous, and Backward Masking

    NASA Technical Reports Server (NTRS)

    Ahumada, Albert J.; Beard, B. L.; Stone, Leland (Technical Monitor)

    1997-01-01

    We have been developing a simplified spatial-temporal discrimination model similar to our simplified spatial model in that masking is assumed to be a function of the local visible contrast energy. The overall spatial-temporal sensitivity of the model is calibrated to predict the detectability of targets on a uniform background. To calibrate the spatial-temporal integration functions that define local visible contrast energy, spatial-temporal masking data are required. Observer thresholds were measured (2IFC) for the detection of a 12 msec target stimulus in the presence of a 700 msec mask. Targets were 1, 3 or 9 c/deg sine wave gratings. Masks were either one of these gratings or two of them combined. The target was presented in 17 temporal positions with respect to the mask, including positions before, during and after the mask. Peak masking was found near mask onset and offset for 1 and 3 c/deg targets, while masking effects were more nearly uniform during the mask for the 9 c/deg target. As in the purely spatial case, the simplified model can not predict all the details of masking as a function of masking component spatial frequencies, but overall the prediction errors are small.

  16. Method To Display Data On A Face Mask

    NASA Technical Reports Server (NTRS)

    Moore, Kevin-Duron

    1995-01-01

    Proposed electronic instrument displays information on diver's or firefighter's face mask. Includes mask, prism, electronic readouts, transceiver and control electronics. Mounted at periphery of diver's field of view to provide data on elapsed time, depth, pressure, and temperature. Provides greater safety and convenience to user.

  17. Pressure Ulcer Incidence in Patients Wearing Nasal-Oral Versus Full-Face Noninvasive Ventilation Masks.

    PubMed

    Schallom, Marilyn; Cracchiolo, Lisa; Falker, Antoinette; Foster, Jennifer; Hager, JoAnn; Morehouse, Tamara; Watts, Peggy; Weems, Linda; Kollef, Marin

    2015-07-01

    Device-related pressure ulcers from noninvasive ventilation masks alter skin integrity and cause patients discomfort. To examine the incidence, location, and stage of pressure ulcers and patients' comfort with a nasal-oral mask compared with a full-face mask. A before-after study of a convenience sample of patients with noninvasive ventilation orders in 5 intensive care units was conducted. Two groups of 100 patients each received either the nasal-oral mask or the full-face mask. Skin was assessed before the mask was applied and every 12 hours after that or upon mask removal. Comfort levels were assessed every 12 hours on a Likert scale of 1 to 5 (1, most comfortable). A pressure ulcer developed in 20% of patients in the nasal-oral mask group and 2% of patients in the full-face mask group (P < .001). Comfort scores were significantly lower (more comfortable) with the full-face mask (mean [SD], 1.9 [1.1]) than with the nasal-oral mask (mean [SD], 2.7 [1.2], P < .001). Neither mean hours worn nor percentage adherence differed significantly: 28.9 (SD, 27.2) hours and 92% for full-face mask and 25 (SD, 20.7) and 92% for nasal-oral mask. No patients who had a pressure ulcer develop with the nasal-oral mask had a pressure ulcer develop with the full-face mask. The full-face mask resulted in significantly fewer pressure ulcers and was more comfortable for patients. The full-face mask is a reasonable alternative to traditional nasal-oral masks for patients receiving noninvasive ventilation. ©2015 American Association of Critical-Care Nurses.

  18. Photodetectors on Coronagraph Mask for Pointing Control

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatham

    2007-01-01

    It has been proposed to install a symmetrical array of photodetectors about the center of the mask of a coronagraph of the type used to search for planets orbiting remote stars. The purpose of this installation is to utilize the light from a star under observation as a guide in pointing the telescope. Simple arithmetic processing of the outputs of the photodetectors would provide indications of the lateral position of the center of the mask relative to the center of the image of the star. These indications could serve as pointing-control feedback signals for adjusting the telescope aim to center the image of the star on the mask. The widths of central mask areas available for placement of photodetectors differ among coronagraph designs, typically ranging upward from about 100 m. Arrays of photodetectors can readily be placed within areas in this size range. The number of detectors in an array could be as small as 4 or as large as 64. The upper limit on the number of detectors would be determined according to the extent of the occulting pattern and the number of functionalities, in addition to pointing control, to be served by the array.

  19. Masking overshoot: Effects of ipsilateral, bilateral and contralateral priming

    NASA Astrophysics Data System (ADS)

    Connington, Maureen Catherine

    This study was concerned with masking overshoot, the elevation of the threshold of a brief signal when it is presented at the onset of a masking noise rather than at its temporal center. More specifically, it was concerned with the release from overshoot (i.e., threshold improvement) produced by priming stimuli, presented ipsilaterally, bilaterally and contralaterally at primer- masker gaps of 20, 40 and 80 msec. The more general purpose of the study was to assess the contributions of peripheral and central factors to the overshoot and overshoot-release phenomena. The primers and masking stimuli consisted of white noise bursts of 200 and 400 msec duration, respectively. The probe signal was a 20 msec 4kHz tone. The tone and masker were always presented in one ear. There were, however, 3 modes of primer presentation: ipsilateral, bilateral (identical waveforms to both ears) and contralateral. Three primer-masker gaps of 20, 40 and 80 msec were used. Five normally hearing adults were tested at primer and masker levels of 80 dB HL. Four of the five subjects exhibited significant masking overshoot, when tested without priming. Ipsilateral priming with 20 and 40 msec gaps produced significant masking release from overshoot. Threshold became poorer, however with increasing gap duration and with increasing distance of the perceived primer from the test ear (i.e. ipsilateral priming produced better thresholds than did bilateral priming and bilateral priming produced better thresholds than contralateral priming). There was significant masking enhancement (i.e. threshold was significantly poorer than in the unprimed probe at onset condition) with the contralateral 80 msec primer. The fact that ipsilateral and bilateral primers performed differently does not support the theory that masking overshoot and its release are solely the results of peripheral adaptation. In fact, the group results support the conclusion that masking overshoot is influenced by central factors. However

  20. [Thermoplastic mask in radiotherapy: a source of anxiety for the patient?].

    PubMed

    Arino, C; Stadelmaier, N; Dupin, C; Kantor, G; Henriques de Figueiredo, B

    2014-12-01

    The thermoplastic mask often used to immobilize patients in radiotherapy can cause varying levels of stress and anxiety. This study aimed at evaluating the anxiety related to the use of radiotherapy masks and the coping strategies adopted by patients. Nineteen patients treated with radiotherapy mask for head and neck cancer, a brain tumour or a lymphoma, were met twice by a psychologist, either after the making of the mask and the first course of radiotherapy, or in the middle and at the end of treatment. Thirty-four semi-structured interviews were treated using a thematic content analysis and 13 patients answered to anxiety (STAI-YB) and coping (WCC) scales. The STAI-YB anxiety scores related to wearing the masks were low during the radiotherapy treatment period, and were confirmed by the remarks of patients recorded during the semi-structured interviews. Most patients had a positive perception of the mask, and considered it as a friend or protection. Twelve out of the 13 patients admitting to anxiety benefited from problem focused coping strategies. Thermoplastic mask-related anxiety is low and possibly lies in the positive representation patients have about the mask. The explanations provided by health professionals on the radiotherapy mask possibly have a very positive effect on this perception. Copyright © 2014 Société française de radiothérapie oncologique (SFRO). Published by Elsevier SAS. All rights reserved.

  1. Performance of an Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    NASA Technical Reports Server (NTRS)

    Newman, Kevin; Belikov, Ruslan; Pluzhnik, Eugene; Balasubramanian, Kunjithapatham; Wilson, Dan

    2014-01-01

    Coronagraph technology combined with wavefront control is close to achieving the contrast and inner working angle requirements in the lab necessary to observe the faint signal of an Earth-like exoplanet in monochromatic light. An important remaining technological challenge is to achieve high contrast in broadband light. Coronagraph bandwidth is largely limited by chromaticity of the focal plane mask, which is responsible for blocking the stellar PSF. The size of a stellar PSF scales linearly with wavelength; ideally, the size of the focal plane mask would also scale with wavelength. A conventional hard-edge focal plane mask has a fixed size, normally sized for the longest wavelength in the observational band to avoid starlight leakage. The conventional mask is oversized for shorter wavelengths and blocks useful discovery space. Recently we presented a solution to the size chromaticity challenge with a focal plane mask designed to scale its effective size with wavelength. In this paper, we analyze performance of the achromatic size-scaling focal plane mask within a Phase Induced Amplitude Apodization (PIAA) coronagraph. We present results from wavefront control around the achromatic focal plane mask, and demonstrate the size-scaling effect of the mask with wavelength. The edge of the dark zone, and therefore the inner working angle of the coronagraph, scale with wavelength. The achromatic mask enables operation in a wider band of wavelengths compared with a conventional hard-edge occulter.

  2. Aerial image based die-to-model inspections of advanced technology masks

    NASA Astrophysics Data System (ADS)

    Kim, Jun; Lei, Wei-Guo; McCall, Joan; Zaatri, Suheil; Penn, Michael; Nagpal, Rajesh; Faivishevsky, Lev; Ben-Yishai, Michael; Danino, Udy; Tam, Aviram; Dassa, Oded; Balasubramanian, Vivek; Shah, Tejas H.; Wagner, Mark; Mangan, Shmoolik

    2009-10-01

    Die-to-Model (D2M) inspection is an innovative approach to running inspection based on a mask design layout data. The D2M concept takes inspection from the traditional domain of mask pattern to the preferred domain of the wafer aerial image. To achieve this, D2M transforms the mask layout database into a resist plane aerial image, which in turn is compared to the aerial image of the mask, captured by the inspection optics. D2M detection algorithms work similarly to an Aerial D2D (die-to-die) inspection, but instead of comparing a die to another die it is compared to the aerial image model. D2M is used whenever D2D inspection is not practical (e.g., single die) or when a validation of mask conformity to design is needed, i.e., for printed pattern fidelity. D2M is of particular importance for inspection of logic single die masks, where no simplifying assumption of pattern periodicity may be done. The application can tailor the sensitivity to meet the needs at different locations, such as device area, scribe lines and periphery. In this paper we present first test results of the D2M mask inspection application at a mask shop. We describe the methodology of using D2M, and review the practical aspects of the D2M mask inspection.

  3. Reflective Occultation Mask for Evaluation of Occulter Designs for Planet Finding

    NASA Technical Reports Server (NTRS)

    Hagopian, John; Lyon, Richard; Shiri, Shahram; Roman, Patrick

    2011-01-01

    Advanced formation flying occulter designs utilize a large occulter mask flying in formation with an imaging telescope to block and null starlight to allow imaging of faint planets in exosolar systems. A paper describes the utilization of subscale reflective occultation masks to evaluate formation flying occulter designs. The use of a reflective mask allows mounting of the occulter by conventional means and simplifies the test configuration. The innovation alters the test set-up to allow mounting of the mask using standard techniques to eliminate the problems associated with a standard configuration. The modified configuration uses a reflective set-up whereby the star simulator reflects off of a reflective occulting mask and into an evaluation telescope. Since the mask is sized to capture all rays required for the imaging test, it can be mounted directly to a supporting fixture without interfering with the beam. Functionally, the reflective occultation mask reflects light from the star simulator instead of transmitting it, with a highly absorptive carbon nanotube layer simulating the occulter blocking mask. A subscale telescope images the star source and companion dim source that represents a planet. The primary advantage of this is that the occulter can be mounted conventionally instead of using diffractive wires or magnetic levitation.

  4. Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks

    NASA Astrophysics Data System (ADS)

    Sherwin, Stuart; Neureuther, Andrew; Naulleau, Patrick

    2017-10-01

    Achieving high-throughput extreme ultraviolet (EUV) patterning remains a major challenge due to low source power; phase-shift masks can help solve this challenge for dense features near the resolution limit by creating brighter images than traditional absorber masks when illuminated with the same source power. We explore applications of etched multilayer phase-shift masks for EUV lithography, both in the current-generation 0.33 NA and next-generation 0.55 NA systems. We derive analytic formulas for the thin-mask throughput gains, which are 2.42× for lines and spaces and 5.86× for contacts compared with an absorber mask with dipole and quadrupole illumination, respectively. Using rigorous finite-difference time-domain simulations, we quantify variations in these gains by pitch and orientation, finding 87% to 113% of the thin-mask value for lines and spaces and a 91% to 99% for contacts. We introduce an edge placement error metric, which accounts for CD errors, relative feature motion, and telecentricity errors, and use this metric both to optimize mask designs for individual features and to explore which features can be printed on the same mask. Furthermore, we find that although partial coherence shrinks the process window, at an achievable sigma of 0.2 we obtain a depth of focus of 340 nm and an exposure latitude of 39.2%, suggesting that partial coherence will not limit the feasibility of this technology. Finally, we show that many problems such as sensitivity to etch uniformity can be greatly mitigated using a central obscuration in the imaging pupil.

  5. Sugar Substitutes

    MedlinePlus

    ... Substitutes Share Print Sugar substitutes are chemical or plant-based substances used to sweeten or enhance the ... made with saccharin. Stevia sweeteners Stevia is a plant-based sugar substitute that has no calories. The ...

  6. Feature Masking in Computer Game Promotes Visual Imagery

    ERIC Educational Resources Information Center

    Smith, Glenn Gordon; Morey, Jim; Tjoe, Edwin

    2007-01-01

    Can learning of mental imagery skills for visualizing shapes be accelerated with feature masking? Chemistry, physics fine arts, military tactics, and laparoscopic surgery often depend on mentally visualizing shapes in their absence. Does working with "spatial feature-masks" (skeletal shapes, missing key identifying portions) encourage people to…

  7. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  8. Using multinomial logistic regression analysis to understand anglers willingness to substitute other fishing locations

    Treesearch

    Woo-Yong Hyun; Robert B. Ditton

    2007-01-01

    The concept of recreation substitutability has been a continuing research topic for outdoor recreation researchers. This study explores the relationships among variables regarding the willingness to substitute one location for another location. The objectives of the study are 1) to ascertain and predict the extent to which saltwater anglers were willing to substitute...

  9. Mask-Assisted Seeded Growth of Segmented Metallic Heteronanostructures

    DOE PAGES

    Crane, Cameron C.; Tao, Jing; Wang, Feng; ...

    2014-12-04

    Controlling the deposition of exotic metals in the seeded growth of multi-metal nanostructures is challenging. This work describes a seeded growth method assisted by a mask for synthesis of segmented binary or ternary metal nanostructures. Silica is used as a mask to partially block the surface of a seed and a second metal is subsequently deposited on the exposed area, forming a bimetallic heterodimer. The initial demonstration was carried out on a Au seed, followed by deposition of Pd or Pt on the seed. It was found that Pd tends to spread out laterally on the seed while Pt inclinesmore » to grow vertically into branched topology on Au. Without removal of the SiO₂ mask, Pt could be further deposited on the unblocked Pd of the Pd-Au dimer to form a Pt-Pd-Au trimer. The mask-assisted seeded growth provides a general strategy to construct segmented metallic nanoarchitectures.« less

  10. Backward masking, the suffix effect, and preperceptual storage.

    PubMed

    Kallman, H J; Massaro, D W

    1983-04-01

    This article considers the use of auditory backward recognition masking (ABRM) and stimulus suffix experiments as indexes of preperceptual auditory storage. In the first part of the article, two ABRM experiments that failed to demonstrate a mask disinhibition effect found previously in stimulus suffix experiments are reported. The failure to demonstrate mask disinhibition is inconsistent with an explanation of ABRM in terms of lateral inhibition. In the second part of the article, evidence is presented to support the conclusion that the suffix effect involves the contributions of later processing stages and does not provide an uncontaminated index of preperceptual storage. In contrast, it is claimed that ABRM experiments provide the most direct index of the temporal course of perceptual recognition. Partial-report tasks and other paradigms are also evaluated in terms of their contributions to an understanding of preperceptual auditory storage. Differences between interruption and integration masking are discussed along with the role of preperceptual auditory storage in speech perception.

  11. Economics of automation for the design-to-mask interface

    NASA Astrophysics Data System (ADS)

    Erck, Wesley

    2009-04-01

    Mask order automation has increased steadily over the years through a variety of individual mask customer implementations. These have been supported by customer-specific software at the mask suppliers to support the variety of customer output formats. Some customers use the SEMI P10 1 standard, some use supplier-specific formats, and some use customer-specific formats. Some customers use little automation and depend instead on close customer-supplier relationships. Implementations are varied in quality and effectiveness. A major factor which has prolonged the adoption of more advanced and effective solutions has been a lack of understanding of the economic benefits. Some customers think standardized automation mainly benefits the mask supplier in order entry automation, but this ignores a number of other significant benefits which differ dramatically for each party in the supply chain. This paper discusses the nature of those differing advantages and presents simple models suited to four business cases: integrated device manufacturers (IDM), fabless companies, foundries and mask suppliers. Examples and estimates of the financial advantages for these business types will be shown.

  12. Multiresolution multiscale active mask segmentation of fluorescence microscope images

    NASA Astrophysics Data System (ADS)

    Srinivasa, Gowri; Fickus, Matthew; Kovačević, Jelena

    2009-08-01

    We propose an active mask segmentation framework that combines the advantages of statistical modeling, smoothing, speed and flexibility offered by the traditional methods of region-growing, multiscale, multiresolution and active contours respectively. At the crux of this framework is a paradigm shift from evolving contours in the continuous domain to evolving multiple masks in the discrete domain. Thus, the active mask framework is particularly suited to segment digital images. We demonstrate the use of the framework in practice through the segmentation of punctate patterns in fluorescence microscope images. Experiments reveal that statistical modeling helps the multiple masks converge from a random initial configuration to a meaningful one. This obviates the need for an involved initialization procedure germane to most of the traditional methods used to segment fluorescence microscope images. While we provide the mathematical details of the functions used to segment fluorescence microscope images, this is only an instantiation of the active mask framework. We suggest some other instantiations of the framework to segment different types of images.

  13. Unmasking the effects of masking on performance: The potential of multiple-voice masking in the office environment.

    PubMed

    Keus van de Poll, Marijke; Carlsson, Johannes; Marsh, John E; Ljung, Robert; Odelius, Johan; Schlittmeier, Sabine J; Sundin, Gunilla; Sörqvist, Patrik

    2015-08-01

    Broadband noise is often used as a masking sound to combat the negative consequences of background speech on performance in open-plan offices. As office workers generally dislike broadband noise, it is important to find alternatives that are more appreciated while being at least not less effective. The purpose of experiment 1 was to compare broadband noise with two alternatives-multiple voices and water waves-in the context of a serial short-term memory task. A single voice impaired memory in comparison with silence, but when the single voice was masked with multiple voices, performance was on level with silence. Experiment 2 explored the benefits of multiple-voice masking in more detail (by comparing one voice, three voices, five voices, and seven voices) in the context of word processed writing (arguably a more office-relevant task). Performance (i.e., writing fluency) increased linearly from worst performance in the one-voice condition to best performance in the seven-voice condition. Psychological mechanisms underpinning these effects are discussed.

  14. A face versus non-face context influences amygdala responses to masked fearful eye whites.

    PubMed

    Kim, M Justin; Solomon, Kimberly M; Neta, Maital; Davis, F Caroline; Oler, Jonathan A; Mazzulla, Emily C; Whalen, Paul J

    2016-12-01

    The structure of the mask stimulus is crucial in backward masking studies and we recently demonstrated such an effect when masking faces. Specifically, we showed that activity of the amygdala is increased to fearful facial expressions masked with neutral faces and decreased to fearful expressions masked with a pattern mask-but critically both masked conditions discriminated fearful expressions from happy expressions. Given this finding, we sought to test whether masked fearful eye whites would produce a similar profile of amygdala response in a face vs non-face context. During functional magnetic resonance imaging scanning sessions, 30 participants viewed fearful or happy eye whites masked with either neutral faces or pattern images. Results indicated amygdala activity was increased to fearful vs happy eye whites in the face mask condition, but decreased to fearful vs happy eye whites in the pattern mask condition-effectively replicating and expanding our previous report. Our data support the idea that the amygdala is responsive to fearful eye whites, but that the nature of this activity observed in a backward masking design depends on the mask stimulus. © The Author (2016). Published by Oxford University Press.

  15. Lensless digital holography with diffuse illumination through a pseudo-random phase mask.

    PubMed

    Bernet, Stefan; Harm, Walter; Jesacher, Alexander; Ritsch-Marte, Monika

    2011-12-05

    Microscopic imaging with a setup consisting of a pseudo-random phase mask, and an open CMOS camera, without an imaging objective, is demonstrated. The pseudo random phase mask acts as a diffuser for an incoming laser beam, scattering a speckle pattern to a CMOS chip, which is recorded once as a reference. A sample which is afterwards inserted somewhere in the optical beam path changes the speckle pattern. A single (non-iterative) image processing step, comparing the modified speckle pattern with the previously recorded one, generates a sharp image of the sample. After a first calibration the method works in real-time and allows quantitative imaging of complex (amplitude and phase) samples in an extended three-dimensional volume. Since no lenses are used, the method is free from lens abberations. Compared to standard inline holography the diffuse sample illumination improves the axial sectioning capability by increasing the effective numerical aperture in the illumination path, and it suppresses the undesired so-called twin images. For demonstration, a high resolution spatial light modulator (SLM) is programmed to act as the pseudo-random phase mask. We show experimental results, imaging microscopic biological samples, e.g. insects, within an extended volume at a distance of 15 cm with a transverse and longitudinal resolution of about 60 μm and 400 μm, respectively.

  16. Exploring the additivity of binaural and monaural masking release.

    PubMed

    Hall, Joseph W; Buss, Emily; Grose, John H

    2011-04-01

    Experiment 1 examined comodulation masking release (CMR) for a 700-Hz tonal signal under conditions of N(o)S(o) (noise and signal interaurally in phase) and N(o)S(π) (noise in phase, signal out of phase) stimulation. The baseline stimulus for CMR was either a single 24-Hz wide narrowband noise centered on the signal frequency [on-signal band (OSB)] or the OSB plus, a set of flanking noise bands having random envelopes. Masking noise was either gated or continuous. The CMR, defined with respect to either the OSB or the random noise baseline, was smaller for N(o)S(π) than N(o)S(o) stimulation, particularly when the masker was continuous. Experiment 2 examined whether the same pattern of results would be obtained for a 2000-Hz signal frequency; the number of flanking bands was also manipulated (two versus eight). Results again showed smaller CMR for N(o)S(π) than N(o)S(o) stimulation for both continuous and gated masking noise. The CMR was larger with eight than with two flanking bands, and this difference was greater for N(o)S(o) than N(o)S(π). The results of this study are compatible with serial mechanisms of binaural and monaural masking release, but they indicate that the combined masking release (binaural masking-level difference and CMR) falls short of being additive.

  17. Development for 2D pattern quantification method on mask and wafer

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Toyoda, Yasutaka; Wang, Zhigang

    2010-03-01

    We have developed the effective method of mask and silicon 2-dimensional metrology. The aim of this method is evaluating the performance of the silicon corresponding to Hotspot on a mask. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. 2-dimensional Shape quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. On the other hand, there is roughness in the silicon shape made from a mass-production line. Moreover, there is variation in the silicon shape. For this reason, quantification of silicon shape is important, in order to estimate the performance of a pattern. In order to quantify, the same shape is equalized in two dimensions. And the method of evaluating based on the shape is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. It is possible to analyze variability of the edge of the same position with high precision. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and

  18. Masked areas in shear peak statistics. A forward modeling approach

    DOE PAGES

    Bard, D.; Kratochvil, J. M.; Dawson, W.

    2016-03-09

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impactmore » of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance.« less

  19. MASKED AREAS IN SHEAR PEAK STATISTICS: A FORWARD MODELING APPROACH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bard, D.; Kratochvil, J. M.; Dawson, W., E-mail: djbard@slac.stanford.edu

    2016-03-10

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impactmore » of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance.« less

  20. 42 CFR 84.111 - Gas masks; required components.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 42 Public Health 1 2013-10-01 2013-10-01 false Gas masks; required components. 84.111 Section 84.111 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84...