Sample records for oxide thin-film transistors

  1. Oxide-based thin film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    He, Yongli; Wang, Xiangyu; Gao, Ya; Hou, Yahui; Wan, Qing

    2018-01-01

    The continuous progress in thin film materials and devices has greatly promoted the development in the field of flexible electronics. As one of the most common thin film devices, thin film transistors (TFTs) are significant building blocks for flexible platforms. Flexible oxide-based TFTs are well compatible with flexible electronic systems due to low process temperature, high carrier mobility, and good uniformity. The present article is a review of the recent progress and major trends in the field of flexible oxide-based thin film transistors. First, an introduction of flexible electronics and flexible oxide-based thin film transistors is given. Next, we introduce oxide semiconductor materials and various flexible oxide-based TFTs classified by substrate materials including polymer plastics, paper sheets, metal foils, and flexible thin glass. Afterwards, applications of flexible oxide-based TFTs including bendable sensors, memories, circuits, and displays are presented. Finally, we give conclusions and a prospect for possible development trends. Project supported in part by the National Science Foundation for Distinguished Young Scholars of China (No. 61425020), in part by the National Natural Science Foundation of China (No. 11674162).

  2. Subtractive Plasma-Assisted-Etch Process for Developing High Performance Nanocrystalline Zinc-Oxide Thin-Film-Transistors

    DTIC Science & Technology

    2015-03-26

    THIN - FILM - TRANSISTORS THESIS Thomas M. Donigan, First Lieutenant, USAF AFIT-ENG-MS-15-M-027 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR...DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS THESIS Presented to the Faculty Department of Electrical and...15-M-027 SUBTRACTIVE PLASMA-ASSISTED-ETCH PROCESS FOR DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS

  3. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizingmore » controllable high-performance stable transistors.« less

  4. Three dimensional-stacked complementary thin-film transistors using n-type Al:ZnO and p-type NiO thin-film transistors.

    PubMed

    Lee, Ching-Ting; Chen, Chia-Chi; Lee, Hsin-Ying

    2018-03-05

    The three dimensional inverters were fabricated using novel complementary structure of stacked bottom n-type aluminum-doped zinc oxide (Al:ZnO) thin-film transistor and top p-type nickel oxide (NiO) thin-film transistor. When the inverter operated at the direct voltage (V DD ) of 10 V and the input voltage from 0 V to 10 V, the obtained high performances included the output swing of 9.9 V, the high noise margin of 2.7 V, and the low noise margin of 2.2 V. Furthermore, the high performances of unskenwed inverter were demonstrated by using the novel complementary structure of the stacked n-type Al:ZnO thin-film transistor and p-type nickel oxide (NiO) thin-film transistor.

  5. Combinatorial study of zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  6. Amorphous nickel incorporated tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jianwen; Ren, Jinhua; Lin, Dong; Han, Yanbing; Qu, Mingyue; Pi, Shubin; Fu, Ruofan; Zhang, Qun

    2017-09-01

    Nickel as a dopant has been proposed to suppress excess carrier concentration in n-type tin oxide based thin film transistors (TFTs). The influences of Ni content on nickel doped tin oxide (TNO) thin films and their corresponding TFTs were investigated with experimental results showing that the TNO thin films are amorphous. Through the comparison of the transfer characteristic curves of the TNO TFTs with different Ni contents, it was observed that Ni doping is useful to improve the performance of SnO2-based TFTs by suppressing the off-state current and shifting the threshold voltage to 0 V. The amorphous TNO TFT with 3.3 at.% Ni content shows optimum performance, with field effect mobility of 8.4 cm2 V-1 s-1, saturation mobility of 6.8 cm2 V-1 s-1, subthreshold swing value of 0.8 V/decade, and an on-off current ratio of 2.1  ×  107. Nevertheless, the bias stress stability of SnO2-based TFTs deteriorate.

  7. Fully transparent thin film transistors based on zinc oxide channel layer and molybdenum doped indium oxide electrodes

    NASA Astrophysics Data System (ADS)

    MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime

    2016-03-01

    In this work we report the fabrication of thin film transistors (TFT) with zinc oxide channel and molybdenum doped indium oxide (IMO) electrodes, achieved by room temperature sputtering. A set of devices was fabricated, with varying channel width and length from 5μm to 300μm. Output and transfer characteristics were then extracted to study the performance of thin film transistors, namely threshold voltage and saturation current, enabling to determine optimal fabrication process parameters. Optical transmission in the UV-VIS-IR are also reported.

  8. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    PubMed

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  9. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    PubMed

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  10. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    PubMed Central

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications. PMID:28435867

  11. Electron transporting water-gated thin film transistors

    NASA Astrophysics Data System (ADS)

    Al Naim, Abdullah; Grell, Martin

    2012-10-01

    We demonstrate an electron-transporting water-gated thin film transistor, using thermally converted precursor-route zinc-oxide (ZnO) intrinsic semiconductors with hexamethyldisilazene (HMDS) hydrophobic surface modification. Water gated HMDS-ZnO thin film transistors (TFT) display low threshold and high electron mobility. ZnO films constitute an attractive alternative to organic semiconductors for TFT transducers in sensor applications for waterborne analytes. Despite the use of an electrolyte as gate medium, the gate geometry (shape of gate electrode and distance between gate electrode and TFT channel) is relevant for optimum performance of water-gated TFTs.

  12. Study on the Hydrogenated ZnO-Based Thin Film Transistors. Part 1

    DTIC Science & Technology

    2011-04-30

    IGZO film on the performance of thin film transistors 5 Chapter 2. Hydrogenation of a- IGZO channel layer in the thin film transistors 12...effect of substrate temperature during the deposition of a- IGZO film on the performance of thin film transistors Introduction The effect of substrate...temperature during depositing IGZO channel layer on the performance of amorphous indium-gallium-zinc oxide (a- IGZO

  13. Thin Film Transistors On Plastic Substrates

    DOEpatents

    Carey, Paul G.; Smith, Patrick M.; Sigmon, Thomas W.; Aceves, Randy C.

    2004-01-20

    A process for formation of thin film transistors (TFTs) on plastic substrates replaces standard thin film transistor fabrication techniques, and uses sufficiently lower processing temperatures so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The silicon based thin film transistor produced by the process includes a low temperature substrate incapable of withstanding sustained processing temperatures greater than about 250.degree. C., an insulating layer on the substrate, a layer of silicon on the insulating layer having sections of doped silicon, undoped silicon, and poly-silicon, a gate dielectric layer on the layer of silicon, a layer of gate metal on the dielectric layer, a layer of oxide on sections of the layer of silicon and the layer of gate metal, and metal contacts on sections of the layer of silicon and layer of gate metal defining source, gate, and drain contacts, and interconnects.

  14. Sputtered boron indium oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Stewart, Kevin A.; Gouliouk, Vasily; Keszler, Douglas A.; Wager, John F.

    2017-11-01

    Boron indium oxide (BIO) is studied for thin-film transistor (TFT) channel layer applications. Sputtered BIO thin films exhibit an amorphous phase over a wide range of B2O3/In2O3 ratios and remain amorphous up to 500 °C. The band gap decreases linearly with decreasing boron content, whereas device performance generally improves with decreasing boron content. The best amorphous BIO TFT exhibits a field-effect mobility of 10 cm2 V-1 s-1, turn-on voltage of 2.5 V, and sub-threshold swing of 0.72 V/dec. Decreasing the boron content to 12.5% leads to a polycrystalline phase, but further increases the mobility up to 20-40 cm2 V-1 s-1. TCAD simulation results suggest that the reason for higher performance after increasing the anneal temperature from 200 to 400 °C is due to a lower defect density in the sub-bandgap region of the BIO channel layer.

  15. Dual operation characteristics of resistance random access memory in indium-gallium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Chun; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.

    2014-04-01

    In this study, indium-gallium-zinc-oxide thin film transistors can be operated either as transistors or resistance random access memory devices. Before the forming process, current-voltage curve transfer characteristics are observed, and resistance switching characteristics are measured after a forming process. These resistance switching characteristics exhibit two behaviors, and are dominated by different mechanisms. The mode 1 resistance switching behavior is due to oxygen vacancies, while mode 2 is dominated by the formation of an oxygen-rich layer. Furthermore, an easy approach is proposed to reduce power consumption when using these resistance random access memory devices with the amorphous indium-gallium-zinc-oxide thin film transistor.

  16. Effects of channel thickness on oxide thin film transistor with double-stacked channel layer

    NASA Astrophysics Data System (ADS)

    Lee, Kimoon; Kim, Yong-Hoon; Yoon, Sung-Min; Kim, Jiwan; Oh, Min Suk

    2017-11-01

    To improve the field effect mobility and control the threshold voltage ( V th ) of oxide thin film transistors (TFTs), we fabricated the oxide TFTs with double-stacked channel layers which consist of thick Zn-Sn-O (ZTO) and very thin In-Zn-O (IZO) layers. We investigated the effects of the thickness of thin conductive layer and the conductivity of thick layer on oxide TFTs with doublestacked channel layer. When we changed the thickness of thin conductive IZO channel layer, the resistivity values were changed. This resistivity of thin channel layer affected on the saturation field effect mobility and the off current of TFTs. In case of the thick ZTO channel layer which was deposited by sputtering in Ar: O2 = 10: 1, the device showed better performances than that which was deposited in Ar: O2 = 1: 1. Our TFTs showed high mobility ( μ FE ) of 40.7 cm2/Vs and V th of 4.3 V. We assumed that high mobility and the controlled V th were caused by thin conductive IZO layer and thick stable ZTO layer. Therefore, this double-stacked channel structure can be very promising way to improve the electrical characteristics of various oxide thin film transistors.

  17. A unified physical model of Seebeck coefficient in amorphous oxide semiconductor thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lu, Nianduan; Li, Ling; Sun, Pengxiao; Banerjee, Writam; Liu, Ming

    2014-09-01

    A unified physical model for Seebeck coefficient was presented based on the multiple-trapping and release theory for amorphous oxide semiconductor thin-film transistors. According to the proposed model, the Seebeck coefficient is attributed to the Fermi-Dirac statistics combined with the energy dependent trap density of states and the gate-voltage dependence of the quasi-Fermi level. The simulation results show that the gate voltage, energy disorder, and temperature dependent Seebeck coefficient can be well described. The calculation also shows a good agreement with the experimental data in amorphous In-Ga-Zn-O thin-film transistor.

  18. Morphological impact of zinc oxide layers on the device performance in thin-film transistors.

    PubMed

    Faber, Hendrik; Klaumünzer, Martin; Voigt, Michael; Galli, Diana; Vieweg, Benito F; Peukert, Wolfgang; Spiecker, Erdmann; Halik, Marcus

    2011-03-01

    Zinc oxide thin-films are prepared either by spin coating of an ethanolic dispersion of nanoparticles (NP, diameter 5 nm) or by spray pyrolysis of a zinc acetate dihydrate precursor. High-resolution electron microscopy studies reveal a monolayer of particles for the low temperature spin coating approach and larger crystalline domains of more than 30 nm for the spray pyrolysis technique. Thin-film transistor devices (TFTs) based on spray pyrolysis films exhibit higher electron mobilities of up to 24 cm2 V(-1) s(-1) compared to 0.6 cm2 V(-1) s(-1) for NP based TFTs. These observations were dedicated to a reduced number of grain boundaries within the transistor channel.

  19. Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.

    PubMed

    Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn

    2018-07-01

    Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.

  20. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  1. Low-Temperature, Solution-Processed, Transparent Zinc Oxide-Based Thin-Film Transistors for Sensing Various Solvents.

    PubMed

    You, Hsin-Chiang; Wang, Cheng-Jyun

    2017-02-26

    A low temperature solution-processed thin-film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low-cost, rapid and highly compatible water-soluble detection and could replace conventional silicon field effect transistors (FETs) as bio-sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol), non-polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non-polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin-film transistor.

  2. Low-Temperature, Solution-Processed, Transparent Zinc Oxide-Based Thin-Film Transistors for Sensing Various Solvents

    PubMed Central

    You, Hsin-Chiang; Wang, Cheng-Jyun

    2017-01-01

    A low temperature solution-processed thin-film transistor (TFT) using zinc oxide (ZnO) film as an exposed sensing semiconductor channel was fabricated to detect and identify various solution solvents. The TFT devices would offer applications for low-cost, rapid and highly compatible water-soluble detection and could replace conventional silicon field effect transistors (FETs) as bio-sensors. In this work, we demonstrate the utility of the TFT ZnO channel to sense various liquids, such as polar solvents (ethanol), non-polar solvents (toluene) and deionized (DI) water, which were dropped and adsorbed onto the channel. It is discussed how different dielectric constants of polar/non-polar solvents and DI water were associated with various charge transport properties, demonstrating the main detection mechanisms of the thin-film transistor. PMID:28772592

  3. Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor.

    PubMed

    Nomura, Kenji; Ohta, Hiromichi; Ueda, Kazushige; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2003-05-23

    We report the fabrication of transparent field-effect transistors using a single-crystalline thin-film transparent oxide semiconductor, InGaO3(ZnO)5, as an electron channel and amorphous hafnium oxide as a gate insulator. The device exhibits an on-to-off current ratio of approximately 106 and a field-effect mobility of approximately 80 square centimeters per volt per second at room temperature, with operation insensitive to visible light irradiation. The result provides a step toward the realization of transparent electronics for next-generation optoelectronics.

  4. Inkjet-printed p-type nickel oxide thin-film transistor

    NASA Astrophysics Data System (ADS)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  5. Codoping of zinc and tungsten for practical high-performance amorphous indium-based oxide thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizu, Takio, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Tsukagoshi, Kazuhito, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp

    2015-09-28

    Using practical high-density sputtering targets, we investigated the effect of Zn and W codoping on the thermal stability of the amorphous film and the electrical characteristics in thin film transistors. zinc oxide is a potentially conductive component while W oxide is an oxygen vacancy suppressor in oxide films. The oxygen vacancy from In-O and Zn-O was suppressed by the W additive because of the high oxygen bond dissociation energy. With controlled codoping of W and Zn, we demonstrated a high mobility with a maximum mobility of 40 cm{sup 2}/V s with good stability under a negative bias stress in InWZnO thinmore » film transistors.« less

  6. Analysis of amorphous indium-gallium-zinc-oxide thin-film transistor contact metal using Pilling-Bedworth theory and a variable capacitance diode model

    NASA Astrophysics Data System (ADS)

    Kiani, Ahmed; Hasko, David G.; Milne, William I.; Flewitt, Andrew J.

    2013-04-01

    It is widely reported that threshold voltage and on-state current of amorphous indium-gallium-zinc-oxide bottom-gate thin-film transistors are strongly influenced by the choice of source/drain contact metal. Electrical characterisation of thin-film transistors indicates that the electrical properties depend on the type and thickness of the metal(s) used. Electron transport mechanisms and possibilities for control of the defect state density are discussed. Pilling-Bedworth theory for metal oxidation explains the interaction between contact metal and amorphous indium-gallium-zinc-oxide, which leads to significant trap formation. Charge trapping within these states leads to variable capacitance diode-like behavior and is shown to explain the thin-film transistor operation.

  7. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  8. Thin-film transistors with a graphene oxide nanocomposite channel.

    PubMed

    Jilani, S Mahaboob; Gamot, Tanesh D; Banerji, P

    2012-12-04

    Graphene oxide (GO) and graphene oxide-zinc oxide nanocomposites (GO-ZnO) were used as channel materials on SiO(2)/Si to fabricate thin-film transistors (TFT) with an aluminum source and drain. Pure GO-based TFT showed poor field-effect characteristics. However, GO-ZnO-nanocomposite-based TFT showed better field-effect performance because of the anchoring of ZnO nanostructures in the GO matrix, which causes a partial reduction in GO as is found from X-ray photoelectron spectroscopic data. The field-effect mobility of charge carriers at a drain voltage of 1 V was found to be 1.94 cm(2)/(V s). The transport of charge carriers in GO-ZnO was explained by a fluctuation-induced tunneling mechanism.

  9. Comprehensive review on the development of high mobility in oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  10. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    PubMed Central

    Noviyana, Imas; Lestari, Annisa Dwi; Putri, Maryane; Won, Mi-Sook; Bae, Jong-Seong; Heo, Young-Woo; Lee, Hee Young

    2017-01-01

    Top-contact bottom-gate thin film transistors (TFTs) with zinc-rich indium zinc tin oxide (IZTO) active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C. PMID:28773058

  11. Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Zhu, Shuanglin

    Solution processed metal oxide semiconductors have attracted intensive attention in the last several decades and have emerged as a promising candidate for the application of thin film transistor (TFT) due to their nature of transparency, flexibility, high mobility, simple processing technique and potential low manufacturing cost. However, metal oxide thin film fabricated by solution process usually requires a high temperature (over 300 °C), which is above the glass transition temperature of some conventional polymer substrates. In order to fabricate the flexible electronic device on polymer substrates, it is necessary to find a facile approach to lower the fabrication temperature and minimize defects in metal oxide thin film. In this thesis, the electrical properties dependency on temperature is discussed and an UV-assisted annealing method incorporating Deep ultraviolet (DUV)-decomposable additives is demonstrated, which can effectively improve electrical properties solution processed metal oxide semiconductors processed at temperature as low as 220 °C. By studying a widely used indium oxide (In2O3) TFT as a model system, it is worth noted that compared with the sample without UV treatment, the linear mobility and saturation mobility of UV-annealing sample are improved by 56% and 40% respectively. Meanwhile, the subthreshold swing is decreased by 32%, indicating UV-treated device could turn on and off more efficiently. In addition to pure In2O3 film, the similar phenomena have also been observed in indium oxide based Indium-Gallium-Zinc Oxide (IGZO) system. These finding presented in this thesis suggest that the UV assisted annealing process open a new route to fabricate high performance metal oxide semiconductors under low temperatures.

  12. Solution-Processed Gallium–Tin-Based Oxide Semiconductors for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Jungwon; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the effects of gallium (Ga) and tin (Sn) compositions on the structural and chemical properties of Ga–Sn-mixed (Ga:Sn) oxide films and the electrical properties of Ga:Sn oxide thin-film transistors (TFTs). The thermogravimetric analysis results indicate that solution-processed oxide films can be produced via thermal annealing at 500 °C. The oxygen deficiency ratio in the Ga:Sn oxide film increased from 0.18 (Ga oxide) and 0.30 (Sn oxide) to 0.36, while the X-ray diffraction peaks corresponding to Sn oxide significantly reduced. The Ga:Sn oxide film exhibited smaller grains compared to the nanocrystalline Sn oxide film, while the Ga oxide film exhibited an amorphous morphology. We found that the electrical properties of TFTs significantly improve by mixing Ga and Sn. Here, the optimum weight ratio of the constituents in the mixture of Ga and Sn precursor sols was determined to be 1.0:0.9 (Ga precursor sol:Sn precursor sol) for application in the solution-processed Ga:Sn oxide TFTs. In addition, when the Ga(1.0):Sn(0.9) oxide film was thermally annealed at 900 °C, the field-effect mobility of the TFT was notably enhanced from 0.02 to 1.03 cm2/Vs. Therefore, the mixing concentration ratio and annealing temperature are crucial for the chemical and morphological properties of solution-processed Ga:Sn oxide films and for the TFT performance. PMID:29283408

  13. Stretchable metal oxide thin film transistors on engineered substrate for electronic skin applications.

    PubMed

    Romeo, Alessia; Lacour, Stphanie P

    2015-08-01

    Electronic skins aim at providing distributed sensing and computation in a large-area and elastic membrane. Control and addressing of high-density soft sensors will be achieved when thin film transistor matrices are also integrated in the soft carrier substrate. Here, we report on the design, manufacturing and characterization of metal oxide thin film transistors on these stretchable substrates. The TFTs are integrated onto an engineered silicone substrate with embedded strain relief to protect the devices from catastrophic cracking. The TFT stack is composed of an amorphous In-Ga-Zn-O active layer, a hybrid AlxOy/Parylene dielectric film, gold electrodes and interconnects. All layers are prepared and patterned with planar, low temperature and dry processing. We demonstrate the interconnected IGZO TFTs sustain applied tensile strain up to 20% without electrical degradation and mechanical fracture. Active devices are critical for distributed sensing. The compatibility of IGZO TFTs with soft and biocompatible substrates is an encouraging step towards wearable electronic skins.

  14. Large-scale complementary macroelectronics using hybrid integration of carbon nanotubes and IGZO thin-film transistors.

    PubMed

    Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu

    2014-06-13

    Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).

  15. Trap States of the Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Yu, Kyeong Min; Yuh, Jin Tae; Park, Sang Hee Ko; Ryu, Min Ki; Yun, Eui Jung; Bae, Byung Seong

    2013-10-01

    We investigated the temperature dependent recovery of the threshold voltage shift observed in both ZnO and indium gallium zinc oxide (IGZO) thin film transistors (TFTs) after application of gate bias and light illumination. Two types of recovery were observed for both the ZnO and IGZO TFTs; low temperature recovery (below 110 °C) which is attributed to the trapped charge and high temperature recovery (over 110 °C) which is related to the annihilation of trap states generated during stresses. From a comparison study of the recovery rate with the analysis of hydrogen diffusion isochronal annealing, a similar behavior was observed for both TFT recovery and hydrogen diffusion. This result suggests that hydrogen plays an important role in the generation and annihilation of trap states in oxide TFTs under gate bias or light illumination stresses.

  16. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    NASA Astrophysics Data System (ADS)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  17. Synthesis of ZnO nanowires for thin film network transistors

    NASA Astrophysics Data System (ADS)

    Dalal, S. H.; Unalan, H. E.; Zhang, Y.; Hiralal, Pritesh; Gangloff, L.; Flewitt, Andrew J.; Amaratunga, Gehan A. J.; Milne, William I.

    2008-08-01

    Zinc oxide nanowire networks are attractive as alternatives to organic and amorphous semiconductors due to their wide bandgap, flexibility and transparency. We demonstrate the fabrication of thin film transistors (TFT)s which utilize ZnO nanowires as the semiconducting channel. These thin film transistors can be transparent and flexible and processed at low temperatures on to a variety of substrates. The nanowire networks are created using a simple contact transfer method that is easily scalable. Apparent nanowire network mobility values can be as high as 3.8 cm2/Vs (effective thin film mobility: 0.03 cm2/Vs) in devices with 20μm channel lengths and ON/OFF ratios of up to 104.

  18. Junctionless Thin-Film Transistors Gated by an H₃PO₄-Incorporated Chitosan Proton Conductor.

    PubMed

    Liu, Huixuan; Xun, Damao

    2018-04-01

    We fabricated an H3PO4-incorporated chitosan proton conductor film that exhibited the electric double layer effect and showed a high specific capacitance of 4.42 μF/cm2. Transparent indium tin oxide thin-film transistors gated by H3PO4-incorporated chitosan films were fabricated by sputtering through a shadow mask. The operating voltage was as low as 1.2 V because of the high specific capacitance of the H3PO4-incorporated chitosan dielectrics. The junctionless transparent indium tin oxide thin film transistors exhibited good performance, including an estimated current on/off ratio and field-effect mobility of 1.2 × 106 and 6.63 cm2V-1s-1, respectively. These low-voltage thin-film electric-double-layer transistors gated by H3PO4-incorporated chitosan are promising for next generation battery-powered "see-through" portable sensors.

  19. Highly flexible electronics from scalable vertical thin film transistors.

    PubMed

    Liu, Yuan; Zhou, Hailong; Cheng, Rui; Yu, Woojong; Huang, Yu; Duan, Xiangfeng

    2014-03-12

    Flexible thin-film transistors (TFTs) are of central importance for diverse electronic and particularly macroelectronic applications. The current TFTs using organic or inorganic thin film semiconductors are usually limited by either poor electrical performance or insufficient mechanical flexibility. Here, we report a new design of highly flexible vertical TFTs (VTFTs) with superior electrical performance and mechanical robustness. By using the graphene as a work-function tunable contact for amorphous indium gallium zinc oxide (IGZO) thin film, the vertical current flow across the graphene-IGZO junction can be effectively modulated by an external gate potential to enable VTFTs with a highest on-off ratio exceeding 10(5). The unique vertical transistor architecture can readily enable ultrashort channel devices with very high delivering current and exceptional mechanical flexibility. With large area graphene and IGZO thin film available, our strategy is intrinsically scalable for large scale integration of VTFT arrays and logic circuits, opening up a new pathway to highly flexible macroelectronics.

  20. Bio-sorbable, liquid electrolyte gated thin-film transistor based on a solution-processed zinc oxide layer.

    PubMed

    Singh, Mandeep; Palazzo, Gerardo; Romanazzi, Giuseppe; Suranna, Gian Paolo; Ditaranto, Nicoletta; Di Franco, Cinzia; Santacroce, Maria Vittoria; Mulla, Mohammad Yusuf; Magliulo, Maria; Manoli, Kyriaki; Torsi, Luisa

    2014-01-01

    Among the metal oxide semiconductors, ZnO has been widely investigated as a channel material in thin-film transistors (TFTs) due to its excellent electrical properties, optical transparency and simple fabrication via solution-processed techniques. Herein, we report a solution-processable ZnO-based thin-film transistor gated through a liquid electrolyte with an ionic strength comparable to that of a physiological fluid. The surface morphology and chemical composition of the ZnO films upon exposure to water and phosphate-buffered saline (PBS) are discussed in terms of the operation stability and electrical performance of the ZnO TFT devices. The improved device characteristics upon exposure to PBS are associated with the enhancement of the oxygen vacancies in the ZnO lattice due to Na(+) doping. Moreover, the dissolution kinetics of the ZnO thin film in a liquid electrolyte opens the possible applicability of these devices as an active element in "transient" implantable systems.

  1. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    NASA Astrophysics Data System (ADS)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  2. Solution-processed flexible fluorine-doped indium zinc oxide thin-film transistors fabricated on plastic film at low temperature.

    PubMed

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm(2)/V·s and stable characteristics under the various gate bias and temperature stresses.

  3. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanna, A. N.; Ghoneim, M. T.; Bahabry, R. R.

    2013-11-25

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  4. Electrical properties of zinc-oxide-based thin-film transistors using strontium-oxide-doped semiconductors

    NASA Astrophysics Data System (ADS)

    Wu, Shao-Hang; Zhang, Nan; Hu, Yong-Sheng; Chen, Hong; Jiang, Da-Peng; Liu, Xing-Yuan

    2015-10-01

    Strontium-zinc-oxide (SrZnO) films forming the semiconductor layers of thin-film transistors (TFTs) are deposited by using ion-assisted electron beam evaporation. Using strontium-oxide-doped semiconductors, the off-state current can be dramatically reduced by three orders of magnitude. This dramatic improvement is attributed to the incorporation of strontium, which suppresses carrier generation, thereby improving the TFT. Additionally, the presence of strontium inhibits the formation of zinc oxide (ZnO) with the hexagonal wurtzite phase and permits the formation of an unusual phase of ZnO, thus significantly changing the surface morphology of ZnO and effectively reducing the trap density of the channel. Project supported by the National Natural Science Foundation of China (Grant No. 6140031454) and the Innovation Program of Chinese Academy of Sciences and State Key Laboratory of Luminescence and Applications.

  5. Transparent and Flexible Zinc Tin Oxide Thin Film Transistors and Inverters using Low-pressure Oxygen Annealing Process

    NASA Astrophysics Data System (ADS)

    Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk

    2018-05-01

    We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.

  6. Fluorine and oxygen plasma influence on nanoparticle formation and aggregation in metal oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    MÄ dzik, Mateusz; Elamurugu, Elangovan; Viegas, Jaime

    2017-03-01

    Despite recent advances in metal oxide thin-film transistor technology, there are no foundry processes available yet for large-scale deployment of metal oxide electronics and photonics, in a similar way as found for silicon based electronics and photonics. One of the biggest challenges of the metal oxide platform is the stability of the fabricated devices. Also, there is wide dispersion on the measured specifications of fabricated TFT, from lot-to-lot and from different research groups. This can be partially explained by the importance of the deposition method and its parameters, which determine thin film microstructure and thus its electrical properties. Furthermore, substrate pretreatment is an important factor, as it may act as a template for material growth. Not so often mentioned, plasma processes can also affect the morphology of deposited films on further deposition steps, such as inducing nanoparticle formation, which strongly impact the conduction mechanism in the channel layer of the TFT. In this study, molybdenum doped indium oxide is sputtered onto ALD deposited HfO2 with or without pattering, and etched by RIE chlorine based processing. Nanoparticle formation is observed when photoresist is removed by oxygen plasma ashing. HfO2 etching in CF4/Ar plasma prior to resist stripping in oxygen plasma promotes the aggregation of nanoparticles into nanosized branched structures. Such nanostructuring is absent when oxygen plasma steps are replaced by chemical wet processing with acetone. Finally, in order to understand the electronic transport effect of the nanoparticles on metal oxide thin film transistors, TFT have been fabricated and electrically characterized.

  7. Low-temperature spray-deposited indium oxide for flexible thin-film transistors and integrated circuits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Faber, Hendrik; Anthopoulos, Thomas D., E-mail: t.anthopoulos@imperial.ac.uk

    2015-03-02

    Indium oxide (In{sub 2}O{sub 3}) films were deposited by ultrasonic spray pyrolysis in ambient air and incorporated into bottom-gate coplanar and staggered thin-film transistors. As-fabricated devices exhibited electron-transporting characteristics with mobility values of 1 cm{sup 2}V{sup −1}s{sup −1} and 16 cm{sup 2}V{sup −1}s{sup −1} for coplanar and staggered architectures, respectively. Integration of In{sub 2}O{sub 3} transistors enabled realization of unipolar inverters with high gain (5.3 V/V) and low-voltage operation. The low temperature deposition (≤250 °C) of In{sub 2}O{sub 3} also allowed transistor fabrication on free-standing 50 μm-thick polyimide foils. The resulting flexible In{sub 2}O{sub 3} transistors exhibit good characteristics and remain fully functional even whenmore » bent to tensile radii of 4 mm.« less

  8. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    NASA Astrophysics Data System (ADS)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  9. Stable indium oxide thin-film transistors with fast threshold voltage recovery

    NASA Astrophysics Data System (ADS)

    Vygranenko, Yuriy; Wang, Kai; Nathan, Arokia

    2007-12-01

    Stable thin-film transistors (TFTs) with semiconducting indium oxide channel and silicon dioxide gate dielectric were fabricated by reactive ion beam assisted evaporation and plasma-enhanced chemical vapor deposition. The field-effect mobility is 3.3cm2/Vs, along with an on/off current ratio of 106, and subthreshold slope of 0.5V/decade. When subject to long-term gate bias stress, the TFTs show fast recovery of the threshold voltage (VT) when relaxed without annealing, suggesting that charge trapping at the interface and/or in the bulk gate dielectric to be the dominant mechanism underlying VT instability. Device performance and stability make indium oxide TFTs promising for display applications.

  10. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    NASA Astrophysics Data System (ADS)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  11. Solution-Processed Flexible Fluorine-doped Indium Zinc Oxide Thin-Film Transistors Fabricated on Plastic Film at Low Temperature

    PubMed Central

    Seo, Jin-Suk; Jeon, Jun-Hyuck; Hwang, Young Hwan; Park, Hyungjin; Ryu, Minki; Park, Sang-Hee Ko; Bae, Byeong-Soo

    2013-01-01

    Transparent flexible fluorine-doped indium zinc oxide (IZO:F) thin-film transistors (TFTs) were demonstrated using the spin-coating method of the metal fluoride precursor aqueous solution with annealing at 200°C for 2 hrs on polyethylene naphthalate films. The proposed thermal evolution mechanism of metal fluoride aqueous precursor solution examined by thermogravimetric analysis and Raman spectroscopy can easily explain oxide formation. The chemical composition analysed by XPS confirms that the fluorine was doped in the thin films annealed below 250°C. In the IZO:F thin films, a doped fluorine atom substitutes for an oxygen atom generating a free electron or occupies an oxygen vacancy site eliminating an electron trap site. These dual roles of the doped fluorine can enhance the mobility and improve the gate bias stability of the TFTs. Therefore, the transparent flexible IZO:F TFT shows a high mobility of up to 4.1 cm2/V·s and stable characteristics under the various gate bias and temperature stresses. PMID:23803977

  12. Electrical properties of thin film transistors with zinc tin oxide channel layer

    NASA Astrophysics Data System (ADS)

    Hong, Seunghwan; Oh, Gyujin; Kim, Eun Kyu

    2017-10-01

    We have investigated thin film transistors (TFTs) with zinc tin oxide (ZTO) channel layer fabricated by using an ultra-high vacuum radio frequency sputter. ZTO thin films were grown at room temperature by co-sputtering of ZnO and SnO2, which applied power for SnO2 target was varied from 15 W to 90 W under a fixed sputtering power of 70 W for ZnO target. A post-annealing treatment to improve the film quality was done at temperature ranges from 300 to 600 °C by using the electrical furnace. The ZTO thin films showed good electrical and optical properties such as Hall mobility of more than 9 cm2/V·s, specific resistivity of about 2 × 102 Ω·cm, and optical transmittance of 85% in visible light region by optical bandgap of 3.3 eV. The ZTO-TFT with an excellent performance of channel mobility of 19.1 cm2/V·s and on-off ratio ( I on / I off ) of 104 was obtained from the films grown with SnO2 target power of 25 W and post-annealed at 450 °C. This result showed that ZTO film is promising on application to a high performance transparent TFTs.

  13. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors.

    PubMed

    Zhang, Xue; Lee, Hyeonju; Kwon, Jung-Hyok; Kim, Eui-Jik; Park, Jaehoon

    2017-07-31

    We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.

  14. Charge Transport in Low-Temperature Processed Thin-Film Transistors Based on Indium Oxide/Zinc Oxide Heterostructures.

    PubMed

    Krausmann, Jan; Sanctis, Shawn; Engstler, Jörg; Luysberg, Martina; Bruns, Michael; Schneider, Jörg J

    2018-06-20

    The influence of the composition within multilayered heterostructure oxide semiconductors has a critical impact on the performance of thin-film transistor (TFT) devices. The heterostructures, comprising alternating polycrystalline indium oxide and zinc oxide layers, are fabricated by a facile atomic layer deposition (ALD) process, enabling the tuning of its electrical properties by precisely controlling the thickness of the individual layers. This subsequently results in enhanced TFT performance for the optimized stacked architecture after mild thermal annealing at temperatures as low as 200 °C. Superior transistor characteristics, resulting in an average field-effect mobility (μ sat. ) of 9.3 cm 2 V -1 s -1 ( W/ L = 500), an on/off ratio ( I on / I off ) of 5.3 × 10 9 , and a subthreshold swing of 162 mV dec -1 , combined with excellent long-term and bias stress stability are thus demonstrated. Moreover, the inherent semiconducting mechanism in such multilayered heterostructures can be conveniently tuned by controlling the thickness of the individual layers. Herein, devices comprising a higher In 2 O 3 /ZnO ratio, based on individual layer thicknesses, are predominantly governed by percolation conduction with temperature-independent charge carrier mobility. Careful adjustment of the individual oxide layer thicknesses in devices composed of stacked layers plays a vital role in the reduction of trap states, both interfacial and bulk, which consequently deteriorates the overall device performance. The findings enable an improved understanding of the correlation between TFT performance and the respective thin-film composition in ALD-based heterostructure oxides.

  15. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    NASA Astrophysics Data System (ADS)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  16. Thin film transistors for flexible electronics: contacts, dielectrics and semiconductors.

    PubMed

    Quevedo-Lopez, M A; Wondmagegn, W T; Alshareef, H N; Ramirez-Bon, R; Gnade, B E

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed.

  17. Oxide Based Transistor for Flexible Displays

    DTIC Science & Technology

    2014-09-15

    thin film transistors (TFTs) for next generation display technologies. A detailed and comprehensive study was carried out to ascertain the process...Box 12211 Research Triangle Park, NC 27709-2211 Thin film transistors , flexible electronics, RF sputtering, Transparent amorphous oxide semiconductors...NC A&T and RTI, International investigated In free GaSnZnO (GSZO) material system, as the active channel in thin film transistors (TFTs) for next

  18. Effect of Zinc Oxide Film Deposition Position on the Characteristics of Zinc Oxide Thin Film Transistors Fabricated by Low-Temperature Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Takechi, Kazushige; Nakata, Mitsuru; Eguchi, Toshimasa; Otsuki, Shigeyoshi; Yamaguchi, Hirotaka; Kaneko, Setsuo

    2008-09-01

    We report on the effect of zinc oxide (ZnO) film deposition position on the characteristics of ZnO thin-film transistors (TFTs) fabricated by magnetron sputtering with no intentional heating of the substrate. We evaluate the properties of ZnO (channel semiconductor) films deposited at various positions with respect to the target position. We show that the film deposition at a position off-centered from the target results in good TFT characteristics. This might be due to the fact that the off-centered deposition position is effective for suppressing the effect of energetic negative ions in the plasma.

  19. Zinc Oxide Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Fortunato, E.; Barquinha, P.; Pimentel, A.; Gonçalves, A.; Marques, A.; Pereira, L.; Martins, R.

    ZnO thin film transistors (ZnO-TFT) have been fabricated by rf magnetron sputtering at room temperature with a bottom-gate configuration. The ZnO-TFT operates in the enhancement mode with a threshold voltage of 21 V, a field effect mobility of 20 cm2/Vs, a gate voltage swing of 1.24 V/decade and an on/off ratio of 2×105. The ZnO-TFT present an average optical transmission (including the glass substrate) of 80 % in the visible part of the spectrum. The combination of transparency, high channel mobility and room temperature processing makes the ZnO-TFT a very promising low cost optoelectronic device for the next generation of invisible and flexible electronics. Moreover, the processing technology used to fabricate this device is relatively simple and it is compatible with inexpensive plastic/flexible substrate technology.

  20. Transparent Oxide Thin-Film Transistors: Production, Characterization and Integration

    NASA Astrophysics Data System (ADS)

    Barquinha, Pedro Miguel Candido

    This dissertation is devoted to the study of the emerging area of transparent electronics, summarizing research work regarding the development of n-type thin-film transistors (TFTs) based on sputtered oxide semiconductors. All the materials are produced without intentional substrate heating, with annealing temperatures of only 150-200 °C being used to optimize transistor performance. The work is based on the study and optimization of active semiconductors from the gallium-indium-zinc oxide system, including both the binary compounds Ga2O3, In2O3 and ZnO, as well as ternary and quaternary oxides based on mixtures of those, such as IZO and GIZO with different atomic ratios. Several topics are explored, including the study and optimization of the oxide semiconductor thin films, their application as channel layers on TFTs and finally the implementation of the optimized processes to fabricate active matrix backplanes to be integrated in liquid crystal display (LCD) prototypes. Sputtered amorphous dielectrics with high dielectric constant (high-kappa) based on mixtures of tantalum-silicon or tantalum-aluminum oxides are also studied and used as the dielectric layers on fully transparent TFTs. These devices also include transparent and highly conducting IZO thin films as source, drain and gate electrodes. Given the flexibility of the sputtering technique, oxide semiconductors are analyzed regarding several deposition parameters, such as oxygen partial pressure and deposition pressure, as well as target composition. One of the most interesting features of multicomponent oxides such as IZO and GIZO is that, due to their unique electronic configuration and carrier transport mechanism, they allow to obtain amorphous structures with remarkable electrical properties, such as high hall-effect mobility that exceeds 60 cm2 V -1 s-1 for IZO. These properties can be easily tuned by changing the processing conditions and the atomic ratios of the multicomponent oxides, allowing to

  1. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Sha Li, Chen; Li, Yu Ning; Wu, Yi Liang; Ong, Beng S.; Loutfy, Rafik O.

    2008-06-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  2. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the influence of low-concentration indium (In) doping on the chemical and structural properties of solution-processed zinc oxide (ZnO) films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs). The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance. PMID:28773242

  3. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications.

    PubMed

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-17

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  4. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    NASA Astrophysics Data System (ADS)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  5. Solution-processed hybrid organic-inorganic complementary thin-film transistor inverter

    NASA Astrophysics Data System (ADS)

    Cheong, Heajeong; Kuribara, Kazunori; Ogura, Shintaro; Fukuda, Nobuko; Yoshida, Manabu; Ushijima, Hirobumi; Uemura, Sei

    2016-04-01

    We investigated hybrid organic-inorganic complementary inverters with a solution-processed indium-gallium-zinc-oxide (IGZO) n-channel thin-film transistor (TFT) and p-channel TFTs using the high-uniformity polymer poly[2,5-bis(alkyl)pyrrolo[3,4-c]pyrrolo-1,4(2H,5H)-dione-alt-5,5-di(thiophene-2-yl)-2,2-(E)-2-(2-(thiophen-2-yl)vinyl)thiophene] (PDVT-10). The IGZO TFT was fabricated at 150 °C for 1 min. It showed a high field-effect mobility of 0.9 cm2·V-1·s-1 and a high on/off current ratio of 107. A hybrid complementary inverter was fabricated by combining IGZO with a PDVT-10 thin-film transistor and its operation was confirmed.

  6. Stable organic thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperatureovertimeperiods upto5.9×105 s do not vary monotonically andmore » remain below 0.2 V in microcrystalline OTFTs (mc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V-1 s-1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies.« less

  7. Stable organic thin-film transistors

    DOE PAGES

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; ...

    2018-01-12

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperatureovertimeperiods upto5.9×105 s do not vary monotonically andmore » remain below 0.2 V in microcrystalline OTFTs (mc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V-1 s-1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies.« less

  8. Stable organic thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperatureovertimeperiods upto5.9×105 s do not vary monotonically andmore » remain below 0.2 V in microcrystalline OTFTs (mc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies.« less

  9. Stable organic thin-film transistors

    PubMed Central

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; Park, Youngrak; Kippelen, Bernard

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperature over time periods up to 5.9 × 105 s do not vary monotonically and remain below 0.2 V in microcrystalline OTFTs (μc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies. PMID:29340301

  10. Remarkably high mobility ultra-thin-film metal-oxide transistor with strongly overlapped orbitals

    NASA Astrophysics Data System (ADS)

    Wei Shih, Chen; Chin, Albert; Fu Lu, Chun; Fang Su, Wei

    2016-01-01

    High mobility channel thin-film-transistor (TFT) is crucial for both display and future generation integrated circuit. We report a new metal-oxide TFT that has an ultra-thin 4.5 nm SnO2 thickness for both active channel and source-drain regions, very high 147 cm2/Vs field-effect mobility, high ION/IOFF of 2.3 × 107, small 110 mV/dec sub-threshold slope, and a low VD of 2.5 V for low power operation. This mobility is already better than chemical-vapor-deposition grown multi-layers MoS2 TFT. From first principle quantum-mechanical calculation, the high mobility TFT is due to strongly overlapped orbitals.

  11. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  12. Thin-Film Transistors Fabricated Using Sputter Deposition of Zinc Oxide

    NASA Astrophysics Data System (ADS)

    Xiao, Nan

    2013-01-01

    Development of thin film transistors (TFTs) with conventional channel layer materials, such as amorphous silicon (a-Si) and polysilicon (poly-Si), has been extensively investigated. A-Si TFT currently serves the large flat panel industry; however advanced display products are demanding better TFT performance because of the associated low electron mobility of a-Si. This has motivated interest in semiconducting metal oxides, such as Zinc Oxide (ZnO), for TFT backplanes. This work involves the fabrication and characterization of TFTs using ZnO deposited by sputtering. An overview of the process details and results from recently fabricated TFTs following a full-factorial designed experiment will be presented. Material characterization and analysis of electrical results will be described. The investigated process variables were the gate dielectric and ZnO sputtering process parameters including power density and oxygen partial pressure. Electrical results showed clear differences in treatment combinations, with certain I-V characteristics demonstrating superior performance to preliminary work. A study of device stability will also be discussed.

  13. Low-voltage high-performance organic thin film transistors with a thermally annealed polystyrene/hafnium oxide dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Acton, Orb; Ting, Guy; Weidner, Tobias; Ma, Hong; Castner, David G.; Jen, Alex K.-Y.

    2009-12-01

    Low-voltage pentacene-based organic thin film transistors (OTFTs) are demonstrated with polystyrene (PS)/hafnium oxide (HfOx) hybrid dielectrics. Thermal annealing of PS films on HfOx at 120 °C (PS-120) induces a flatter orientation of the phenyl groups (tilt angle 65°) at the surface compared to PS films without annealing (PS-RT) (tilt angle 31°). The flatter phenyl group orientation leads to better matching of surface energy between pentacene and PS. Pentacene deposited on PS-120 display higher quality thin films with larger grain sizes and higher crystallinity. Pentacene OTFTs with PS-120/HfOx hybrid dielectrics can operate at low-voltage (<3 V) with high field-effect mobilities (1 cm2/V s), high on/off current ratios (106), and low subthreshold slopes (100 mV/dec).

  14. Pentacene Organic Thin-Film Transistors on Flexible Paper and Glass Substrates

    DTIC Science & Technology

    2014-02-12

    FEB 2014 2. REPORT TYPE 3. DATES COVERED 00-00-2014 to 00-00-2014 4. TITLE AND SUBTITLE Pentacene organic thin - film transistors on flexible...Nanotechnology 25 (2014) 094005 (7pp) doi:10.1088/0957-4484/25/9/094005 Pentacene organic thin - film transistors on flexible paper and glass substrates Adam T...organic thin - film transistors (OTFTs) were fabricated on several types of flexible substrate: commercial photo paper, ultra-smooth specialty paper and

  15. Thin film three-dimensional topological insulator metal-oxide-semiconductor field-effect-transistors: A candidate for sub-10 nm devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akhavan, N. D., E-mail: nima.dehdashti@uwa.edu.au; Jolley, G.; Umana-Membreno, G. A.

    2014-08-28

    Three-dimensional (3D) topological insulators (TI) are a new state of quantum matter in which surface states reside in the bulk insulating energy bandgap and are protected by time-reversal symmetry. It is possible to create an energy bandgap as a consequence of the interaction between the conduction band and valence band surface states from the opposite surfaces of a TI thin film, and the width of the bandgap can be controlled by the thin film thickness. The formation of an energy bandgap raises the possibility of thin-film TI-based metal-oxide-semiconductor field-effect-transistors (MOSFETs). In this paper, we explore the performance of MOSFETs basedmore » on thin film 3D-TI structures by employing quantum ballistic transport simulations using the effective continuous Hamiltonian with fitting parameters extracted from ab-initio calculations. We demonstrate that thin film transistors based on a 3D-TI structure provide similar electrical characteristics compared to a Si-MOSFET for gate lengths down to 10 nm. Thus, such a device can be a potential candidate to replace Si-based MOSFETs in the sub-10 nm regime.« less

  16. Water-Mediated Photochemical Treatments for Low-Temperature Passivation of Metal-Oxide Thin-Film Transistors.

    PubMed

    Heo, Jae Sang; Jo, Jeong-Wan; Kang, Jingu; Jeong, Chan-Yong; Jeong, Hu Young; Kim, Sung Kyu; Kim, Kwanpyo; Kwon, Hyuck-In; Kim, Jaekyun; Kim, Yong-Hoon; Kim, Myung-Gil; Park, Sung Kyu

    2016-04-27

    The low-temperature electrical passivation of an amorphous oxide semiconductor (AOS) thin-film transistor (TFT) is achieved by a deep ultraviolet (DUV) light irradiation-water treatment-DUV irradiation (DWD) method. The water treatment of the first DUV-annealed amorphous indium-gallium-zinc-oxide (a-IGZO) thin film is likely to induce the preferred adsorption of water molecules at the oxygen vacancies and leads to subsequent hydroxide formation in the bulk a-IGZO films. Although the water treatment initially degraded the electrical performance of the a-IGZO TFTs, the second DUV irradiation on the water-treated devices may enable a more complete metal-oxygen-metal lattice formation while maintaining low oxygen vacancies in the oxide films. Overall, the stable and dense metal-oxygen-metal (M-O-M) network formation could be easily achieved at low temperatures (below 150 °C). The successful passivation of structural imperfections in the a-IGZO TFTs, such as hydroxyl group (OH-) and oxygen vacancies, mainly results in the enhanced electrical performances of the DWD-processed a-IGZO TFTs (on/off current ratio of 8.65 × 10(9), subthreshold slope of 0.16 V/decade, an average mobility of >6.94 cm(2) V(-1) s(-1), and a bias stability of ΔVTH < 2.5 V), which show more than a 30% improvement over the simple DUV-treated a-IGZO TFTs.

  17. Development of a direct patterning method for functional oxide thin films using ultraviolet irradiation and hybrid-cluster gels and its application to thin-film transistor fabrication

    NASA Astrophysics Data System (ADS)

    Yoshimoto, Yuuki; Li, Jinwang; Shimoda, Tatsuya

    2018-04-01

    A gel state exists in the solution-solid conversion process. We found that solidification can be promoted by irradiating the gel with ultraviolet (UV) light. In this study, a patterning method without using a vacuum system or employing photoresist materials has been proposed wherein solidification was applied to a gel by UV irradiation. Indium oxide gel, indium gallium oxide gel, lanthanum zirconium oxide gel, and lanthanum ruthenium oxide gels were successfully patterned by using our technique. Moreover, an oxide thin-film transistor was fabricated by our novel patterning method and was successfully operated.

  18. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate.

    PubMed

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-12

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O 2 /Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O 2 /Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (I off ) of 3 pA, a high on/off current ratio of 2 × 10 7 , a high saturation mobility (μ sat ) of 66.7 cm 2 /V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (V th ) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  19. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate

    NASA Astrophysics Data System (ADS)

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-01

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O2/Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O2/Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (Ioff) of 3 pA, a high on/off current ratio of 2 × 107, a high saturation mobility (μsat) of 66.7 cm2/V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (Vth) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  20. Remarkably high mobility ultra-thin-film metal-oxide transistor with strongly overlapped orbitals

    PubMed Central

    Wei Shih, Chen; Chin, Albert; Fu Lu, Chun; Fang Su, Wei

    2016-01-01

    High mobility channel thin-film-transistor (TFT) is crucial for both display and future generation integrated circuit. We report a new metal-oxide TFT that has an ultra-thin 4.5 nm SnO2 thickness for both active channel and source-drain regions, very high 147 cm2/Vs field-effect mobility, high ION/IOFF of 2.3 × 107, small 110 mV/dec sub-threshold slope, and a low VD of 2.5 V for low power operation. This mobility is already better than chemical-vapor-deposition grown multi-layers MoS2 TFT. From first principle quantum-mechanical calculation, the high mobility TFT is due to strongly overlapped orbitals. PMID:26744240

  1. Low temperature fabrication of metal oxide thin film transistors formed by a heated aqueous precursor solution

    NASA Astrophysics Data System (ADS)

    Lee, Keun Ho; Han, Sun Woong; Park, Jee Ho; Yoo, Young Bum; Jong Lee, Se; Baik, Hong Koo; Song, Kie Moon

    2016-01-01

    We introduce an easy process for the fabrication of solution-processed indium oxide (InO) thin film transistors (TFTs) by heating a precursor solution. InO TFTs fabricated from solutions of an InO precursor heated at 90 °C had the highest mobility of 4.61 cm2 V-1 s-1 after being annealed at 200 °C. When the InO precursor solution is heated, HNO3 may be thermally evaporated in the InO precursor solution. Nitrogen atoms can disrupt hydrolysis and condensation reactions. An InO thin film deposited from a solution of the heated InO precursor is advantageous for hydrolysis and condensation reactions due to the absence of nitrogen atoms.

  2. Electronic Devices Based on Oxide Thin Films Fabricated by Fiber-to-Film Process.

    PubMed

    Meng, You; Liu, Ao; Guo, Zidong; Liu, Guoxia; Shin, Byoungchul; Noh, Yong-Young; Fortunato, Elvira; Martins, Rodrigo; Shan, Fukai

    2018-05-30

    Technical development for thin-film fabrication is essential for emerging metal-oxide (MO) electronics. Although impressive progress has been achieved in fabricating MO thin films, the challenges still remain. Here, we report a versatile and general thermal-induced nanomelting technique for fabricating MO thin films from the fiber networks, briefly called fiber-to-film (FTF) process. The high quality of the FTF-processed MO thin films was confirmed by various investigations. The FTF process is generally applicable to numerous technologically relevant MO thin films, including semiconducting thin films (e.g., In 2 O 3 , InZnO, and InZrZnO), conducting thin films (e.g., InSnO), and insulating thin films (e.g., AlO x ). By optimizing the fabrication process, In 2 O 3 /AlO x thin-film transistors (TFTs) were successfully integrated by fully FTF processes. High-performance TFT was achieved with an average mobility of ∼25 cm 2 /(Vs), an on/off current ratio of ∼10 7 , a threshold voltage of ∼1 V, and a device yield of 100%. As a proof of concept, one-transistor-driven pixel circuit was constructed, which exhibited high controllability over the light-emitting diodes. Logic gates based on fully FTF-processed In 2 O 3 /AlO x TFTs were further realized, which exhibited good dynamic logic responses and voltage amplification by a factor of ∼4. The FTF technique presented here offers great potential in large-area and low-cost manufacturing for flexible oxide electronics.

  3. P-channel thin film transistors using reduced graphene oxide

    NASA Astrophysics Data System (ADS)

    Chakraborty, S.; Resmi, A. N.; Renuka Devi, P.; Jinesh, K. B.

    2017-04-01

    Chemically reduced graphene oxide (rGO) samples with various degrees of reduction were prepared using hydrazine hydrate as the reducing agent. Scanning tunnelling microscope imaging shows that rGO contains rows of randomly distributed patches of epoxy groups. The local density of states of the rGO samples were mapped with scanning tunnelling spectroscopy, which shows that the bandgap in rGO originates from the epoxide regions itself. The Fermi level of the epoxide regions is shifted towards the valence band, making rGO locally p-type and a range of bandgaps from 0-2.2 eV was observed in these regions. Thin film transistors were fabricated using rGO as the channel layer. The devices show excellent output characteristics with clear saturation and gate dependence. The transfer characteristics show that rGO behaves as a p-type semiconductor; the devices exhibit an on/off ratio of 104, with a low-bias hole mobility of 3.9 cm2 V-1 s-1.

  4. Flexible amorphous oxide thin-film transistors on polyimide substrate for AMOLED

    NASA Astrophysics Data System (ADS)

    Xu, Zhiping; Li, Min; Xu, Miao; Zou, Jianhua; Gao, Zhuo; Pang, Jiawei; Guo, Ying; Zhou, Lei; Wang, Chunfu; Fu, Dong; Peng, Junbiao; Wang, Lei; Cao, Yong

    2014-10-01

    We report a flexible amorphous Lanthanide doped In-Zn-O (IZO) thin-film transistor (TFT) backplane on polyimide (PI) substrate. In order to de-bond the PI film from the glass carrier easily after the flexible AMOLED process, a special inorganic film is deposited on the glass before the PI film is coated. The TFT exhibited a field-effect mobility of 6.97 cm2V-1 s-1, a subthreshold swing of 0.248 V dec-1, and an Ion/Ioff ratio of 5.19×107, which is sufficient to drive the OLEDs.

  5. Photo-Patterned Ion Gel Electrolyte-Gated Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Choi, Jae-Hong; Gu, Yuanyan; Hong, Kihyun; Frisbie, C. Daniel; Lodge, Timothy P.

    2014-03-01

    We have developed a novel fabrication route to pattern electrolyte thin films in electrolyte-gated transistors (EGTs) using a chemically crosslinkable ABA-triblock copolymer ion gel. In the self-assembly of poly[(styrene-r-vinylbenzylazide)-b-ethylene oxide-b-(styrene-r-vinylbenzylazide)] (SOS-N3) triblock copolymer and the ionic liquid, 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([EMI][TFSI]), the azide groups of poly(styrene-r-vinylbenzylazide) (PS-N3) end-blocks in the cores can be chemically cross-linked via UV irradiation (λ = 254 nm). Impedance spectroscopy and small-angle X-ray scattering confirmed that ion transport and microstructure of the ion gel are not affected by UV cross-linking. Using this chemical cross-linking strategy, we demonstrate a photo-patterning of ion gels through a patterned mask and the fabricated electrolyte-gated thin film transistors with photo-patterned ion gels as high-capacitance gate insulators exhibited high device performance (low operation voltages and high on/off current ratios).

  6. Low-temperature metal-oxide thin-film transistors formed by directly photopatternable and combustible solution synthesis.

    PubMed

    Rim, You Seung; Lim, Hyun Soo; Kim, Hyun Jae

    2013-05-01

    We investigated the formation of ultraviolet (UV)-assisted directly patternable solution-processed oxide semiconductor films and successfully fabricated thin-film transistors (TFTs) based on these films. An InGaZnO (IGZO) solution that was modified chemically with benzoylacetone (BzAc), whose chelate rings decomposed via a π-π* transition as result of UV irradiation, was used for the direct patterning. A TFT was fabricated using the directly patterned IGZO film, and it had better electrical characteristics than those of conventional photoresist (PR)-patterned TFTs. In addition, the nitric acid (HNO3) and acetylacetone (AcAc) modified In2O3 (NAc-In2O3) solution exhibited both strong UV absorption and high exothermic reaction. This method not only resulted in the formation of a low-energy path because of the combustion of the chemically modified metal-oxide solution but also allowed for photoreaction-induced direct patterning at low temperatures.

  7. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-06-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ~ 4.1 Å), and low electrical resistivity (4.2 × 10-4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained "on/off" current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 × 107, 0.43 V/decade, 0.7 V, and 2.1 cm2/V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs.

  8. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    PubMed

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Cyclical Annealing Technique To Enhance Reliability of Amorphous Metal Oxide Thin Film Transistors.

    PubMed

    Chen, Hong-Chih; Chang, Ting-Chang; Lai, Wei-Chih; Chen, Guan-Fu; Chen, Bo-Wei; Hung, Yu-Ju; Chang, Kuo-Jui; Cheng, Kai-Chung; Huang, Chen-Shuo; Chen, Kuo-Kuang; Lu, Hsueh-Hsing; Lin, Yu-Hsin

    2018-02-26

    This study introduces a cyclical annealing technique that enhances the reliability of amorphous indium-gallium-zinc-oxide (a-IGZO) via-type structure thin film transistors (TFTs). By utilizing this treatment, negative gate-bias illumination stress (NBIS)-induced instabilities can be effectively alleviated. The cyclical annealing provides several cooling steps, which are exothermic processes that can form stronger ionic bonds. An additional advantage is that the total annealing time is much shorter than when using conventional long-term annealing. With the use of cyclical annealing, the reliability of the a-IGZO can be effectively optimized, and the shorter process time can increase fabrication efficiency.

  10. High Performance and Highly Reliable ZnO Thin Film Transistor Fabricated by Atomic Layer Deposition for Next Generation Displays

    DTIC Science & Technology

    2011-08-19

    zinc oxide ( ZnO ) thin film as an active channel layer in TFT has become of great interest owing to their specific...630-0192 Japan Phone: +81-743-72-6060 Fax: +81-743-72-6069 E-mail: uraoka@ms.naist.jp Keywords: zinc oxide , thin film transistors , atomic layer...deposition Symposium topic: Transparent Semiconductors Oxides [Abstract] In this study, we fabricated TFTs using ZnO thin film as the

  11. Correlation between active layer thickness and ambient gas stability in IGZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Gao, Xu; Lin, Meng-Fang; Mao, Bao-Hua; Shimizu, Maki; Mitoma, Nobuhiko; Kizu, Takio; Ou-Yang, Wei; Nabatame, Toshihide; Liu, Zhi; Tsukagoshi, Kazuhito; Wang, Sui-Dong

    2017-01-01

    Decreasing the active layer thickness has been recently reported as an alternative way to achieve fully depleted oxide thin-film transistors for the realization of low-voltage operations. However, the correlation between the active layer thickness and device resistivity to environmental changes is still unclear, which is important for the optimized design of oxide thin-film transistors. In this work, the ambient gas stability of IGZO thin-film transistors is found to be strongly correlated to the IGZO thickness. The TFT with the thinnest IGZO layer shows the highest intrinsic electron mobility in a vacuum, which is greatly reduced after exposure to O2/air. The device with a thick IGZO layer shows similar electron mobility in O2/air, whereas the mobility variation measured in the vacuum is absent. The thickness dependent ambient gas stability is attributed to a high-mobility region in the IGZO surface vicinity with less sputtering-induced damage, which will become electron depleted in O2/air due to the electron transfer to adsorbed gas molecules. The O2 adsorption and deduced IGZO surface band bending is demonstrated by the ambient-pressure x-ray photoemission spectroscopy results.

  12. Flexible and High-Performance Amorphous Indium Zinc Oxide Thin-Film Transistor Using Low-Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Lee, Hwan-Jae; Oh, Saeroonter; Park, Jin-Seong

    2016-12-14

    Amorphous indium zinc oxide (IZO) thin films were deposited at different temperatures, by atomic layer deposition (ALD) using [1,1,1-trimethyl-N-(trimethylsilyl)silanaminato]indium (INCA-1) as the indium precursor, diethlzinc (DEZ) as the zinc precursor, and hydrogen peroxide (H 2 O 2 ) as the reactant. The ALD process of IZO deposition was carried by repeated supercycles, including one cycle of indium oxide (In 2 O 3 ) and one cycle of zinc oxide (ZnO). The IZO growth rate deviates from the sum of the respective In 2 O 3 and ZnO growth rates at ALD growth temperatures of 150, 175, and 200 °C. We propose growth temperature-dependent surface reactions during the In 2 O 3 cycle that correspond with the growth-rate results. Thin-film transistors (TFTs) were fabricated with the ALD-grown IZO thin films as the active layer. The amorphous IZO TFTs exhibited high mobility of 42.1 cm 2 V -1 s -1 and good positive bias temperature stress stability. Finally, flexible IZO TFT was successfully fabricated on a polyimide substrate without performance degradation, showing the great potential of ALD-grown TFTs for flexible display applications.

  13. Crystallization behavior of amorphous indium-gallium-zinc-oxide films and its effects on thin-film transistor performance

    NASA Astrophysics Data System (ADS)

    Suko, Ayaka; Jia, JunJun; Nakamura, Shin-ichi; Kawashima, Emi; Utsuno, Futoshi; Yano, Koki; Shigesato, Yuzo

    2016-03-01

    Amorphous indium-gallium-zinc oxide (a-IGZO) films were deposited by DC magnetron sputtering and post-annealed in air at 300-1000 °C for 1 h to investigate the crystallization behavior in detail. X-ray diffraction, electron beam diffraction, and high-resolution electron microscopy revealed that the IGZO films showed an amorphous structure after post-annealing at 300 °C. At 600 °C, the films started to crystallize from the surface with c-axis preferred orientation. At 700-1000 °C, the films totally crystallized into polycrystalline structures, wherein the grains showed c-axis preferred orientation close to the surface and random orientation inside the films. The current-gate voltage (Id-Vg) characteristics of the IGZO thin-film transistor (TFT) showed that the threshold voltage (Vth) and subthreshold swing decreased markedly after the post-annealing at 300 °C. The TFT using the totally crystallized films also showed the decrease in Vth, whereas the field-effect mobility decreased considerably.

  14. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    PubMed

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  15. Reduction of channel resistance in amorphous oxide thin-film transistors with buried layer

    NASA Astrophysics Data System (ADS)

    Chong, Eugene; Kim, Bosul; Lee, Sang Yeol

    2012-04-01

    A silicon-indium-zinc-oxide (SIZO) thin film transistor (TFT) with low channel-resistance (RCH) indium-zinc-oxide (In2O3:ZnO = 9:1) buried layer annealed at low temperature of 200°C exhibited high field-effect mobility (μFE) over 55.8 cm2/V·s which is 5 times higher than that of the conventional TFTs due to small threshold voltage (Vth) change of 1.8 V under bias-temperature stress (BTS) condition for 420 minutes. The low-RCH buried-layer allows more strong current-path formed in channel layer well within relatively high-RCH channel-layer since it is less affected by the channel bulk and/or back interface trap with high carrier concentration.

  16. Chemical stability and electrical performance of dual-active-layered zinc-tin-oxide/indium-gallium-zinc-oxide thin-film transistors using a solution process.

    PubMed

    Kim, Chul Ho; Rim, You Seung; Kim, Hyun Jae

    2013-07-10

    We investigated the chemical stability and electrical properties of dual-active-layered zinc-tin-oxide (ZTO)/indium-gallium-zinc-oxide (IGZO) structures (DALZI) with the durability of the chemical damage. The IGZO film was easily corroded or removed by an etchant, but the DALZI film was effectively protected by the high chemical stability of ZTO. Furthermore, the electrical performance of the DALZI thin-film transistor (TFT) was improved by densification compared to the IGZO TFT owing to the passivation of the pin holes or pore sites and the increase in the carrier concentration due to the effect of Sn(4+) doping.

  17. Photocurrent spectroscopy of pentacene thin film transistors

    NASA Astrophysics Data System (ADS)

    Breban, Mihaela

    We demonstrate the application of photocurrent modulation spectroscopy in characterizing the performance of organic thin-film transistors. A parallel analysis of the direct current and photocurrent voltage characteristics provides a model free determination of the field-effect mobility and the density of free carriers in the transistor channel as a function of the applied gate voltage. Applying this technique to pentacene thin-film transistors demonstrates that the mobility increases as V1/3g . The free-carrier density is approximately 1/10 of the expected capacitive charge, and the mobility increases monotonically with the free carrier density, consistent with the trap and release model of transport. Also, the modulated photocurrent spectroscopy can be used as a probe of defect states in pentacene thin film transistors, measuring simultaneously the magnitude and the phase of the photocurrent as a function of the modulation frequency. This is accomplished by modeling the photo-carrier generation process as exciton dissociation via interaction with localized traps. Experimental data reveal a Gaussian distribution of localized states centered around 0.3 eV above the highest occupied molecular orbital. We also investigated the effect of the gate dielectric material with our probe and found that the position of the extracted Gaussian slightly shifts, consistent with the expected image charge effect for Pn through the dielectric substrate. Also shifts in the Gaussian position for samples fabricated with variable deposition conditions are correlated with changes in Pn morphology. The morphological differences between Pn films were also detected in current-voltage characteristics and photocurrent spectra. However, the origin of the ubiquitous 0.3 eV defect in Pn seems to be unrelated to structural differences in Pn films.

  18. Low-Temperature-Processed Zinc Oxide Thin-Film Transistors Fabricated by Plasma-Assisted Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Kawamura, Yumi; Tani, Mai; Hattori, Nozomu; Miyatake, Naomasa; Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2012-02-01

    We investigated zinc oxide (ZnO) thin films prepared by plasma assisted atomic layer deposition (PA-ALD), and thin-film transistors (TFTs) with the ALD ZnO channel layer for application to next-generation displays. We deposited the ZnO channel layer by PA-ALD at 100 or 300 °C, and fabricated TFTs. The transfer characteristic of the 300 °C-deposited ZnO TFT exhibited high mobility (5.7 cm2 V-1 s-1), although the threshold voltage largely shifted toward the negative (-16 V). Furthermore, we deposited Al2O3 thin film as a gate insulator by PA-ALD at 100 °C for the low-temperature TFT fabrication process. In the case of ZnO TFTs with the Al2O3 gate insulator, the shift of the threshold voltage improved (-0.1 V). This improvement of the negative shift seems to be due to the negative charges of the Al2O3 film deposited by PA-ALD. On the basis of the experimental results, we confirmed that the threshold voltage of ZnO TFTs is controlled by PA-ALD for the deposition of the gate insulator.

  19. The influence of visible light on transparent zinc tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Görrn, P.; Lehnhardt, M.; Riedl, T.; Kowalsky, W.

    2007-11-01

    The characteristics of transparent zinc tin oxide thin film transistors (TTFTs) upon illumination with visible light are reported. Generally, a reversible decrease of threshold voltage Vth, saturation field effect mobility μsat, and an increase of the off current are found. The time scale of the recovery in the dark is governed by the persistent photoconductivity in the semiconductor. Devices with tuned [Zn]:[Sn] ratio show a shift of Vth of less 2V upon illumination at 5mW/cm2 (brightness >30000cd/m2) throughout the visible spectrum. These results demonstrate TTFTs which are candidates as pixel drivers in transparent active-matrix organic light emitting diode displays.

  20. Effect of Al doping on performance of ZnO thin film transistors

    NASA Astrophysics Data System (ADS)

    Dong, Junchen; Han, Dedong; Li, Huijin; Yu, Wen; Zhang, Shendong; Zhang, Xing; Wang, Yi

    2018-03-01

    In this work, we investigate the Aluminum-doped Zinc Oxide (AZO) thin films and their feasibility as the active layer for thin film transistors (TFTs). A comparison on performance is made between the AZO TFTs and ZnO TFTs. The electrical properties such as saturation mobility, subthreshold swing, and on-to-off current ratio are improved when AZO is utilized as the active layer. Oxygen component of the thin film materials indicates that Al is the suppressor for oxygen defect in active layer, which improves the subthreshold swing. Moreover, based on band structure analyzation, we observe that the carrier concentration of AZO is higher than ZnO, leading to the enhancement of saturation mobility. The microstructure of the thin films convey that the AZO films exhibit much smaller grain boundaries than ZnO films, which results in the lower off-state current and higher on-to-off current ratio of AZO TFTs. The AZO thin films show huge potential to be the active layer of TFTs.

  1. Fabrication of flexible MoS2 thin-film transistor arrays for practical gas-sensing applications.

    PubMed

    He, Qiyuan; Zeng, Zhiyuan; Yin, Zongyou; Li, Hai; Wu, Shixin; Huang, Xiao; Zhang, Hua

    2012-10-08

    By combining two kinds of solution-processable two-dimensional materials, a flexible transistor array is fabricated in which MoS(2) thin film is used as the active channel and reduced graphene oxide (rGO) film is used as the drain and source electrodes. The simple device configuration and the 1.5 mm-long MoS(2) channel ensure highly reproducible device fabrication and operation. This flexible transistor array can be used as a highly sensitive gas sensor with excellent reproducibility. Compared to using rGO thin film as the active channel, this new gas sensor exhibits much higher sensitivity. Moreover, functionalization of the MoS(2) thin film with Pt nanoparticles further increases the sensitivity by up to ∼3 times. The successful incorporation of a MoS(2) thin-film into the electronic sensor promises its potential application in various electronic devices. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Unusual instability mode of transparent all oxide thin film transistor under dynamic bias condition

    NASA Astrophysics Data System (ADS)

    Oh, Himchan; Hwang, Chi-Sun; Pi, Jae-Eun; Ki Ryu, Min; Ko Park, Sang-Hee; Yong Chu, Hye

    2013-09-01

    We report a degradation behavior of fully transparent oxide thin film transistor under dynamic bias stress which is the condition similar to actual pixel switching operation in active matrix display. After the stress test, drain current increased while the threshold voltage was almost unchanged. We found that shortening of effective channel length is leading cause of increase in drain current. Electrons activate the neutral donor defects by colliding with them during short gate-on period. These ionized donors are stabilized during the subsequent gate-off period due to electron depletion. This local increase in doping density reduces the channel length.

  3. The influence of interfacial defects on fast charge trapping in nanocrystalline oxide-semiconductor thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Taeho; Hur, Jihyun; Jeon, Sanghun

    2016-05-01

    Defects in oxide semiconductors not only influence the initial device performance but also affect device reliability. The front channel is the major carrier transport region during the transistor turn-on stage, therefore an understanding of defects located in the vicinity of the interface is very important. In this study, we investigated the dynamics of charge transport in a nanocrystalline hafnium-indium-zinc-oxide thin-film transistor (TFT) by short pulse I-V, transient current and 1/f noise measurement methods. We found that the fast charging behavior of the tested device stems from defects located in both the front channel and the interface, following a multi-trapping mechanism. We found that a silicon-nitride stacked hafnium-indium-zinc-oxide TFT is vulnerable to interfacial charge trapping compared with silicon-oxide counterpart, causing significant mobility degradation and threshold voltage instability. The 1/f noise measurement data indicate that the carrier transport in a silicon-nitride stacked TFT device is governed by trapping/de-trapping processes via defects in the interface, while the silicon-oxide device follows the mobility fluctuation model.

  4. Numerical simulation of offset-drain amorphous oxide-based thin-film transistors

    NASA Astrophysics Data System (ADS)

    Jeong, Jaewook

    2016-11-01

    In this study, we analyzed the electrical characteristics of amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with an offset-drain structure by technology computer aided design (TCAD) simulation. When operating in a linear region, an enhancement-type TFT shows poor field-effect mobility because most conduction electrons are trapped in acceptor-like defects in an offset region when the offset length (L off) exceeds 0.5 µm, whereas a depletion-type TFT shows superior field-effect mobility owing to the high free electron density in the offset region compared with the trapped electron density. When operating in the saturation region, both types of TFTs show good field-effect mobility comparable to that of a reference TFT with a large gate overlap. The underlying physics of the depletion and enhancement types of offset-drain TFTs are systematically analyzed.

  5. Electrical properties of solution-deposited ZnO thin-film transistors by low-temperature annealing.

    PubMed

    Lim, Chul; Oh, Ji Young; Koo, Jae Bon; Park, Chan Woo; Jung, Soon-Won; Na, Bock Soon; Chu, Hye Yong

    2014-11-01

    Flexible oxide thin-film transistors (Oxide-TFTs) have emerged as next generation transistors because of their applicability in electronic device. In particular, the major driving force behind solution-processed zinc oxide film research is its prospective use in printing for electronics. A low-temperature process to improve the performance of solution-processed n-channel ZnO thin-film transistors (TFTs) fabricated via spin-coating and inkjet-printing is introduced here. ZnO nanoparticles were synthesized using a facile sonochemical method that was slightly modified based on a previously reported method. The influence of the annealing atmosphere on both nanoparticle-based TFT devices fabricated via spin-coating and those created via inkjet printing was investigated. For the inkjet-printed TFTs, the characteristics were improved significantly at an annealing temperature of 150 degrees C. The field effect mobility, V(th), and the on/off current ratios were 3.03 cm2/Vs, -3.3 V, and 10(4), respectively. These results indicate that annealing at 150 degrees C 1 h is sufficient to obtain a mobility (μ(sat)) as high as 3.03 cm2/Vs. Also, the active layer of the solution-based ZnO nanoparticles allowed the production of high-performance TFTs for low-cost, large-area electronics and flexible devices.

  6. Morphological Influence of Solution-Processed Zinc Oxide Films on Electrical Characteristics of Thin-Film Transistors.

    PubMed

    Lee, Hyeonju; Zhang, Xue; Hwang, Jaeeun; Park, Jaehoon

    2016-10-19

    We report on the morphological influence of solution-processed zinc oxide (ZnO) semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs). Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites.

  7. Oxide semiconductor thin-film transistors: a review of recent advances.

    PubMed

    Fortunato, E; Barquinha, P; Martins, R

    2012-06-12

    Transparent electronics is today one of the most advanced topics for a wide range of device applications. The key components are wide bandgap semiconductors, where oxides of different origins play an important role, not only as passive component but also as active component, similar to what is observed in conventional semiconductors like silicon. Transparent electronics has gained special attention during the last few years and is today established as one of the most promising technologies for leading the next generation of flat panel display due to its excellent electronic performance. In this paper the recent progress in n- and p-type oxide based thin-film transistors (TFT) is reviewed, with special emphasis on solution-processed and p-type, and the major milestones already achieved with this emerging and very promising technology are summarizeed. After a short introduction where the main advantages of these semiconductors are presented, as well as the industry expectations, the beautiful history of TFTs is revisited, including the main landmarks in the last 80 years, finishing by referring to some papers that have played an important role in shaping transparent electronics. Then, an overview is presented of state of the art n-type TFTs processed by physical vapour deposition methods, and finally one of the most exciting, promising, and low cost but powerful technologies is discussed: solution-processed oxide TFTs. Moreover, a more detailed focus analysis will be given concerning p-type oxide TFTs, mainly centred on two of the most promising semiconductor candidates: copper oxide and tin oxide. The most recent data related to the production of complementary metal oxide semiconductor (CMOS) devices based on n- and p-type oxide TFT is also be presented. The last topic of this review is devoted to some emerging applications, finalizing with the main conclusions. Related work that originated at CENIMAT|I3N during the last six years is included in more detail, which

  8. Silicon induced stability and mobility of indium zinc oxide based bilayer thin film transistors

    NASA Astrophysics Data System (ADS)

    Chauhan, Ram Narayan; Tiwari, Nidhi; Liu, Po-Tsun; Shieh, Han-Ping D.; Kumar, Jitendra

    2016-11-01

    Indium zinc oxide (IZO), silicon containing IZO, and IZO/IZO:Si bilayer thin films have been prepared by dual radio frequency magnetron sputtering on glass and SiO2/Si substrates for studying their chemical compositions and electrical characteristics in order to ascertain reliability for thin film transistor (TFT) applications. An attempt is therefore made here to fabricate single IZO and IZO/IZO:Si bilayer TFTs to study the effect of film thickness, silicon incorporation, and bilayer active channel on device performance and negative bias illumination stress (NBIS) stability. TFTs with increasing single active IZO layer thickness exhibit decrease in carrier mobility but steady improvement in NBIS; the best values being μFE ˜ 27.0, 22.0 cm2/Vs and ΔVth ˜ -13.00, -6.75 V for a channel thickness of 7 and 27 nm, respectively. While silicon incorporation is shown to reduce the mobility somewhat, it raises the stability markedly (ΔVth ˜ -1.20 V). Further, IZO (7 nm)/IZO:Si (27 nm) bilayer based TFTs display useful characteristics (field effect mobility, μFE = 15.3 cm2/Vs and NBIS value, ΔVth =-0.75 V) for their application in transparent electronics.

  9. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  10. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  11. Electrically tunable terahertz metamaterials with embedded large-area transparent thin-film transistor arrays

    PubMed Central

    Xu, Wei-Zong; Ren, Fang-Fang; Ye, Jiandong; Lu, Hai; Liang, Lanju; Huang, Xiaoming; Liu, Mingkai; Shadrivov, Ilya V.; Powell, David A.; Yu, Guang; Jin, Biaobing; Zhang, Rong; Zheng, Youdou; Tan, Hark Hoe; Jagadish, Chennupati

    2016-01-01

    Engineering metamaterials with tunable resonances are of great importance for improving the functionality and flexibility of terahertz (THz) systems. An ongoing challenge in THz science and technology is to create large-area active metamaterials as building blocks to enable efficient and precise control of THz signals. Here, an active metamaterial device based on enhancement-mode transparent amorphous oxide thin-film transistor arrays for THz modulation is demonstrated. Analytical modelling based on full-wave techniques and multipole theory exhibits excellent consistent with the experimental observations and reveals that the intrinsic resonance mode at 0.75 THz is dominated by an electric response. The resonant behavior can be effectively tuned by controlling the channel conductivity through an external bias. Such metal/oxide thin-film transistor based controllable metamaterials are energy saving, low cost, large area and ready for mass-production, which are expected to be widely used in future THz imaging, sensing, communications and other applications. PMID:27000419

  12. DEVICE TECHNOLOGY. Nanomaterials in transistors: From high-performance to thin-film applications.

    PubMed

    Franklin, Aaron D

    2015-08-14

    For more than 50 years, silicon transistors have been continuously shrunk to meet the projections of Moore's law but are now reaching fundamental limits on speed and power use. With these limits at hand, nanomaterials offer great promise for improving transistor performance and adding new applications through the coming decades. With different transistors needed in everything from high-performance servers to thin-film display backplanes, it is important to understand the targeted application needs when considering new material options. Here the distinction between high-performance and thin-film transistors is reviewed, along with the benefits and challenges to using nanomaterials in such transistors. In particular, progress on carbon nanotubes, as well as graphene and related materials (including transition metal dichalcogenides and X-enes), outlines the advances and further research needed to enable their use in transistors for high-performance computing, thin films, or completely new technologies such as flexible and transparent devices. Copyright © 2015, American Association for the Advancement of Science.

  13. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    PubMed

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  14. Highly stable thin film transistors using multilayer channel structure

    NASA Astrophysics Data System (ADS)

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, D. H.; Hedhili, M. N.; Alshareef, H. N.

    2015-03-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60 °C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  15. Morphological Influence of Solution-Processed Zinc Oxide Films on Electrical Characteristics of Thin-Film Transistors

    PubMed Central

    Lee, Hyeonju; Zhang, Xue; Hwang, Jaeeun; Park, Jaehoon

    2016-01-01

    We report on the morphological influence of solution-processed zinc oxide (ZnO) semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs). Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites. PMID:28773973

  16. Electrical characterization of reduced graphene oxide (rGO) on organic thin film transistor (OTFT)

    NASA Astrophysics Data System (ADS)

    Musa, Nurhazwani; Halim, Nurul Farhanah Ab.; Ahmad, Mohd Noor; Zakaria, Zulkhairi; Hashim, Uda

    2017-03-01

    A green method and eco-friendly solution were used to chemically reduce graphene oxide (GO) to graphene using green reductant. In this study, graphene oxide (GO) were prepared by using Tours method. Then, reduced graphene oxides (rGO) were prepared by using three typical reduction agents: L-ascorbic acid (L-AA), formamidinesulfinic acid (FAS) and sodium sulfite (Na2SO3). The reduced materials were characterized by Fourier transform infrared spectroscopy (FTIR), Thermo gravimetric analysis (TGA) and X-ray diffraction (XRD). Graphene based organic thin film transistor (G-OTFT) was prepared by a spin coating and thermal evaporation technique. The electrical characterization of G-OTFT was analyzed by using semiconductor parameter analyzer (SPA). The G-OTFT devices show p-type semiconducting behaviour. This article focuses on the synthesis and reduction of graphene oxide using three different reductants in order to maximise its electrical conductivity. The rGO product demonstrated a good electrical conductivity performance with highly sensitivity sensor.

  17. Oxygen Partial Pressure Impact on Characteristics of Indium Titanium Zinc Oxide Thin Film Transistor Fabricated via RF Sputtering

    PubMed Central

    Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi

    2017-01-01

    Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of −0.9 V, mobility of 0.884 cm2/Vs, on-off ratio of 5.5 × 105, and subthreshold swing of 0.41 V/dec. PMID:28672868

  18. Oxygen Partial Pressure Impact on Characteristics of Indium Titanium Zinc Oxide Thin Film Transistor Fabricated via RF Sputtering.

    PubMed

    Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi

    2017-06-26

    Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of -0.9 V, mobility of 0.884 cm²/Vs, on-off ratio of 5.5 × 10⁵, and subthreshold swing of 0.41 V/dec.

  19. Stability study of solution-processed zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Zhang, Xue; Ndabakuranye, Jean Pierre; Kim, Dong Wook; Choi, Jong Sun; Park, Jaehoon

    2015-11-01

    In this study, the environmental dependence of the electrical stability of solution-processed n-channel zinc tin oxide (ZTO) thin-film transistors (TFTs) is reported. Under a prolonged negative gate bias stress, a negative shift in threshold voltage occurs in atmospheric air, whereas a negligible positive shift in threshold voltage occurs under vacuum. In the positive bias-stress experiments, a positive shift in threshold voltage was invariably observed both in atmospheric air and under vacuum. In this study, the negative gate-bias-stress-induced instability in atmospheric air is explained through an internal potential in the ZTO semiconductor, which can be generated owing to the interplay between H2O molecules and majority carrier electrons at the surface of the ZTO film. The positive bias-stress-induced instability is ascribed to electron-trapping phenomenon in and around the TFT channel region, which can be further augmented in the presence of air O2 molecules. These results suggest that the interaction between majority carriers and air molecules will have crucial implications for a reliable operation of solution-processed ZTO TFTs. [Figure not available: see fulltext.

  20. Polycrystalline silicon thin-film transistors on quartz fiber

    NASA Astrophysics Data System (ADS)

    Sugawara, Yuta; Uraoka, Yukiharu; Yano, Hiroshi; Hatayama, Tomoaki; Fuyuki, Takashi; Nakamura, Toshihiro; Toda, Sadayuki; Koaizawa, Hisashi; Mimura, Akio; Suzuki, Kenkichi

    2007-11-01

    We demonstrate the fabrication of polycrystalline silicon (poly-Si) thin-film transistors (TFTs) on a thin quartz fiber for the first time. The poly-Si used in the active layer of the TFTs was prepared by excimer laser annealing of an amorphous Si thin film deposited on the fiber. Top-gated TFTs were fabricated on the fiber, and a field effect mobility of 10cm2/Vs was obtained. The proposed TFTs on a thin quartz fiber, named fiber TFTs, have potential application in microelectronic devices using TFTs fabricated on one-dimensional substrates.

  1. Influence of high energy electron irradiation on the characteristics of polysilicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Aleksandrova, P. V.; Gueorguiev, V. K.; Ivanov, Tz. E.; Kaschieva, S.

    2006-08-01

    The influence of high energy electron (23 MeV) irradiation on the electrical characteristics of p-channel polysilicon thin film transistors (PSTFTs) was studied. The channel 220 nm thick LPCVD (low pressure chemical vapor deposition) deposited polysilicon layer was phosphorus doped by ion implantation. A 45 nm thick, thermally grown, SiO2 layer served as gate dielectric. A self-alignment technology for boron doping of the source and drain regions was used. 200 nm thick polysilicon film was deposited as a gate electrode. The obtained p-channel PSTFTs were irradiated with different high energy electron doses. Leakage currents through the gate oxide and transfer characteristics of the transistors were measured. A software model describing the field enhancement and the non-uniform current distribution at textured polysilicon/oxide interface was developed. In order to assess the irradiation-stimulated changes of gate oxide parameters the gate oxide tunneling conduction and transistor characteristics were studied. At MeV dose of 6×1013 el/cm2, a negligible degradation of the transistor properties was found. A significant deterioration of the electrical properties of PSTFTs at MeV irradiation dose of 3×1014 el/cm2 was observed.

  2. Growth front nucleation of rubrene thin films for high mobility organic transistors

    NASA Astrophysics Data System (ADS)

    Hsu, C. H.; Deng, J.; Staddon, C. R.; Beton, P. H.

    2007-11-01

    We demonstrate a mode of thin film growth in which amorphous islands crystallize into highly oriented platelets. A cascade of crystallization is observed, in which platelets growing outward from a central nucleation point impinge on neighboring amorphous islands and provide a seed for further nucleation. Through control of growth parameters, it is possible to produce high quality thin films which are well suited to the formation of organic transistors. We demonstrate this through the fabrication of rubrene thin film transistors with high carrier mobility.

  3. Naphthacene Based Organic Thin Film Transistor With Rare Earth Oxide

    NASA Astrophysics Data System (ADS)

    Konwar, K.; Baishya, B.

    2010-12-01

    Naphthacene based organic thin film transistors (OTFTs) have been fabricated using La2O3, as the gate insulator. All the OTFTs have been fabricated by the process of thermal evaporation in vacuum on perfectly cleaned glass substrates with aluminium as source-drain and gate electrodes. The naphthacene film morphology on the glass substrate has been studied by XRD and found to be polycrystalline in nature. The field effect mobility, output resistance, amplification factor, transconductance and gain bandwidth product of the OTFTs have been calculated by using theoretical TFT model. The highest value of field effect mobility is found to be 0.07×10-3 cm2V-1s-1 for the devices annealed in vacuum at 90° C for 5 hours.

  4. Toward Adequate Operation of Amorphous Oxide Thin-Film Transistors for Low-Concentration Gas Detection.

    PubMed

    Kim, Kyung Su; Ahn, Cheol Hyoun; Jung, Sung Hyeon; Cho, Sung Woon; Cho, Hyung Koun

    2018-03-28

    We suggest the use of a thin-film transistor (TFT) composed of amorphous InGaZnO (a-IGZO) as a channel and a sensing layer for low-concentration NO 2 gas detection. Although amorphous oxide layers have a restricted surface area when reacting with NO 2 gas, such TFT sensors have incomparable advantages in the aspects of electrical stability, large-scale uniformity, and the possibility of miniaturization. The a-IGZO thin films do not possess typical reactive sites and grain boundaries, so that the variation in drain current of the TFTs strictly originates from oxidation reaction between channel surface and NO 2 gas. Especially, the sensing data obtained from the variation rate of drain current makes it possible to monitor efficiently and quickly the variation of the NO 2 concentration. Interestingly, we found that enhancement-mode TFT (EM-TFT) allows discrimination of the drain current variation rate at NO 2 concentrations ≤10 ppm, whereas a depletion-mode TFT is adequate for discriminating NO 2 concentrations ≥10 ppm. This discrepancy is attributed to the ratio of charge carriers contributing to gas capture with respect to total carriers. This capacity for the excellent detection of low-concentration NO 2 gas can be realized through (i) three-terminal TFT gas sensors using amorphous oxide, (ii) measurement of the drain current variation rate for high selectivity, and (iii) an EM mode driven by tuning the electrical conductivity of channel layers.

  5. A room temperature strategy towards enhanced performance and bias stability of oxide thin film transistor with a sandwich structure channel layer

    NASA Astrophysics Data System (ADS)

    Zeng, Yong; Ning, Honglong; Zheng, Zeke; Zhang, Hongke; Fang, Zhiqiang; Yao, Rihui; Xu, Miao; Wang, Lei; Lan, Linfeng; Peng, Junbiao; Lu, Xubing

    2017-04-01

    Thermal annealing is a conventional and effective way to improve the bias stress stability of oxide thin film transistors (TFT) on solid substrates. However, it is still a challenge for enhancing the bias stress stability of oxide TFTs on flexible substrates by high-temperature post-treatment due to the thermal sensitivity of flexible substrates. Here, a room temperature strategy is presented towards enhanced performance and bias stability of oxide TFTs by intentionally engineering a sandwich structure channel layer consisting of a superlattice with aluminum doped zinc oxide (AZO) and Al2O3 thin films. The Al2O3/AZO/Al2O3-TFTs not only exhibit a saturation mobility of 9.27 cm2 V-1 s-1 and a linear mobility of 11.38 cm2 V-1 s-1 but also demonstrate a better bias stress stability than AZO/Al2O3-TFT. Moreover, the underlying mechanism of this enhanced electrical performance of TFTs with a sandwich structure channel layer is that the bottom Al2O3 thin films can obviously improve the crystalline phase of AZO films while decreasing electrical trapping centers and adsorption sites for undesirable molecules such as water and oxygen.

  6. Metal-induced crystallization of amorphous zinc tin oxide semiconductors for high mobility thin-film transistors

    NASA Astrophysics Data System (ADS)

    Hwang, Ah Young; Kim, Sang Tae; Ji, Hyuk; Shin, Yeonwoo; Jeong, Jae Kyeong

    2016-04-01

    Transition tantalum induced crystallization of amorphous zinc tin oxide (a-ZTO) was observed at low temperature annealing of 300 °C. Thin-film transistors (TFTs) with an a-ZTO channel layer exhibited a reasonable field-effect mobility of 12.4 cm2/V s, subthreshold swing (SS) of 0.39 V/decade, threshold voltage (VTH) of 1.5 V, and ION/OFF ratio of ˜107. A significant improvement in the field-effect mobility (up to ˜33.5 cm2/V s) was achieved for crystallized ZTO TFTs: this improvement was accomplished without compromising the SS, VTH, or ION/OFF ratio due to the presence of a highly ordered microstructure.

  7. Fabrication and characterization of high mobility spin-coated zinc oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Singh, Shaivalini; Chakrabarti, P.

    2012-10-01

    A ZnO based thin film transistor (TFT) with bottom-gate configuration and SiO2 as insulating layer has been fabricated and characterized. The ZnO thin film was prepared by spin coating the sol-gel solution on the p-type Si wafers. The optical and structural properties of ZnO films were investigated using UV measurements and scanning electron microscope (SEM). The result of UV-visible study confirms that the films have a good absorbance in UV region and relatively low absorbance in the visible region. The TFT exhibited an off-current of 2.5×10-7 A. The values of field effect channel mobility and on/off current ratio extracted for the device, measured 11 cm2/V.s and ~102 respectively. The value of threshold voltage was found to be 1.3 V.

  8. Contact-metal dependent current injection in pentacene thin-film transistors

    NASA Astrophysics Data System (ADS)

    Wang, S. D.; Minari, T.; Miyadera, T.; Tsukagoshi, K.; Aoyagi, Y.

    2007-11-01

    Contact-metal dependent current injection in top-contact pentacene thin-film transistors is analyzed, and the local mobility in the contact region was found to follow the Meyer-Neldel rule. An exponential trap distribution, rather than the metal/organic hole injection barrier, is proposed to be the dominant factor of the contact resistance in pentacene thin-film transistors. The variable temperature measurements revealed a much narrower trap distribution in the copper contact compared with the corresponding gold contact, and this is the origin of the smaller contact resistance for copper despite a lower work function.

  9. Water-soluble thin film transistors and circuits based on amorphous indium-gallium-zinc oxide.

    PubMed

    Jin, Sung Hun; Kang, Seung-Kyun; Cho, In-Tak; Han, Sang Youn; Chung, Ha Uk; Lee, Dong Joon; Shin, Jongmin; Baek, Geun Woo; Kim, Tae-il; Lee, Jong-Ho; Rogers, John A

    2015-04-22

    This paper presents device designs, circuit demonstrations, and dissolution kinetics for amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFTs) comprised completely of water-soluble materials, including SiNx, SiOx, molybdenum, and poly(vinyl alcohol) (PVA). Collections of these types of physically transient a-IGZO TFTs and 5-stage ring oscillators (ROs), constructed with them, show field effect mobilities (∼10 cm2/Vs), on/off ratios (∼2×10(6)), subthreshold slopes (∼220 mV/dec), Ohmic contact properties, and oscillation frequency of 5.67 kHz at supply voltages of 19 V, all comparable to otherwise similar devices constructed in conventional ways with standard, nontransient materials. Studies of dissolution kinetics for a-IGZO films in deionized water, bovine serum, and phosphate buffer saline solution provide data of relevance for the potential use of these materials and this technology in temporary biomedical implants.

  10. Phosphorus Doping Effect in a Zinc Oxide Channel Layer to Improve the Performance of Oxide Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Han, Dong-Suk; Moon, Yeon-Keon; Lee, Sih; Kim, Kyung-Taek; Moon, Dae-Yong; Lee, Sang-Ho; Kim, Woong-Sun; Park, Jong-Wan

    2012-09-01

    In this study, we fabricated phosphorus-doped zinc oxide-based thin-film transistors (TFTs) using direct current (DC) magnetron sputtering at a relatively low temperature of 100°C. To improve the TFT device performance, including field-effect mobility and bias stress stability, phosphorus dopants were employed to suppress the generation of intrinsic defects in the ZnO-based semiconductor. The positive and negative bias stress stabilities were dramatically improved by introducing the phosphorus dopants, which could prevent turn-on voltage ( V ON) shift in the TFTs caused by charge trapping within the active channel layer. The study showed that phosphorus doping in ZnO was an effective method to control the electrical properties of the active channel layers and improve the bias stress stability of oxide-based TFTs.

  11. Effects of a capping oxide layer on polycrystalline-silicon thin-film transistors fabricated by continuous-wave laser crystallization

    NASA Astrophysics Data System (ADS)

    Li, Yi-Shao; Wu, Chun-Yi; Chou, Chia-Hsin; Liao, Chan-Yu; Chuang, Kai-Chi; Luo, Jun-Dao; Li, Wei-Shuo; Cheng, Huang-Chung

    2018-06-01

    A tetraethyl-orthosilicate (TEOS) capping oxide was deposited by low-pressure chemical vapor deposition (LPCVD) on a 200-nm-thick amorphous Si (a-Si) film as a heat reservoir to improve the crystallinity and surface roughness of polycrystalline silicon (poly-Si) formed by continuous-wave laser crystallization (CLC). The effects of four thicknesses of the capping oxide layer to satisfy an antireflection condition, namely, 90, 270, 450, and 630 nm, were investigated. The largest poly-Si grain size of 2.5 × 20 µm2 could be achieved using a capping oxide layer with an optimal thickness of 450 nm. Moreover, poly-Si nanorod (NR) thin-film transistors (TFTs) fabricated using the aforementioned technique exhibited a superior electron field-effect mobility of 1093.3 cm2 V‑1 s‑1 and an on/off current ratio of 2.53 × 109.

  12. Metal-oxide thin-film transistor-based pH sensor with a silver nanowire top gate electrode

    NASA Astrophysics Data System (ADS)

    Yoo, Tae-Hee; Sang, Byoung-In; Wang, Byung-Yong; Lim, Dae-Soon; Kang, Hyun Wook; Choi, Won Kook; Lee, Young Tack; Oh, Young-Jei; Hwang, Do Kyung

    2016-04-01

    Amorphous InGaZnO (IGZO) metal-oxide-semiconductor thin-film transistors (TFTs) are one of the most promising technologies to replace amorphous and polycrystalline Si TFTs. Recently, TFT-based sensing platforms have been gaining significant interests. Here, we report on IGZO transistor-based pH sensors in aqueous medium. In order to achieve stable operation in aqueous environment and enhance sensitivity, we used Al2O3 grown by using atomic layer deposition (ALD) and a porous Ag nanowire (NW) mesh as the top gate dielectric and electrode layers, respectively. Such devices with a Ag NW mesh at the top gate electrode rapidly respond to the pH of solutions by shifting the turn-on voltage. Furthermore, the output voltage signals induced by the voltage shifts can be directly extracted by implantation of a resistive load inverter.

  13. Electronic Structure of Low-Temperature Solution-Processed Amorphous Metal Oxide Semiconductors for Thin-Film Transistor Applications

    PubMed Central

    Socratous, Josephine; Banger, Kulbinder K; Vaynzof, Yana; Sadhanala, Aditya; Brown, Adam D; Sepe, Alessandro; Steiner, Ullrich; Sirringhaus, Henning

    2015-01-01

    The electronic structure of low temperature, solution-processed indium–zinc oxide thin-film transistors is complex and remains insufficiently understood. As commonly observed, high device performance with mobility >1 cm2 V−1 s−1 is achievable after annealing in air above typically 250 °C but performance decreases rapidly when annealing temperatures ≤200 °C are used. Here, the electronic structure of low temperature, solution-processed oxide thin films as a function of annealing temperature and environment using a combination of X-ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy, and photothermal deflection spectroscopy is investigated. The drop-off in performance at temperatures ≤200 °C to incomplete conversion of metal hydroxide species into the fully coordinated oxide is attributed. The effect of an additional vacuum annealing step, which is beneficial if performed for short times at low temperatures, but leads to catastrophic device failure if performed at too high temperatures or for too long is also investigated. Evidence is found that during vacuum annealing, the workfunction increases and a large concentration of sub-bandgap defect states (re)appears. These results demonstrate that good devices can only be achieved in low temperature, solution-processed oxides if a significant concentration of acceptor states below the conduction band minimum is compensated or passivated by shallow hydrogen and oxygen vacancy-induced donor levels. PMID:26190964

  14. Electronic Structure of Low-Temperature Solution-Processed Amorphous Metal Oxide Semiconductors for Thin-Film Transistor Applications.

    PubMed

    Socratous, Josephine; Banger, Kulbinder K; Vaynzof, Yana; Sadhanala, Aditya; Brown, Adam D; Sepe, Alessandro; Steiner, Ullrich; Sirringhaus, Henning

    2015-03-25

    The electronic structure of low temperature, solution-processed indium-zinc oxide thin-film transistors is complex and remains insufficiently understood. As commonly observed, high device performance with mobility >1 cm 2 V -1 s -1 is achievable after annealing in air above typically 250 °C but performance decreases rapidly when annealing temperatures ≤200 °C are used. Here, the electronic structure of low temperature, solution-processed oxide thin films as a function of annealing temperature and environment using a combination of X-ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy, and photothermal deflection spectroscopy is investigated. The drop-off in performance at temperatures ≤200 °C to incomplete conversion of metal hydroxide species into the fully coordinated oxide is attributed. The effect of an additional vacuum annealing step, which is beneficial if performed for short times at low temperatures, but leads to catastrophic device failure if performed at too high temperatures or for too long is also investigated. Evidence is found that during vacuum annealing, the workfunction increases and a large concentration of sub-bandgap defect states (re)appears. These results demonstrate that good devices can only be achieved in low temperature, solution-processed oxides if a significant concentration of acceptor states below the conduction band minimum is compensated or passivated by shallow hydrogen and oxygen vacancy-induced donor levels.

  15. Detection of saliva-range glucose concentrations using organic thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Elkington, D.; Belcher, W. J.; Dastoor, P. C.

    We describe the development of a glucose sensor through direct incorporation of an enzyme (glucose oxidase) into the gate of an organic thin film transistor (OTFT). We show that glucose diffusion is the key determinant of the device response time and present a mechanism of glucose sensing in these devices that involves protonic doping of the transistor channel via enzymatic oxidation of glucose. The integrated OTFT sensor is sensitive across 4 decades of glucose concentration; a range that encompasses both the blood and salivary glucose concentration levels. As such, this work acts as a proof-of-concept for low-cost printed biosensors formore » salivary glucose.« less

  16. Modeling drain current of indium zinc oxide thin film transistors prepared by solution deposition technique

    NASA Astrophysics Data System (ADS)

    Qiang, Lei; Liang, Xiaoci; Cai, Guangshuo; Pei, Yanli; Yao, Ruohe; Wang, Gang

    2018-06-01

    Indium zinc oxide (IZO) thin film transistor (TFT) deposited by solution method is of considerable technological interest as it is a key component for the fabrication of flexible and cheap transparent electronic devices. To obtain a principal understanding of physical properties of solution-processed IZO TFT, a new drain current model that account for the charge transport is proposed. The formulation is developed by incorporating the effect of gate voltage on mobility and threshold voltage with the carrier charges. It is demonstrated that in IZO TFTs the below threshold regime should be divided into two sections: EC - EF > 3kT and EC - EF ≤ 3kT, where kT is the thermal energy, EF and EC represent the Fermi level and the conduction band edge, respectively. Additionally, in order to describe conduction mechanisms more accurately, the extended mobility edge model is conjoined, which can also get rid of the complicated and lengthy computations. The good agreement between measured and calculated results confirms the efficiency of this model for the design of integrated large-area thin film circuits.

  17. Light induced instabilities in amorphous indium-gallium-zinc-oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Chowdhury, Md Delwar Hossain; Migliorato, Piero; Jang, Jin

    2010-10-01

    The effect of exposure to ultraviolet radiation on the characteristics of amorphous indium-gallium-zinc-oxide thin-film transistors (TFTs) fabricated by sputtering is investigated. After illumination with 1.5 mW cm-2 of 365 nm radiation, in the absence of any bias stress, a persistent negative shift in the characteristics is observed in the dark. The magnitude of the shift increases with exposure time, saturating after about 10 min. Under these conditions the subthreshold exhibits a rigid shift of around 3.6 V and 7.5 V for TFTs with an active layer thickness of 20 nm and 50 nm, respectively. The shift in the dark increases (decreases) when a negative (positive) bias stress is applied under illumination. The instability behavior caused by exposure to light, in the absence of any bias stress, can be explained on the basis of ionization of neutral oxygen vacancies.

  18. Room-temperature fabrication of a Ga-Sn-O thin-film transistor

    NASA Astrophysics Data System (ADS)

    Matsuda, Tokiyoshi; Takagi, Ryo; Umeda, Kenta; Kimura, Mutsumi

    2017-08-01

    We have succeeded in forming a Ga-Sn-O (GTO) film for a thin-film transistor (TFT) using radio-frequency (RF) magnetron sputtering at room temperature without annealing process. It is achieved that the field-effect mobility is 0.83 cm2 V-1 s-1 and the on/off ratio is roughly 106. A critical process parameter is the deposition pressure during the RF magnetron sputtering, which determines a balance between competing mechanisms of sputtering damages and chemical reactions, because the film quality has to be enhanced solely during the sputtering deposition. This result suggests a possibility of rare-metal free amorphous metal-oxide semiconductors.

  19. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    NASA Astrophysics Data System (ADS)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  20. Model for determination of mid-gap states in amorphous metal oxides from thin film transistors

    NASA Astrophysics Data System (ADS)

    Bubel, S.; Chabinyc, M. L.

    2013-06-01

    The electronic density of states in metal oxide semiconductors like amorphous zinc oxide (a-ZnO) and its ternary and quaternary oxide alloys with indium, gallium, tin, or aluminum are different from amorphous silicon, or disordered materials such as pentacene, or P3HT. Many ZnO based semiconductors exhibit a steep decaying density of acceptor tail states (trap DOS) and a Fermi level (EF) close to the conduction band energy (EC). Considering thin film transistor (TFT) operation in accumulation mode, the quasi Fermi level for electrons (Eq) moves even closer to EC. Classic analytic TFT simulations use the simplification EC-EF> `several'kT and cannot reproduce exponential tail states with a characteristic energy smaller than 1/2 kT. We demonstrate an analytic model for tail and deep acceptor states, valid for all amorphous metal oxides and include the effect of trap assisted hopping instead of simpler percolation or mobility edge models, to account for the observed field dependent mobility.

  1. Silicon Cations Intermixed Indium Zinc Oxide Interface for High-Performance Thin-Film Transistors Using a Solution Process.

    PubMed

    Na, Jae Won; Rim, You Seung; Kim, Hee Jun; Lee, Jin Hyeok; Hong, Seonghwan; Kim, Hyun Jae

    2017-09-06

    Solution-processed amorphous metal-oxide thin-film transistors (TFTs) utilizing an intermixed interface between a metal-oxide semiconductor and a dielectric layer are proposed. In-depth physical characterizations are carried out to verify the existence of the intermixed interface that is inevitably formed by interdiffusion of cations originated from a thermal process. In particular, when indium zinc oxide (IZO) semiconductor and silicon dioxide (SiO 2 ) dielectric layer are in contact and thermally processed, a Si 4+ intermixed IZO (Si/IZO) interface is created. On the basis of this concept, a high-performance Si/IZO TFT having both a field-effect mobility exceeding 10 cm 2 V -1 s -1 and a on/off current ratio over 10 7 is successfully demonstrated.

  2. Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure

    NASA Astrophysics Data System (ADS)

    Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He

    2017-12-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.

  3. Thin-film transistors based on poly(3,3‴-dialkyl-quarterthiophene) and zinc oxide nanowires with improved ambient stability

    NASA Astrophysics Data System (ADS)

    Vieira, Sara M. C.; Hsieh, Gen-Wen; Unalan, Husnu E.; Dag, Sefa; Amaratunga, Gehan A. J.; Milne, William I.

    2011-03-01

    The ambient stability of thin-film transistors (TFTs) based on zinc oxide (ZnO) nanowires embedded in poly(3,3‴-dialkyl-quarterthiophene) was monitored through time dependence of electrical characteristics over a period of 16 months. The hybrid-based TFT showed an initial hole mobility in the linear regime of 4.2×10-4 cm2/V s. After 16 months storage in ambient conditions (exposed to air, moisture, and light) the mobility decreased to 2.3×10-5 cm2/V s. Comparatively the organic-based TFT lost total carrier mobility after one month storage making the hybrid-based TFTs more suitable for transistor applications when improved stability combined with structural flexibility are required.

  4. Graphene-based flexible and stretchable thin film transistors.

    PubMed

    Yan, Chao; Cho, Jeong Ho; Ahn, Jong-Hyun

    2012-08-21

    Graphene has been attracting wide attention owing to its superb electronic, thermal and mechanical properties. These properties allow great applications in the next generation of optoelectronics, where flexibility and stretchability are essential. In this context, the recent development of graphene growth/transfer and its applications in field-effect transistors are involved. In particular, we provide a detailed review on the state-of-the-art of graphene-based flexible and stretchable thin film transistors. We address the principles of fabricating high-speed graphene analog transistors and the key issues of producing an array of graphene-based transistors on flexible and stretchable substrates. It provides a platform for future work to focus on understanding and realizing high-performance graphene-based transistors.

  5. Enhanced stability against bias-stress of metal-oxide thin film transistors deposited at elevated temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fakhri, M.; Goerrn, P.; Riedl, T.

    2011-09-19

    Transparent zinc-tin-oxide (ZTO) thin film transistors (TFTs) have been prepared by DC magnetron sputtering. Compared to reference devices with a channel deposited at room temperature and subsequently annealing at 400 deg. C, a substantially enhanced stability against bias stress is evidenced for devices with in-situ substrate heating during deposition (400 deg. C). A reduced density of sub-gap defect states in TFT channels prepared with in-situ substrate heating is found. Concomitantly, a reduced sensitivity to the adsorption of ambient gases is evidenced for the in-situ heated devices. This finding is of particular importance for an application as driver electronics for organicmore » light emitting diode displays.« less

  6. Novel organic semiconductors and a high capacitance gate dielectric for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Cai, Xiuyu

    2007-12-01

    Organic semiconductors are attracting more and more interest as a promising set of materials in the field of electronics research. This thesis focused on several new organic semiconductors and a novel high-kappa dielectric thin film (SrTiO3), which are two essential parts in Organic Thin Film Transistors (OTFTs). Structure and morphology of thin films of tricyanovinyl capped oligothiophenes were studied using atomic force microscopy and x-ray diffraction. Thin film transistors of one compound exhibited a reasonable electron mobility of 0.02 cm2/Vs. Temperature dependent measurements on the thin film transistor based on this compound revealed shallow trap states that were interpreted in terms of a multiple trap and release model. Moreover, inversion of the majority charge carrier type from electrons to holes was observed when the number of oligothiophene rings increased to six and ambipolar transport behavior was observed for tricyanovinyl sexithiophene. Another interesting organic semiconductor compound is the fluoalkylquarterthiophene, which showed ambipolar transport and large hysteresis in the transfer curve. Due to the bistable state at floating gate, the thin film transistor was exploited to study non-volatile floating gate memory effects. The temperature dependence of the retention time for this memory device revealed that the electron trapping was an activated process. Following the earlier work on hybrid acene-thiophene organic semiconductors, new compounds with similar structure were studied to reveal the mechanism of the air-stability exhibited by some compounds. They all formed highly crystalline thin films and showed reasonable device performances which are well correlated with the molecular structures, thin film microstructures, and solid state packing. The most air-stable compound had no observable degradation with exposure to air for 15 months. SrTiO3 was developed to be employed in OTFTs. Optimization of thin film growth was performed using reactive

  7. The effect of nitrous oxide plasma treatment on the bias temperature stress of metal oxide thin film transistors with high mobility

    NASA Astrophysics Data System (ADS)

    Tseng, Wei-Hao; Fang, Shao-Wei; Lu, Chia-Yang; Chuang, Hung-Yang; Chang, Fan-Wei; Lin, Guan-Yu; Chen, Tsu-Wei; Ma, Kang-Hung; Chen, Hong-Syu; Chen, Teng-Ke; Chen, Yu-Hung; Lee, Jen-Yu; Shih, Tsung-Hsiang; Ting, Hung-Che; Chen, Chia-Yu; Lin, Yu-Hsin; Hong, Hong-Jye

    2015-01-01

    In this work, the effects of nitrous oxide plasma treatment on the negative bias temperature stress of indium tin zinc oxide (ITZO) and indium gallium zinc oxide (IGZO) thin film transistors (TFTs) were reported. ITZO TFTs were more suitable for the back channel etched-type device structure because they could withstand both Al- and Cu-acid damage. The initial threshold voltage range could be controlled to within 1 V. The root cause of poor negative bias temperature stress for ITZO was likely due to a higher mobility (∼3.3 times) and more carbon related contamination bonds (∼5.9 times) relative to IGZO. Finally, 65″ active-matrix organic light-emitting diode televisions using the ITZO and IGZO TFTs were fabricated.

  8. Method for double-sided processing of thin film transistors

    DOEpatents

    Yuan, Hao-Chih; Wang, Guogong; Eriksson, Mark A.; Evans, Paul G.; Lagally, Max G.; Ma, Zhenqiang

    2008-04-08

    This invention provides methods for fabricating thin film electronic devices with both front- and backside processing capabilities. Using these methods, high temperature processing steps may be carried out during both frontside and backside processing. The methods are well-suited for fabricating back-gate and double-gate field effect transistors, double-sided bipolar transistors and 3D integrated circuits.

  9. An All Oxide-Based Imperceptible Thin-Film Transistor with Humidity Sensing Properties

    PubMed Central

    Kim, Kyung Su; Ahn, Cheol Hyoun; Kang, Won Jun; Cho, Sung Woon; Jung, Sung Hyeon; Yoon, Dae Ho; Cho, Hyung Koun

    2017-01-01

    We have examined the effects of oxygen content and thickness in sputtered InSnO (ITO) electrodes, especially for the application of imperceptible amorphous-InGaZnO (a-IGZO) thin-film transistors (TFTs) in humidity sensors. The imperceptible a-IGZO TFT with 50-nm ITO electrodes deposited at Ar:O2 = 29:0.3 exhibited good electrical performances with Vth of −0.23 V, SS of 0.34 V/dec, µFE of 7.86 cm2/V∙s, on/off ratio of 8.8 × 107, and has no degradation for bending stress up to a 3.5-mm curvature. The imperceptible oxide TFT sensors showed the highest sensitivity for the low and wide gate bias of −1~2 V under a wide range of relative humidity (40–90%) at drain voltage 1 V, resulting in low power consumption by the sensors. Exposure to water vapor led to a negative shift in the threshold voltage (or current enhancement), and an increase in relative humidity induced continuous threshold voltage shift. In particular, compared to conventional resistor-type sensors, the imperceptible oxide TFT sensors exhibited extremely high sensitivity from a current amplification of >103. PMID:28772888

  10. An All Oxide-Based Imperceptible Thin-Film Transistor with Humidity Sensing Properties.

    PubMed

    Kim, Kyung Su; Ahn, Cheol Hyoun; Kang, Won Jun; Cho, Sung Woon; Jung, Sung Hyeon; Yoon, Dae Ho; Cho, Hyung Koun

    2017-05-13

    We have examined the effects of oxygen content and thickness in sputtered InSnO (ITO) electrodes, especially for the application of imperceptible amorphous-InGaZnO ( a -IGZO) thin-film transistors (TFTs) in humidity sensors. The imperceptible a -IGZO TFT with 50-nm ITO electrodes deposited at Ar:O₂ = 29:0.3 exhibited good electrical performances with V th of -0.23 V, SS of 0.34 V/dec, µ FE of 7.86 cm²/V∙s, on/off ratio of 8.8 × 10⁷, and has no degradation for bending stress up to a 3.5-mm curvature. The imperceptible oxide TFT sensors showed the highest sensitivity for the low and wide gate bias of -1~2 V under a wide range of relative humidity (40-90%) at drain voltage 1 V, resulting in low power consumption by the sensors. Exposure to water vapor led to a negative shift in the threshold voltage (or current enhancement), and an increase in relative humidity induced continuous threshold voltage shift. In particular, compared to conventional resistor-type sensors, the imperceptible oxide TFT sensors exhibited extremely high sensitivity from a current amplification of >10³.

  11. Suppression of persistent photo-conductance in solution-processed amorphous oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Minkyung; Kim, Minho; Jo, Jeong-Wan; Park, Sung Kyu; Kim, Yong-Hoon

    2018-01-01

    This study offers a combinatorial approach for suppressing the persistent photo-conductance (PPC) characteristic in solution-processed amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) in order to achieve rapid photo-recovery. Various analyses were used to examine the photo-instability of indium-gallium-zinc-oxide (IGZO) TFTs including negative-bias-illumination-stress (NBIS) and transient photo-response behaviors. It was found that the indium ratio in metallic components had a significant impact on their PPC and photo-recovery characteristics. In particular, when the indium ratio was low (51.5%), the PPC characteristic was significantly suppressed and achieving rapid photo-recovery was possible without significantly affecting the electrical performance of AOSs. These results imply that the optimization of the indium composition ratio may allow achieving highly photo-stable and near PPC-free characteristics while maintaining high electrical performance of AOSs. It is considered that the negligible PPC behavior and rapid photo-recovery observed in IGZO TFTs with a lower indium composition are attributed to the less activation energy required for the neutralization of ionized oxygen vacancies.

  12. Graphene Oxide/Poly(3-hexylthiophene) Nanocomposite Thin-Film Phototransistor for Logic Circuit Applications

    NASA Astrophysics Data System (ADS)

    Mansouri, S.; Coskun, B.; El Mir, L.; Al-Sehemi, Abdullah G.; Al-Ghamdi, Ahmed; Yakuphanoglu, F.

    2018-04-01

    Graphene is a sheet-structured material that lacks a forbidden band, being a good candidate for use in radiofrequency applications. We have elaborated graphene-oxide-doped poly(3-hexylthiophene) nanocomposite to increase the interlayer distance and thereby open a large bandgap for use in the field of logic circuits. Graphene oxide/poly(3-hexylthiophene) (GO/P3HT) nanocomposite thin-film transistors (TFTs) were fabricated on silicon oxide substrate by spin coating method. The current-voltage ( I- V) characteristics of TFTs with various P3HT compositions were studied in the dark and under light illumination. The photocurrent, charge carrier mobility, subthreshold voltage, density of interface states, density of occupied states, and I ON/ I OFF ratio of the devices strongly depended on the P3HT weight ratio in the composite. The effects of white-light illumination on the electrical parameters of the transistors were investigated. The results indicated that GO/P3HT nanocomposite thin-film transistors have high potential for use in radiofrequency applications, and their feasibility for use in digital applications has been demonstrated.

  13. Liquid crystals for organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Iino, Hiroaki; Usui, Takayuki; Hanna, Jun-Ichi

    2015-04-01

    Crystalline thin films of organic semiconductors are a good candidate for field effect transistor (FET) materials in printed electronics. However, there are currently two main problems, which are associated with inhomogeneity and poor thermal durability of these films. Here we report that liquid crystalline materials exhibiting a highly ordered liquid crystal phase of smectic E (SmE) can solve both these problems. We design a SmE liquid crystalline material, 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-10), for FETs and synthesize it. This material provides uniform and molecularly flat polycrystalline thin films reproducibly when SmE precursor thin films are crystallized, and also exhibits high durability of films up to 200 °C. In addition, the mobility of FETs is dramatically enhanced by about one order of magnitude (over 10 cm2 V-1 s-1) after thermal annealing at 120 °C in bottom-gate-bottom-contact FETs. We anticipate the use of SmE liquid crystals in solution-processed FETs may help overcome upcoming difficulties with novel technologies for printed electronics.

  14. Integration of Peptides into Organic Thin Film Transistor (OTFT)-based Printable Sensors

    DTIC Science & Technology

    2017-02-10

    AFRL-AFOSR-JP-TR-2017-0009 Integration of Peptides into Organic Thin Film Transistor (OTFT)-based Printable Sensors Paul Dastoor UNIVERSITY OF...collection of information   if it does not display a currently valid OMB control number. PLEASE DO NOT RETURN YOUR FORM TO THE ABOVE ORGANIZATION . 1...Peptides into Organic Thin Film Transistor (OTFT)-based Printable Sensors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-15-1-4002 5c.  PROGRAM ELEMENT

  15. TiOx-based thin-film transistors prepared by femtosecond laser pre-annealing

    NASA Astrophysics Data System (ADS)

    Shan, Fei; Kim, Sung-Jin

    2018-02-01

    We report on thin-film transistors (TFTs) based on titanium oxide (TiOx) prepared using femtosecond laser pre-annealing for electrical application of n-type channel oxide transparent TFTs. Amorphous TFTs using TiOx semiconductors as an active layer have a low-temperature process and show remarkable electrical performance. And the femtosecond laser pre-annealing process has greater flexibility and development space for semiconductor production activity, with a fast preparation method. TFTs with a TiOx semiconductor pre-annealed via femtosecond laser at 3 W have a pinhole-free and smooth surface without crystal grains.

  16. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  17. Dopant selection for control of charge carrier density and mobility in amorphous indium oxide thin-film transistors: Comparison between Si- and W-dopants

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitoma, Nobuhiko, E-mail: MITOMA.Nobuhiko@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Kizu, Takio; Lin, Meng-Fang

    The dependence of oxygen vacancy suppression on dopant species in amorphous indium oxide (a-InO{sub x}) thin film transistors (TFTs) is reported. In a-InO{sub x} TFTs incorporating equivalent atom densities of Si- and W-dopants, absorption of oxygen in the host a-InO{sub x} matrix was found to depend on difference of Gibbs free energy of the dopants for oxidation. For fully oxidized films, the extracted channel conductivity was higher in the a-InO{sub x} TFTs containing dopants of small ionic radius. This can be explained by a reduction in the ionic scattering cross sectional area caused by charge screening effects.

  18. Carbon Nanotube Thin Film Transistors for Flat Panel Display Application.

    PubMed

    Liang, Xuelei; Xia, Jiye; Dong, Guodong; Tian, Boyuan; Peng, Lianmao

    2016-12-01

    Carbon nanotubes (CNTs) are promising materials for both high performance transistors for high speed computing and thin film transistors for macroelectronics, which can provide more functions at low cost. Among macroelectronics applications, carbon nanotube thin film transistors (CNT-TFT) are expected to be used soon for backplanes in flat panel displays (FPDs) due to their superior performance. In this paper, we review the challenges of CNT-TFT technology for FPD applications. The device performance of state-of-the-art CNT-TFTs are compared with the requirements of TFTs for FPDs. Compatibility of the fabrication processes of CNT-TFTs and current TFT technologies are critically examined. Though CNT-TFT technology is not yet ready for backplane production line of FPDs, the challenges can be overcome by close collaboration between research institutes and FPD manufacturers in the short term.

  19. Toward active-matrix lab-on-a-chip: programmable electrofluidic control enabled by arrayed oxide thin film transistors.

    PubMed

    Noh, Joo Hyon; Noh, Jiyong; Kreit, Eric; Heikenfeld, Jason; Rack, Philip D

    2012-01-21

    Agile micro- and nano-fluidic control is critical to numerous life science and chemical science synthesis as well as kinetic and thermodynamic studies. To this end, we have demonstrated the use of thin film transistor arrays as an active matrix addressing method to control an electrofluidic array. Because the active matrix method minimizes the number of control lines necessary (m + n lines for the m×n element array), the active matrix addressing method integrated with an electrofluidic platform can be a significant breakthrough for complex electrofluidic arrays (increased size or resolution) with enhanced function, agility and programmability. An amorphous indium gallium zinc oxide (a-IGZO) semiconductor active layer is used because of its high mobility of 1-15 cm(2) V(-1) s(-1), low-temperature processing and transparency for potential spectroscopy and imaging. Several electrofluidic functionalities are demonstrated using a simple 2 × 5 electrode array connected to a 2 × 5 IGZO thin film transistor array with the semiconductor channel width of 50 μm and mobility of 6.3 cm(2) V(-1) s(-1). Additionally, using the TFT device characteristics, active matrix addressing schemes are discussed as the geometry of the electrode array can be tailored to act as a storage capacitor element. Finally, requisite material and device parameters are discussed in context with a VGA scale active matrix addressed electrofluidic platform.

  20. Optical Probe of the Density of Defect States in Organic Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Breban, Mihaela; Romero, Danilo; Ballarotto, Vincent; Williams, Ellen

    2006-03-01

    We investigate the role of defect states associated with different gate dielectric materials on charge transport in organic thin film transistors. Using a modulation technique we measure the magnitude and the phase of the photocurrent^1 in pentacene thin film transistors as a function of the modulation frequency. The photocurrent generation process is modeled as exciton dissociation due to interaction with localized traps. A time domain analyses of this multi-step process allows us to extract the density of defect states. We use this technique to compare the physical mechanism underlying performances of pentacene devices fabricated with different dielectric materials. *Supported by the Laboratory for Physical Science ^1 M. Breban, et al. ``Photocurrent probe of field-dependent mobility in organic thin-film transistors'' Appl. Phys. Letts. 87, 203503 (2005)

  1. Electrical and structural characterization of IZO (indium oxide-zinc oxide) thin films for device applications

    NASA Astrophysics Data System (ADS)

    Yaglioglu, Burag

    Materials for oxide-based transparent electronics have been recently reported in the literature. These materials include various amorphous and crystalline compounds based on multi-component oxides and many of them offer useful combinations of transparency, controllable carrier concentrations, and reasonable n-carrier mobility. In this thesis, the properties of amorphous and crystalline In2O3-10wt%ZnO, IZO, thin films were investigated for their potential use in oxide electronics. The room temperature deposition of this material using DC magnetron sputtering results in the formation of amorphous films. Annealing amorphous IZO films at 500°C in air produces a previously unknown crystalline compound. Using electron diffraction experiments, it is reported that the crystal structure of this compound is based on the high-pressure rhombohedral phase of In2O3. Electrical properties of different phases of IZO were explored and it was concluded that amorphous films offer most promising characteristics for device applications. Therefore, thin film transistors (TFT) were fabricated based on amorphous IZO films where both the channel and metallization layers were deposited from the same target. The carrier densities in the channel and source-drain layers were adjusted by changing the oxygen content in the sputter chamber during deposition. The resulting transistors operate as depletion mode n-channel field effect devices with high saturation mobilities.

  2. Fabrication and characterization of oxide-based thin film transistors, and process development for oxide heterostructures

    NASA Astrophysics Data System (ADS)

    Lim, Wantae

    2009-12-01

    This dissertation is focused on the development of thin film transistors (TFTs) using oxide materials composed of post-transitional cations with (n-1)d 10ns0 (n≥4). The goal is to achieve high performance oxide-based TFTs fabricated at low processing temperature on either glass or flexible substrates for next generation display applications. In addition, etching mechanism and Ohmic contact formation for oxide heterostructure (ZnO/CuCrO 2) system is demonstrated. The deposition and characterization of oxide semiconductors (In 2O3-ZnO, and InGaZnO4) using a RF-magnetron sputtering system are studied. The main influence on the resistivity of the films is found to be the oxygen partial pressure in the sputtering ambient. The films remained amorphous and transparent (> 70%) at all process conditions. These films showed good transmittance at suitable conductivity for transistor fabrication. The electrical characteristics of both top- and bottom-gate type Indium Zinc Oxide (InZnO) and Indium Gallium Zinc Oxide (InGaZnO4)-based TFTs are reported. The InZnO films were favorable for depletion-mode TFTs due to their tendency to form oxygen vacancies, while enhancement-mode devices were realized with InGaZnO4 films. The InGaZnO4-based TFTs fabricated on either glass or plastic substrates at low temperature (<100°C) exhibit good electrical properties: the saturation mobility of 5--12 cm2.V-1.s-1 and threshold voltage of 0.5--2.5V. The devices are also examined as a function of aging time in order to verify long-term stability in air. The effect of gate dielectric materials on electrical properties of InGaZnO 4-based TFTs was investigated. The use of SiNx film as a gate dielectric reduces the trap density and the roughness at the channel/gate dielectric interface compared to SiO2 gate dielectric, resulting in an improvement of device parameters by reducing scattering of trapped charges at the interface. The quality of interface is shown to have large effect on TFT performance

  3. Selective metallization of amorphous-indium-gallium-zinc-oxide thin-film transistor by using helium plasma treatment

    NASA Astrophysics Data System (ADS)

    Jang, Hun; Lee, Su Jeong; Porte, Yoann; Myoung, Jae-Min

    2018-03-01

    In this study, the effects of helium (He) plasma treatment on amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) have been investigated. The He plasma treatment induced a dramatic decrease of the resistivity in a-IGZO thin films from 1.25 × 106 to 5.93 mΩ cm. After 5 min He plasma treatment, the a-IGZO films showed an increase in carrier concentration to 6.70 × 1019 cm-3 combined with a high hall mobility of 15.7 cm2 V-1 s-1. The conductivity improvement was linked to the formation of oxygen vacancies during the He plasma treatment, which was observed by x-ray photoelectron spectroscopy analysis. The a-IGZO films did not appear to be damaged on the surface following the plasma treatment and showed a high transmittance of about 88.3% at a wavelength of 550 nm. The He plasma-treated a-IGZO films were used as source/drain (S/D) electrodes in a-IGZO TFTs. The devices demonstrated promising characteristics, on pair with TFTs using Al electrodes, with a threshold voltage (V T) of -1.97 V, sub-threshold slope (SS) of 0.52 V/decade, saturation mobility (μ sat) of 8.75 cm2 V-1 s-1, and on/off current ratio (I on/I off) of 2.66 × 108.

  4. Temperature Dependence of Field-Effect Mobility in Organic Thin-Film Transistors: Similarity to Inorganic Transistors.

    PubMed

    Okada, Jun; Nagase, Takashi; Kobayashi, Takashi; Naito, Hiroyoshi

    2016-04-01

    Carrier transport in solution-processed organic thin-film transistors (OTFTs) based on dioctylbenzothienobenzothiophene (C8-BTBT) has been investigated in a wide temperature range from 296 to 10 K. The field-effect mobility shows thermally activated behavior whose activation energy becomes smaller with decreasing temperature. The temperature dependence of field-effect mobility found in C8-BTBT is similar to that of others materials: organic semiconducting polymers, amorphous oxide semiconductors and hydrogenated amorphous silicon. These results indicate that hopping transport between isoenergetic localized states becomes dominated in a low temperature regime in these materials.

  5. In situ preparation, electrical and surface analytical characterization of pentacene thin film transistors

    PubMed Central

    Lassnig, R.; Striedinger, B.; Hollerer, M.; Fian, A.; Stadlober, B.; Winkler, A.

    2015-01-01

    The fabrication of organic thin film transistors with highly reproducible characteristics presents a very challenging task. We have prepared and analyzed model pentacene thin film transistors under ultra-high vacuum conditions, employing surface analytical tools and methods. Intentionally contaminating the gold contacts and SiO2 channel area with carbon through repeated adsorption, dissociation, and desorption of pentacene proved to be very advantageous in the creation of devices with stable and reproducible parameters. We mainly focused on the device properties, such as mobility and threshold voltage, as a function of film morphology and preparation temperature. At 300 K, pentacene displays Stranski-Krastanov growth, whereas at 200 K fine-grained, layer-like film growth takes place, which predominantly influences the threshold voltage. Temperature dependent mobility measurements demonstrate good agreement with the established multiple trapping and release model, which in turn indicates a predominant concentration of shallow traps in the crystal grains and at the oxide-semiconductor interface. Mobility and threshold voltage measurements as a function of coverage reveal that up to four full monolayers contribute to the overall charge transport. A significant influence on the effective mobility also stems from the access resistance at the gold contact-semiconductor interface, which is again strongly influenced by the temperature dependent, characteristic film growth mode. PMID:25814770

  6. In situ preparation, electrical and surface analytical characterization of pentacene thin film transistors

    NASA Astrophysics Data System (ADS)

    Lassnig, R.; Striedinger, B.; Hollerer, M.; Fian, A.; Stadlober, B.; Winkler, A.

    2014-09-01

    The fabrication of organic thin film transistors with highly reproducible characteristics presents a very challenging task. We have prepared and analyzed model pentacene thin film transistors under ultra-high vacuum conditions, employing surface analytical tools and methods. Intentionally contaminating the gold contacts and SiO2 channel area with carbon through repeated adsorption, dissociation, and desorption of pentacene proved to be very advantageous in the creation of devices with stable and reproducible parameters. We mainly focused on the device properties, such as mobility and threshold voltage, as a function of film morphology and preparation temperature. At 300 K, pentacene displays Stranski-Krastanov growth, whereas at 200 K fine-grained, layer-like film growth takes place, which predominantly influences the threshold voltage. Temperature dependent mobility measurements demonstrate good agreement with the established multiple trapping and release model, which in turn indicates a predominant concentration of shallow traps in the crystal grains and at the oxide-semiconductor interface. Mobility and threshold voltage measurements as a function of coverage reveal that up to four full monolayers contribute to the overall charge transport. A significant influence on the effective mobility also stems from the access resistance at the gold contact-semiconductor interface, which is again strongly influenced by the temperature dependent, characteristic film growth mode.

  7. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  8. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  9. Fabrication of Vertical Organic Light-Emitting Transistor Using ZnO Thin Film

    NASA Astrophysics Data System (ADS)

    Yamauchi, Hiroshi; Iizuka, Masaaki; Kudo, Kazuhiro

    2007-04-01

    Organic light-emitting diodes (OLEDs) combined with thin film transistor (TFT) are well suitable elements for low-cost, large-area active matrix displays. On the other hand, zinc oxide (ZnO) is a transparent material and its electrical conductivity is controlled from conductive to insulating by growth conditions. The drain current of ZnO FET is 180 μA. The OLED uses ZnO thin film (Al-doped) for the electron injection layer and is controlled by radio frequency (rf) and direct current (dc) sputtering conditions, such as Al concentration and gas pressure. Al concentration in the ZnO film and deposition rate have strong effects on electron injection. Furthermore, the OLED driven by ZnO FET shows a luminance of 13 cd/m2, a luminance efficiency of 0.7 cd/A, and an on-off ratio of 650.

  10. High Performance, Low Temperature Solution-Processed Barium and Strontium Doped Oxide Thin Film Transistors.

    PubMed

    Banger, Kulbinder K; Peterson, Rebecca L; Mori, Kiyotaka; Yamashita, Yoshihisa; Leedham, Timothy; Sirringhaus, Henning

    2014-01-28

    Amorphous mixed metal oxides are emerging as high performance semiconductors for thin film transistor (TFT) applications, with indium gallium zinc oxide, InGaZnO (IGZO), being one of the most widely studied and best performing systems. Here, we investigate alkaline earth (barium or strontium) doped InBa(Sr)ZnO as alternative, semiconducting channel layers and compare their performance of the electrical stress stability with IGZO. In films fabricated by solution-processing from metal alkoxide precursors and annealed to 450 °C we achieve high field-effect electron mobility up to 26 cm 2 V -1 s -1 . We show that it is possible to solution-process these materials at low process temperature (225-200 °C yielding mobilities up to 4.4 cm 2 V -1 s -1 ) and demonstrate a facile "ink-on-demand" process for these materials which utilizes the alcoholysis reaction of alkyl metal precursors to negate the need for complex synthesis and purification protocols. Electrical bias stress measurements which can serve as a figure of merit for performance stability for a TFT device reveal Sr- and Ba-doped semiconductors to exhibit enhanced electrical stability and reduced threshold voltage shift compared to IGZO irrespective of the process temperature and preparation method. This enhancement in stability can be attributed to the higher Gibbs energy of oxidation of barium and strontium compared to gallium.

  11. High Performance, Low Temperature Solution-Processed Barium and Strontium Doped Oxide Thin Film Transistors

    PubMed Central

    2013-01-01

    Amorphous mixed metal oxides are emerging as high performance semiconductors for thin film transistor (TFT) applications, with indium gallium zinc oxide, InGaZnO (IGZO), being one of the most widely studied and best performing systems. Here, we investigate alkaline earth (barium or strontium) doped InBa(Sr)ZnO as alternative, semiconducting channel layers and compare their performance of the electrical stress stability with IGZO. In films fabricated by solution-processing from metal alkoxide precursors and annealed to 450 °C we achieve high field-effect electron mobility up to 26 cm2 V–1 s–1. We show that it is possible to solution-process these materials at low process temperature (225–200 °C yielding mobilities up to 4.4 cm2 V–1 s–1) and demonstrate a facile “ink-on-demand” process for these materials which utilizes the alcoholysis reaction of alkyl metal precursors to negate the need for complex synthesis and purification protocols. Electrical bias stress measurements which can serve as a figure of merit for performance stability for a TFT device reveal Sr- and Ba-doped semiconductors to exhibit enhanced electrical stability and reduced threshold voltage shift compared to IGZO irrespective of the process temperature and preparation method. This enhancement in stability can be attributed to the higher Gibbs energy of oxidation of barium and strontium compared to gallium. PMID:24511184

  12. Fabrication and Characterization of Fully Transparent ZnO Thin-Film Transistors and Self-Switching Nano-Diodes

    NASA Astrophysics Data System (ADS)

    Sun, Y.; Ashida, K.; Sasaki, S.; Koyama, M.; Maemoto, T.; Sasa, S.; Kasai, S.; Iñiguez-de-la-Torre, I.; González, T.

    2015-10-01

    Fully transparent zinc oxide (ZnO) based thin-film transistors (TFTs) and a new type of rectifiers calls self-switching nano-diodes (SSDs) were fabricated on glass substrates at room temperature by using low resistivity and transparent conducting Al- doped ZnO (AZO) thin-films. The deposition conditions of AZO thin-films were optimized with pulsed laser deposition (PLD). AZO thin-films on glass substrates were characterized and the transparency of 80% and resistivity with 1.6*10-3 Ωcm were obtained of 50 nm thickness. Transparent ZnO-TFTs were fabricated on glass substrates by using AZO thin-films as electrodes. A ZnO-TFT with 2 μm long gate device exhibits a transconductance of 400 μS/mm and an ON/OFF ratio of 2.8*107. Transparent ZnO-SSDs were also fabricated by using ZnO based materials and clear diode-like characteristics were observed.

  13. Metal Induced Growth of Si Thin Films and NiSi Nanowires

    DTIC Science & Technology

    2010-02-25

    Zinc Oxide Over MIG Silicon- We have been studying the formation of ZnO films by RF sputtering. Part of this study deals with...about 50 nm. 15. SUBJECT TERMS Thin film silicon, solar cells, thin film transistors , nanowires, metal induced growth 16. SECURITY CLASSIFICATION...to achieve, µc-Si is more desirable than a-Si due to its increased mobility. Thin film µc-Si is also a popular material for thin film transistors

  14. Subthreshold Schottky-barrier thin-film transistors with ultralow power and high intrinsic gain

    NASA Astrophysics Data System (ADS)

    Lee, Sungsik; Nathan, Arokia

    2016-10-01

    The quest for low power becomes highly compelling in newly emerging application areas related to wearable devices in the Internet of Things. Here, we report on a Schottky-barrier indium-gallium-zinc-oxide thin-film transistor operating in the deep subthreshold regime (i.e., near the OFF state) at low supply voltages (<1 volt) and ultralow power (<1 nanowatt). By using a Schottky-barrier at the source and drain contacts, the current-voltage characteristics of the transistor were virtually channel-length independent with an infinite output resistance. It exhibited high intrinsic gain (>400) that was both bias and geometry independent. The transistor reported here is useful for sensor interface circuits in wearable devices where high current sensitivity and ultralow power are vital for battery-less operation.

  15. Silicone substrate with in situ strain relief for stretchable thin-film transistors

    NASA Astrophysics Data System (ADS)

    Graz, Ingrid M.; Cotton, Darryl P. J.; Robinson, Adam; Lacour, Stéphanie P.

    2011-03-01

    We have manufactured stretchable thin-film transistors and interconnects directly onto an engineered silicone matrix with localized and graded mechanical compliance. The fabrication only involves planar and standard processing. Brittle active device materials are patterned on non deformable elastomer regions (strain <1% at all times) while interconnects run smoothly from "stiff" to "soft" elastomer. Pentacene thin-film transistors sustain applied strain up to 13% without electrical degradation and mechanical fracture. This integrated approach opens promising options for the manufacture of physically adaptable and transformable circuitry.

  16. Defect-induced instability mechanisms of sputtered amorphous indium tin zinc oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Park, Jinhee; Rim, You Seung; Li, Chao; Wu, Jiechen; Goorsky, Mark; Streit, Dwight

    2018-04-01

    We report the device performance and stability of sputtered amorphous indium-tin-zinc-oxide (ITZO) thin-film transistors as a function of oxygen ratio [O2/(Ar + O2)] during growth. Increasing the oxygen ratio enhanced the incorporation of oxygen during ITZO film growth and reduced the concentration of deep-level defects associated with oxygen vacancies. Under illumination with no bias stress, device stability and persistent photocurrent were improved with increased oxygen ratio. Bias stress tests of the devices were also performed with and without illumination. While high oxygen ratio growth conditions resulted in decreased deep-level oxygen vacancies in the ITZO material, the same conditions resulted in degradation of the interfacial layer between the ITZO channel and dielectric due to the migration of energetic oxygen ions to the interface. Therefore, when bias stress was applied, increased carrier trap density at the interface led to a decrease in device stability that offsets any improvement in the material itself. In order to take advantage of the improved ITZO material growth at a high oxygen ratio, the interface-related problems must be solved.

  17. Optimization of pulsed laser deposited ZnO thin-film growth parameters for thin-film transistors (TFT) application

    NASA Astrophysics Data System (ADS)

    Gupta, Manisha; Chowdhury, Fatema Rezwana; Barlage, Douglas; Tsui, Ying Yin

    2013-03-01

    In this work we present the optimization of zinc oxide (ZnO) film properties for a thin-film transistor (TFT) application. Thin films, 50±10 nm, of ZnO were deposited by Pulsed Laser Deposition (PLD) under a variety of growth conditions. The oxygen pressure, laser fluence, substrate temperature and annealing conditions were varied as a part of this study. Mobility and carrier concentration were the focus of the optimization. While room-temperature ZnO growths followed by air and oxygen annealing showed improvement in the (002) phase formation with a carrier concentration in the order of 1017-1018/cm3 with low mobility in the range of 0.01-0.1 cm2/V s, a Hall mobility of 8 cm2/V s and a carrier concentration of 5×1014/cm3 have been achieved on a relatively low temperature growth (250 °C) of ZnO. The low carrier concentration indicates that the number of defects have been reduced by a magnitude of nearly a 1000 as compared to the room-temperature annealed growths. Also, it was very clearly seen that for the (002) oriented films of ZnO a high mobility film is achieved.

  18. Liquid crystals for organic thin-film transistors

    PubMed Central

    Iino, Hiroaki; Usui, Takayuki; Hanna, Jun-ichi

    2015-01-01

    Crystalline thin films of organic semiconductors are a good candidate for field effect transistor (FET) materials in printed electronics. However, there are currently two main problems, which are associated with inhomogeneity and poor thermal durability of these films. Here we report that liquid crystalline materials exhibiting a highly ordered liquid crystal phase of smectic E (SmE) can solve both these problems. We design a SmE liquid crystalline material, 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-10), for FETs and synthesize it. This material provides uniform and molecularly flat polycrystalline thin films reproducibly when SmE precursor thin films are crystallized, and also exhibits high durability of films up to 200 °C. In addition, the mobility of FETs is dramatically enhanced by about one order of magnitude (over 10 cm2 V−1 s−1) after thermal annealing at 120 °C in bottom-gate-bottom-contact FETs. We anticipate the use of SmE liquid crystals in solution-processed FETs may help overcome upcoming difficulties with novel technologies for printed electronics. PMID:25857435

  19. Liquid crystals for organic thin-film transistors.

    PubMed

    Iino, Hiroaki; Usui, Takayuki; Hanna, Jun-ichi

    2015-04-10

    Crystalline thin films of organic semiconductors are a good candidate for field effect transistor (FET) materials in printed electronics. However, there are currently two main problems, which are associated with inhomogeneity and poor thermal durability of these films. Here we report that liquid crystalline materials exhibiting a highly ordered liquid crystal phase of smectic E (SmE) can solve both these problems. We design a SmE liquid crystalline material, 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-10), for FETs and synthesize it. This material provides uniform and molecularly flat polycrystalline thin films reproducibly when SmE precursor thin films are crystallized, and also exhibits high durability of films up to 200 °C. In addition, the mobility of FETs is dramatically enhanced by about one order of magnitude (over 10 cm(2) V(-1) s(-1)) after thermal annealing at 120 °C in bottom-gate-bottom-contact FETs. We anticipate the use of SmE liquid crystals in solution-processed FETs may help overcome upcoming difficulties with novel technologies for printed electronics.

  20. Determination of intrinsic mobility of a bilayer oxide thin-film transistor by pulsed I-V method

    NASA Astrophysics Data System (ADS)

    Woo, Hyunsuk; Kim, Taeho; Hur, Jihyun; Jeon, Sanghun

    2017-04-01

    Amorphous oxide semiconductor thin-film transistors (TFT) have been considered as outstanding switch devices owing to their high mobility. However, because of their amorphous channel material with a certain level of density of states, a fast transient charging effect in an oxide TFT occurs, leading to an underestimation of the mobility value. In this paper, the effects of the fast charging of high-performance bilayer oxide semiconductor TFTs on mobility are examined in order to determine an accurate mobility extraction method. In addition, an approach based on a pulse I D -V G measurement method is proposed to determine the intrinsic mobility value. Even with the short pulse I D -V G measurement, a certain level of fast transient charge trapping cannot be avoided as long as the charge-trap start time is shorter than the pulse rising time. Using a pulse-amplitude-dependent threshold voltage characterization method, we estimated a correction factor for the apparent mobility, thus allowing us to determine the intrinsic mobility.

  1. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  2. Development of p-type oxide semiconductors based on tin oxide and its alloys: application to thin film transistors

    NASA Astrophysics Data System (ADS)

    Barros, Ana Raquel Xarouco de

    In spite of the recent p-type oxide TFTs developments based on SnOx and CuxO, the results achieved so far refer to devices processed at high temperatures and are limited by a low hole mobility and a low On-Off ratio and still there is no report on p-type oxide TFTs with performance similar to n-type, especially when comparing their field-effect mobility values, which are at least one order of magnitude higher on n-type oxide TFTs. Achieving high performance p-type oxide TFTs will definitely promote a new era for electronics in rigid and flexible substrates, away from silicon. None of the few reported p-channel oxide TFTs is suitable for practical applications, which demand significant improvements in the device engineering to meet the real-world electronic requirements, where low processing temperatures together with high mobility and high On-Off ratio are required for TFT and CMOS applications. The present thesis focuses on the study and optimization of p-type thin film transistors based on oxide semiconductors deposited by r.f. magnetron sputtering without intentional substrate heating. In this work several p-type oxide semiconductors were studied and optimized based on undoped tin oxide, Cu-doped SnOx and In-doped SnO2.

  3. Method for formation of thin film transistors on plastic substrates

    DOEpatents

    Carey, Paul G.; Smith, Patrick M.; Sigmon, Thomas W.; Aceves, Randy C.

    1998-10-06

    A process for formation of thin film transistors (TFTs) on plastic substrates replaces standard thin film transistor fabrication techniques, and uses sufficiently lower processing temperatures so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The process relies on techniques for depositing semiconductors, dielectrics, and metals at low temperatures; crystallizing and doping semiconductor layers in the TFT with a pulsed energy source; and creating top-gate self-aligned as well as back-gate TFT structures. The process enables the fabrication of amorphous and polycrystalline channel silicon TFTs at temperatures sufficiently low to prevent damage to plastic substrates. The process has use in large area low cost electronics, such as flat panel displays and portable electronics.

  4. Method for formation of thin film transistors on plastic substrates

    DOEpatents

    Carey, P.G.; Smith, P.M.; Sigmon, T.W.; Aceves, R.C.

    1998-10-06

    A process for formation of thin film transistors (TFTs) on plastic substrates replaces standard thin film transistor fabrication techniques, and uses sufficiently lower processing temperatures so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The process relies on techniques for depositing semiconductors, dielectrics, and metals at low temperatures; crystallizing and doping semiconductor layers in the TFT with a pulsed energy source; and creating top-gate self-aligned as well as back-gate TFT structures. The process enables the fabrication of amorphous and polycrystalline channel silicon TFTs at temperatures sufficiently low to prevent damage to plastic substrates. The process has use in large area low cost electronics, such as flat panel displays and portable electronics. 5 figs.

  5. Low-temperature, solution-processed ZrO2:B thin film: a bifunctional inorganic/organic interfacial glue for flexible thin-film transistors.

    PubMed

    Park, Jee Ho; Oh, Jin Young; Han, Sun Woong; Lee, Tae Il; Baik, Hong Koo

    2015-03-04

    A solution-processed boron-doped peroxo-zirconium oxide (ZrO2:B) thin film has been found to have multifunctional characteristics, providing both hydrophobic surface modification and a chemical glue layer. Specifically, a ZrO2:B thin film deposited on a hydrophobic layer becomes superhydrophilic following ultraviolet-ozone (UVO) treatment, whereas the same treatment has no effect on the hydrophobicity of the hydrophobic layer alone. Investigation of the ZrO2:B/hydrophobic interface layer using angle-resolved X-ray photoelectron spectroscopy (AR XPS) confirmed it to be chemically bonded like glue. Using the multifunctional nature of the ZrO2:B thin film, flexible amorphous indium oxide (In2O3) thin-film transistors (TFTs) were subsequently fabricated on a polyimide substrate along with a ZrO2:B/poly-4-vinylphenol (PVP) dielectric. An aqueous In2O3 solution was successfully coated onto the ZrO2:B/PVP dielectric, and the surface and chemical properties of the PVP and ZrO2:B thin films were analyzed by contact angle measurement, atomic force microscopy (AFM), Fourier transform infrared (FT-IR) spectroscopy, and X-ray photoelectron spectroscopy (XPS). The surface-engineered PVP dielectric was found to have a lower leakage current density (Jleak) of 4.38 × 10(-8) A/cm(2) at 1 MV/cm, with no breakdown behavior observed up to a bending radius of 5 mm. In contrast, the electrical characteristics of the flexible amorphous In2O3 TFT such as on/off current ratio (Ion/off) and electron mobility remained similar up to 10 mm of bending without degradation, with the device being nonactivated at a bending radius of 5 mm. These results suggest that ZrO2:B thin films could be used for low-temperature, solution-processed surface-modified flexible devices.

  6. Neuromorphic transistor achieved by redox reaction of WO3 thin film

    NASA Astrophysics Data System (ADS)

    Tsuchiya, Takashi; Jayabalan, Manikandan; Kawamura, Kinya; Takayanagi, Makoto; Higuchi, Tohru; Jayavel, Ramasamy; Terabe, Kazuya

    2018-04-01

    An all-solid-state neuromorphic transistor composed of a WO3 thin film and a proton-conducting electrolyte was fabricated for application to next-generation information and communication technology including artificial neural networks. The drain current exhibited a 4-order-of-magnitude increment by redox reaction of the WO3 thin film owing to proton migration. Learning and forgetting characteristics were well tuned by the gate control of WO3 redox reactions owing to the separation of the current reading path and pulse application path in the transistor structure. This technique should lead to the development of versatile and low-power-consumption neuromorphic devices.

  7. Bistability in Doped Organic Thin Film Transistors (Preprint)

    DTIC Science & Technology

    2007-03-01

    small molecules (e.g. pentacene ). As such, they do not necessarily compete with these more typical organic transistors, but rather have pertinence...involves dipping a substrate between two dilute polyelectrolyte solutions of opposite charge to build up a thin film via the electrostatic interactions...recovery is due to trapped O2(g) remaining in the film, which causes the reverse of reaction (1) to occur and the concomitant increase in the level of

  8. Effect of Hydrogen in Zinc Oxide Thin-Film Transistor Grown by Metal Organic Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik

    2007-04-01

    We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.

  9. Metal-induced crystallization of amorphous zinc tin oxide semiconductors for high mobility thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hwang, Ah Young; Ji, Hyuk; Kim, Sang Tae

    2016-04-11

    Transition tantalum induced crystallization of amorphous zinc tin oxide (a-ZTO) was observed at low temperature annealing of 300 °C. Thin-film transistors (TFTs) with an a-ZTO channel layer exhibited a reasonable field-effect mobility of 12.4 cm{sup 2}/V s, subthreshold swing (SS) of 0.39 V/decade, threshold voltage (V{sub TH}) of 1.5 V, and I{sub ON/OFF} ratio of ∼10{sup 7}. A significant improvement in the field-effect mobility (up to ∼33.5 cm{sup 2}/V s) was achieved for crystallized ZTO TFTs: this improvement was accomplished without compromising the SS, V{sub TH}, or I{sub ON/OFF} ratio due to the presence of a highly ordered microstructure.

  10. Ambipolar SnOx thin-film transistors achieved at high sputtering power

    NASA Astrophysics Data System (ADS)

    Li, Yunpeng; Yang, Jia; Qu, Yunxiu; Zhang, Jiawei; Zhou, Li; Yang, Zaixing; Lin, Zhaojun; Wang, Qingpu; Song, Aimin; Xin, Qian

    2018-04-01

    SnO is the only oxide semiconductor to date that has exhibited ambipolar behavior in thin-film transistors (TFTs). In this work, ambipolar behavior was observed in SnOx TFTs fabricated at a high sputtering power of 200 W and post-annealed at 150-250 °C in ambient air. X-ray-diffraction patterns showed polycrystallisation of SnO and Sn in the annealed SnOx films. Scanning-electron-microscopy images revealed that microgrooves appeared after the films were annealed. Clusters subsequently segregated along the microgrooves, and our experiments suggest that they were most likely Sn clusters. Atomic force microscopy images indicate an abrupt increase in film roughness due to the cluster segregations. An important implication of this work is that excess Sn in the film, which has generally been thought to be detrimental to the film quality, may promote the ambipolar conduction when it is segregated from the film to enhance the stoichiometric balance.

  11. Rare-metal-free high-performance Ga-Sn-O thin film transistor

    NASA Astrophysics Data System (ADS)

    Matsuda, Tokiyoshi; Umeda, Kenta; Kato, Yuta; Nishimoto, Daiki; Furuta, Mamoru; Kimura, Mutsumi

    2017-03-01

    Oxide semiconductors have been investigated as channel layers for thin film transistors (TFTs) which enable next-generation devices such as high-resolution liquid crystal displays (LCDs), organic light emitting diode (OLED) displays, flexible electronics, and innovative devices. Here, high-performance and stable Ga-Sn-O (GTO) TFTs were demonstrated for the first time without the use of rare metals such as In. The GTO thin films were deposited using radiofrequency (RF) magnetron sputtering. A high field effect mobility of 25.6 cm2/Vs was achieved, because the orbital structure of Sn was similar to that of In. The stability of the GTO TFTs was examined under bias, temperature, and light illumination conditions. The electrical behaviour of the GTO TFTs was more stable than that of In-Ga-Zn-O (IGZO) TFTs, which was attributed to the elimination of weak Zn-O bonds.

  12. Rare-metal-free high-performance Ga-Sn-O thin film transistor

    PubMed Central

    Matsuda, Tokiyoshi; Umeda, Kenta; Kato, Yuta; Nishimoto, Daiki; Furuta, Mamoru; Kimura, Mutsumi

    2017-01-01

    Oxide semiconductors have been investigated as channel layers for thin film transistors (TFTs) which enable next-generation devices such as high-resolution liquid crystal displays (LCDs), organic light emitting diode (OLED) displays, flexible electronics, and innovative devices. Here, high-performance and stable Ga-Sn-O (GTO) TFTs were demonstrated for the first time without the use of rare metals such as In. The GTO thin films were deposited using radiofrequency (RF) magnetron sputtering. A high field effect mobility of 25.6 cm2/Vs was achieved, because the orbital structure of Sn was similar to that of In. The stability of the GTO TFTs was examined under bias, temperature, and light illumination conditions. The electrical behaviour of the GTO TFTs was more stable than that of In-Ga-Zn-O (IGZO) TFTs, which was attributed to the elimination of weak Zn-O bonds. PMID:28290547

  13. Rare-metal-free high-performance Ga-Sn-O thin film transistor.

    PubMed

    Matsuda, Tokiyoshi; Umeda, Kenta; Kato, Yuta; Nishimoto, Daiki; Furuta, Mamoru; Kimura, Mutsumi

    2017-03-14

    Oxide semiconductors have been investigated as channel layers for thin film transistors (TFTs) which enable next-generation devices such as high-resolution liquid crystal displays (LCDs), organic light emitting diode (OLED) displays, flexible electronics, and innovative devices. Here, high-performance and stable Ga-Sn-O (GTO) TFTs were demonstrated for the first time without the use of rare metals such as In. The GTO thin films were deposited using radiofrequency (RF) magnetron sputtering. A high field effect mobility of 25.6 cm 2 /Vs was achieved, because the orbital structure of Sn was similar to that of In. The stability of the GTO TFTs was examined under bias, temperature, and light illumination conditions. The electrical behaviour of the GTO TFTs was more stable than that of In-Ga-Zn-O (IGZO) TFTs, which was attributed to the elimination of weak Zn-O bonds.

  14. A crystalline germanium flexible thin-film transistor

    NASA Astrophysics Data System (ADS)

    Higashi, H.; Nakano, M.; Kudo, K.; Fujita, Y.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2017-11-01

    We experimentally demonstrate a flexible thin-film transistor (TFT) with (111)-oriented crystalline germanium (Ge) layers grown by a gold-induced crystallization method. Accumulation-mode metal source/drain p-channel Ge TFTs are fabricated on a polyimide film at ≤ 400 ° C . A field-effect mobility (μFE) of 10.7 cm2/Vs is obtained, meaning the highest μFE in the p-TFTs fabricated at ≤ 400 ° C on flexible plastic substrates. This study will lead to high-performance flexible electronics based on an inorganic-semiconductor channel.

  15. Wavy Architecture Thin-Film Transistor for Ultrahigh Resolution Flexible Displays.

    PubMed

    Hanna, Amir Nabil; Kutbee, Arwa Talal; Subedi, Ram Chandra; Ooi, Boon; Hussain, Muhammad Mustafa

    2018-01-01

    A novel wavy-shaped thin-film-transistor (TFT) architecture, capable of achieving 70% higher drive current per unit chip area when compared with planar conventional TFT architectures, is reported for flexible display application. The transistor, due to its atypical architecture, does not alter the turn-on voltage or the OFF current values, leading to higher performance without compromising static power consumption. The concept behind this architecture is expanding the transistor's width vertically through grooved trenches in a structural layer deposited on a flexible substrate. Operation of zinc oxide (ZnO)-based TFTs is shown down to a bending radius of 5 mm with no degradation in the electrical performance or cracks in the gate stack. Finally, flexible low-power LEDs driven by the respective currents of the novel wavy, and conventional coplanar architectures are demonstrated, where the novel architecture is able to drive the LED at 2 × the output power, 3 versus 1.5 mW, which demonstrates the potential use for ultrahigh resolution displays in an area efficient manner. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Method of fabrication of display pixels driven by silicon thin film transistors

    DOEpatents

    Carey, Paul G.; Smith, Patrick M.

    1999-01-01

    Display pixels driven by silicon thin film transistors are fabricated on plastic substrates for use in active matrix displays, such as flat panel displays. The process for forming the pixels involves a prior method for forming individual silicon thin film transistors on low-temperature plastic substrates. Low-temperature substrates are generally considered as being incapable of withstanding sustained processing temperatures greater than about 200.degree. C. The pixel formation process results in a complete pixel and active matrix pixel array. A pixel (or picture element) in an active matrix display consists of a silicon thin film transistor (TFT) and a large electrode, which may control a liquid crystal light valve, an emissive material (such as a light emitting diode or LED), or some other light emitting or attenuating material. The pixels can be connected in arrays wherein rows of pixels contain common gate electrodes and columns of pixels contain common drain electrodes. The source electrode of each pixel TFT is connected to its pixel electrode, and is electrically isolated from every other circuit element in the pixel array.

  17. Fabrication of high-performance InGaZnOx thin film transistors based on control of oxidation using a low-temperature plasma

    NASA Astrophysics Data System (ADS)

    Takenaka, Kosuke; Endo, Masashi; Uchida, Giichiro; Setsuhara, Yuichi

    2018-04-01

    This work demonstrated the low-temperature control of the oxidation of Amorphous InGaZnOx (a-IGZO) films using inductively coupled plasma as a means of precisely tuning the properties of thin film transistors (TFTs) and as an alternative to post-deposition annealing at high temperatures. The effects of the plasma treatment of the as-deposited a-IGZO films were investigated by assessing the electrical properties of TFTs incorporating these films. A TFT fabricated using an a-IGZO film exposed to an Ar-H2-O2 plasma at substrate temperatures as low as 300 °C exhibited the best performance, with a field effect mobility as high as 42.2 cm2 V-1 s-1, a subthreshold gate voltage swing of 1.2 V decade-1, and a threshold voltage of 2.8 V. The improved transfer characteristics of TFTs fabricated with a-IGZO thin films treated using an Ar-H2-O2 plasma are attributed to the termination of oxygen vacancies around Ga and Zn atoms by OH radicals in the gas phase.

  18. Effective enhancement of hydrophilicity of solution indium zinc oxide-based thin-film transistors by oxygen plasma treatment of deposition layer surface

    NASA Astrophysics Data System (ADS)

    You, Hsin-Chiang; Wang, Yu-Chih

    2016-06-01

    In this paper, we describe the use of a simple and efficient sol-gel solution method for synthesizing indium zinc oxide (IZO) films for use as semiconductor channel layers in thin-film transistors (TFTs) on p-type silicon substrates. The performance of IZO-based TFTs was investigated, and the effect of oxygen plasma treatment on the surface of dielectric SiN x was observed. Oxygen plasma treatment effectively enhanced the electron mobility in IZO-based TFT devices from 0.005 to 1.56 cm2 V-1 s-1, an increase of more than 312 times, and effectively enhanced device performance. X-ray photoelectron spectroscopy analysis of the IZO film was performed to clarify element bonding.

  19. Surface modification of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors.

    PubMed

    Jang, Kwang-Suk; Wee, Duyoung; Kim, Yun Ho; Kim, Jinsoo; Ahn, Taek; Ka, Jae-Won; Yi, Mi Hye

    2013-06-11

    We report a simple approach to modify the surface of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors. It is expected that the yttrium oxide interlayer will provide a surface that is more chemically compatible with the ZnO semiconductor than is bare polyimde. The field-effect mobility and the on/off current ratio of the ZnO TFT with the YOx/polyimide gate insulator were 0.456 cm(2)/V·s and 2.12 × 10(6), respectively, whereas the ZnO TFT with the polyimide gate insulator was inactive.

  20. Balancing Hole and Electron Conduction in Ambipolar Split-Gate Thin-Film Transistors.

    PubMed

    Yoo, Hocheon; Ghittorelli, Matteo; Lee, Dong-Kyu; Smits, Edsger C P; Gelinck, Gerwin H; Ahn, Hyungju; Lee, Han-Koo; Torricelli, Fabrizio; Kim, Jae-Joon

    2017-07-10

    Complementary organic electronics is a key enabling technology for the development of new applications including smart ubiquitous sensors, wearable electronics, and healthcare devices. High-performance, high-functionality and reliable complementary circuits require n- and p-type thin-film transistors with balanced characteristics. Recent advancements in ambipolar organic transistors in terms of semiconductor and device engineering demonstrate the great potential of this route but, unfortunately, the actual development of ambipolar organic complementary electronics is currently hampered by the uneven electron (n-type) and hole (p-type) conduction in ambipolar organic transistors. Here we show ambipolar organic thin-film transistors with balanced n-type and p-type operation. By manipulating air exposure and vacuum annealing conditions, we show that well-balanced electron and hole transport properties can be easily obtained. The method is used to control hole and electron conductions in split-gate transistors based on a solution-processed donor-acceptor semiconducting polymer. Complementary logic inverters with balanced charging and discharging characteristics are demonstrated. These findings may open up new opportunities for the rational design of complementary electronics based on ambipolar organic transistors.

  1. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric

    PubMed Central

    Fujii, Mami N.; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-01-01

    The use of indium–gallium–zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic–inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic–inorganic hybrid devices. PMID:26677773

  2. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    PubMed

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  3. Oxide Semiconductor-Based Flexible Organic/Inorganic Hybrid Thin-Film Transistors Fabricated on Polydimethylsiloxane Elastomer.

    PubMed

    Jung, Soon-Won; Choi, Jeong-Seon; Park, Jung Ho; Koo, Jae Bon; Park, Chan Woo; Na, Bock Soon; Oh, Ji-Young; Lim, Sang Chul; Lee, Sang Seok; Chu, Hye Yong

    2016-03-01

    We demonstrate flexible organic/inorganic hybrid thin-film transistors (TFTs) on a polydimethysilox- ane (PDMS) elastomer substrate. The active channel and gate insulator of the hybrid TFT are composed of In-Ga-Zn-O (IGZO) and blends of poly(vinylidene fluoride-trifluoroethylene) [P(VDF- TrFE)] with poly(methyl methacrylate) (PMMA), respectively. It has been confirmed that the fabri- cated TFT display excellent characteristics: the recorded field-effect mobility, sub-threshold voltage swing, and I(on)/I(off) ratio were approximately 0.35 cm2 V(-1) s(-1), 1.5 V/decade, and 10(4), respectively. These characteristics did not experience any degradation at a bending radius of 15 mm. These results correspond to the first demonstration of a hybrid-type TFT using an organic gate insulator/oxide semiconducting active channel structure fabricated on PDMS elastomer, and demonstrate the feasibility of a promising device in a flexible electronic system.

  4. Amorphous indium-tin-zinc oxide films deposited by magnetron sputtering with various reactive gases: Spatial distribution of thin film transistor performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Junjun; Torigoshi, Yoshifumi; Shigesato, Yuzo, E-mail: yuzo@chem.aoyama.ac.jp

    This work presents the spatial distribution of electrical characteristics of amorphous indium-tin-zinc oxide film (a-ITZO), and how they depend on the magnetron sputtering conditions using O{sub 2}, H{sub 2}O, and N{sub 2}O as the reactive gases. Experimental results show that the electrical properties of the N{sub 2}O incorporated a-ITZO film has a weak dependence on the deposition location, which cannot be explained by the bombardment effect of high energy particles, and may be attributed to the difference in the spatial distribution of both the amount and the activity of the reactive gas reaching the substrate surface. The measurement for themore » performance of a-ITZO thin film transistor (TFT) also suggests that the electrical performance and device uniformity of a-ITZO TFTs can be improved significantly by the N{sub 2}O introduction into the deposition process, where the field mobility reach to 30.8 cm{sup 2} V{sup –1} s{sup –1}, which is approximately two times higher than that of the amorphous indium-gallium-zinc oxide TFT.« less

  5. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  6. Screen printing as a scalable and low-cost approach for rigid and flexible thin-film transistors using separated carbon nanotubes.

    PubMed

    Cao, Xuan; Chen, Haitian; Gu, Xiaofei; Liu, Bilu; Wang, Wenli; Cao, Yu; Wu, Fanqi; Zhou, Chongwu

    2014-12-23

    Semiconducting single-wall carbon nanotubes are very promising materials in printed electronics due to their excellent mechanical and electrical property, outstanding printability, and great potential for flexible electronics. Nonetheless, developing scalable and low-cost approaches for manufacturing fully printed high-performance single-wall carbon nanotube thin-film transistors remains a major challenge. Here we report that screen printing, which is a simple, scalable, and cost-effective technique, can be used to produce both rigid and flexible thin-film transistors using separated single-wall carbon nanotubes. Our fully printed top-gated nanotube thin-film transistors on rigid and flexible substrates exhibit decent performance, with mobility up to 7.67 cm2 V(-1) s(-1), on/off ratio of 10(4)∼10(5), minimal hysteresis, and low operation voltage (<10 V). In addition, outstanding mechanical flexibility of printed nanotube thin-film transistors (bent with radius of curvature down to 3 mm) and driving capability for organic light-emitting diode have been demonstrated. Given the high performance of the fully screen-printed single-wall carbon nanotube thin-film transistors, we believe screen printing stands as a low-cost, scalable, and reliable approach to manufacture high-performance nanotube thin-film transistors for application in display electronics. Moreover, this technique may be used to fabricate thin-film transistors based on other materials for large-area flexible macroelectronics, and low-cost display electronics.

  7. Flexible thin-film transistors on plastic substrate at room temperature.

    PubMed

    Han, Dedong; Wang, Wei; Cai, Jian; Wang, Liangliang; Ren, Yicheng; Wang, Yi; Zhang, Shengdong

    2013-07-01

    We have fabricated flexible thin-film transistors (TFTs) on plastic substrates using Aluminum-doped ZnO (AZO) as an active channel layer at room temperature. The AZO-TFTs showed n-channel device characteristics and operated in enhancement mode. The device shows a threshold voltage of 1.3 V, an on/off ratio of 2.7 x 10(7), a field effect mobility of 21.3 cm2/V x s, a subthreshold swing of 0.23 V/decade, and the off current of less than 10(-12) A at room temperature. Recently, the flexible displays have become a very hot topic. Flexible thin film transistors are key devices for realizing flexible displays. We have investigated AZO-TFT on flexible plastic substrate, and high performance flexible TFTs have been obtained.

  8. Analytical drain current model for symmetric dual-gate amorphous indium gallium zinc oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Qin, Ting; Liao, Congwei; Huang, Shengxiang; Yu, Tianbao; Deng, Lianwen

    2018-01-01

    An analytical drain current model based on the surface potential is proposed for amorphous indium gallium zinc oxide (a-InGaZnO) thin-film transistors (TFTs) with a synchronized symmetric dual-gate (DG) structure. Solving the electric field, surface potential (φS), and central potential (φ0) of the InGaZnO film using the Poisson equation with the Gaussian method and Lambert function is demonstrated in detail. The compact analytical model of current-voltage behavior, which consists of drift and diffusion components, is investigated by regional integration, and voltage-dependent effective mobility is taken into account. Comparison results demonstrate that the calculation results obtained using the derived models match well with the simulation results obtained using a technology computer-aided design (TCAD) tool. Furthermore, the proposed model is incorporated into SPICE simulations using Verilog-A to verify the feasibility of using DG InGaZnO TFTs for high-performance circuit designs.

  9. Organic-inorganic hybrid materials as semiconducting channels in thin-film field-effect transistors

    PubMed

    Kagan; Mitzi; Dimitrakopoulos

    1999-10-29

    Organic-inorganic hybrid materials promise both the superior carrier mobility of inorganic semiconductors and the processability of organic materials. A thin-film field-effect transistor having an organic-inorganic hybrid material as the semiconducting channel was demonstrated. Hybrids based on the perovskite structure crystallize from solution to form oriented molecular-scale composites of alternating organic and inorganic sheets. Spin-coated thin films of the semiconducting perovskite (C(6)H(5)C(2)H(4)NH(3))(2)SnI(4) form the conducting channel, with field-effect mobilities of 0.6 square centimeters per volt-second and current modulation greater than 10(4). Molecular engineering of the organic and inorganic components of the hybrids is expected to further improve device performance for low-cost thin-film transistors.

  10. Synergistic effects of water addition and step heating on the formation of solution-processed zinc tin oxide thin films: towards high-mobility polycrystalline transistors

    NASA Astrophysics Data System (ADS)

    Huang, Genmao; Duan, Lian; Zhao, Yunlong; Zhang, Yunge; Dong, Guifang; Zhang, Deqiang; Qiu, Yong

    2016-11-01

    Thin-film transistors (TFTs) with high mobility and good uniformity are attractive for next-generation flat panel displays. In this work, solution-processed polycrystalline zinc tin oxide (ZTO) thin film with well-ordered microstructure is prepared, thanks to the synergistic effect of water addition and step heating. The step heating treatment other than direct annealing induces crystallization, while adequate water added to precursor solution further facilitates alloying and densification process. The optimal polycrystalline ZTO film is free of hierarchical sublayers, and featured with an increased amount of ternary phases, as well as a decreased fraction of oxygen vacancies and hydroxides. TFT devices based on such an active layer exhibit a remarkable field-effect mobility of 52.5 cm2 V-1 s-1, a current on/off ratio of 2 × 105, a threshold voltage of 2.32 V, and a subthreshold swing of 0.36 V dec-1. Our work offers a facile method towards high-performance solution-processed polycrystalline metal oxide TFTs.

  11. Subthreshold Schottky-barrier thin-film transistors with ultralow power and high intrinsic gain.

    PubMed

    Lee, Sungsik; Nathan, Arokia

    2016-10-21

    The quest for low power becomes highly compelling in newly emerging application areas related to wearable devices in the Internet of Things. Here, we report on a Schottky-barrier indium-gallium-zinc-oxide thin-film transistor operating in the deep subthreshold regime (i.e., near the OFF state) at low supply voltages (<1 volt) and ultralow power (<1 nanowatt). By using a Schottky-barrier at the source and drain contacts, the current-voltage characteristics of the transistor were virtually channel-length independent with an infinite output resistance. It exhibited high intrinsic gain (>400) that was both bias and geometry independent. The transistor reported here is useful for sensor interface circuits in wearable devices where high current sensitivity and ultralow power are vital for battery-less operation. Copyright © 2016, American Association for the Advancement of Science.

  12. Thermal oxidation of silicon in a residual oxygen atmosphere—the RESOX process—for self-limiting growth of thin silicon dioxide films

    NASA Astrophysics Data System (ADS)

    Wright, Jason T.; Carbaugh, Daniel J.; Haggerty, Morgan E.; Richard, Andrea L.; Ingram, David C.; Kaya, Savas; Jadwisienczak, Wojciech M.; Rahman, Faiz

    2016-10-01

    We describe in detail the growth procedures and properties of thermal silicon dioxide grown in a limited and dilute oxygen atmosphere. Thin thermal oxide films have become increasingly important in recent years due to the continuing down-scaling of ultra large scale integration metal oxide silicon field effect transistors. Such films are also of importance for organic transistors where back-gating is needed. The technique described here is novel and allows self-limited formation of high quality thin oxide films on silicon surfaces. This technique is easy to implement in both research laboratory and industrial settings. Growth conditions and their effects on film growth have been described. Properties of the resulting oxide films, relevant for microelectronic device applications, have also been investigated and reported here. Overall, our findings are that thin, high quality, dense silicon dioxide films of thicknesses up to 100 nm can be easily grown in a depleted oxygen environment at temperatures similar to that used for usual silicon dioxide thermal growth in flowing dry oxygen.

  13. Direct measurement of density of states in pentacene thin film transistors

    NASA Astrophysics Data System (ADS)

    Yogev, S.; Halpern, E.; Matsubara, R.; Nakamura, M.; Rosenwaks, Y.

    2011-10-01

    We report on direct high lateral resolution measurements of density of states in pentacene thin film transistors using Kelvin probe force microscopy. The measurements were conducted on passivated (hexamethyldisilazane) and unpassivated field effect transistors with 10- and 30-nm-thick pentacene polycrystalline layers. The analysis takes into account both the band bending in the organic film and the trapped charge at the SiO2-pentacene interface. We found that the density of states for the highest occupied molecular orbital band of pentacene film on the treated substrate is Gaussian with a width (variance) of σ=0.07±0.01eV and an exponential tail. The concentration of the density of states in the gap for pentacene on bare SiO2 substrate was larger by one order of magnitude, had a different energy distribution, and induced Fermi level pinning. The results are discussed in view of their effect on pentacene thin film transistors’ performance.

  14. Enhancement of the electrical characteristics of thin-film transistors with indium-zinc-tin oxide/Ag/indium-zinc-tin oxide multilayer electrodes

    NASA Astrophysics Data System (ADS)

    Oh, Dohyun; Yun, Dong Yeol; Cho, Woon-Jo; Kim, Tae Whan

    2014-08-01

    Transparent indium-zinc-tin oxide (IZTO)-based thin-film transistors (TFTs) with IZTO/Ag/IZTO multilayer electrodes were fabricated on glass substrates using a tilted dual-target radio-frequency magnetron sputtering system. The IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes exhibited a high optical transmittance in a visible region. The threshold voltage, the mobility, and the on/off-current ratio of the TFTs with IZTO/Ag/IZTO multilayer electrodes were enhanced in comparison with those of the TFTs with ITO electrodes. The source/drain contact resistance of the IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes was smaller than that of the IZTO TFTs with ITO electrodes, resulting in enhancement of their electrical characteristics.

  15. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    NASA Astrophysics Data System (ADS)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin

    2017-02-01

    This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm2/V·s) compared with the ITZO-only TFTs (∼34 cm2/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and -2.39 V compared with 6.10 and -6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of EA were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO2 reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  16. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    NASA Astrophysics Data System (ADS)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  17. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    PubMed

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  18. Rapid curing of solution-processed zinc oxide films by pulse-light annealing for thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Kim, Dong Wook; Park, Jaehoon; Hwang, Jaeeun; Kim, Hong Doo; Ryu, Jin Hwa; Lee, Kang Bok; Baek, Kyu Ha; Do, Lee-Mi; Choi, Jong Sun

    2015-01-01

    In this study, a pulse-light annealing method is proposed for the rapid fabrication of solution-processed zinc oxide (ZnO) thinfilm transistors (TFTs). Transistors that were fabricated by the pulse-light annealing method, with the annealing being carried out at 90℃ for 15 s, exhibited a mobility of 0.05 cm2/Vs and an on/off current ratio of 106. Such electrical properties are quite close to those of devices that are thermally annealed at 165℃ for 40 min. X-ray photoelectron spectroscopy analysis of ZnO films showed that the activation energy required to form a Zn-O bond is entirely supplied within 15 s of pulse-light exposure. We conclude that the pulse-light annealing method is viable for rapidly curing solution-processable oxide semiconductors for TFT applications.

  19. Transparent Thin-Film Transistors Based on Sputtered Electric Double Layer

    PubMed Central

    Cai, Wensi; Ma, Xiaochen; Zhang, Jiawei; Song, Aimin

    2017-01-01

    Electric-double-layer (EDL) thin-film transistors (TFTs) have attracted much attention due to their low operation voltages. Recently, EDL TFTs gated with radio frequency (RF) magnetron sputtered SiO2 have been developed which is compatible to large-area electronics fabrication. In this work, fully transparent Indium-Gallium-Zinc-Oxide-based EDL TFTs on glass substrates have been fabricated at room temperature for the first time. A maximum transmittance of about 80% has been achieved in the visible light range. The transparent TFTs show a low operation voltage of 1.5 V due to the large EDL capacitance (0.3 µF/cm2 at 20 Hz). The devices exhibit a good performance with a low subthreshold swing of 130 mV/dec and a high on-off ratio > 105. Several tests have also been done to investigate the influences of light irradiation and bias stress. Our results suggest that such transistors might have potential applications in battery-powered transparent electron devices. PMID:28772789

  20. Transparent Thin-Film Transistors Based on Sputtered Electric Double Layer.

    PubMed

    Cai, Wensi; Ma, Xiaochen; Zhang, Jiawei; Song, Aimin

    2017-04-20

    Electric-double-layer (EDL) thin-film transistors (TFTs) have attracted much attention due to their low operation voltages. Recently, EDL TFTs gated with radio frequency (RF) magnetron sputtered SiO₂ have been developed which is compatible to large-area electronics fabrication. In this work, fully transparent Indium-Gallium-Zinc-Oxide-based EDL TFTs on glass substrates have been fabricated at room temperature for the first time. A maximum transmittance of about 80% has been achieved in the visible light range. The transparent TFTs show a low operation voltage of 1.5 V due to the large EDL capacitance (0.3 µF/cm² at 20 Hz). The devices exhibit a good performance with a low subthreshold swing of 130 mV/dec and a high on-off ratio > 10⁵. Several tests have also been done to investigate the influences of light irradiation and bias stress. Our results suggest that such transistors might have potential applications in battery-powered transparent electron devices.

  1. Intrinsically stretchable and transparent thin-film transistors based on printable silver nanowires, carbon nanotubes and an elastomeric dielectric

    PubMed Central

    Liang, Jiajie; Li, Lu; Chen, Dustin; Hajagos, Tibor; Ren, Zhi; Chou, Shu-Yu; Hu, Wei; Pei, Qibing

    2015-01-01

    Thin-film field-effect transistor is a fundamental component behind various mordern electronics. The development of stretchable electronics poses fundamental challenges in developing new electronic materials for stretchable thin-film transistors that are mechanically compliant and solution processable. Here we report the fabrication of transparent thin-film transistors that behave like an elastomer film. The entire fabrication is carried out by solution-based techniques, and the resulting devices exhibit a mobility of ∼30 cm2 V−1 s−1, on/off ratio of 103–104, switching current >100 μA, transconductance >50 μS and relative low operating voltages. The devices can be stretched by up to 50% strain and subjected to 500 cycles of repeated stretching to 20% strain without significant loss in electrical property. The thin-film transistors are also used to drive organic light-emitting diodes. The approach and results represent an important progress toward the development of stretchable active-matrix displays. PMID:26173436

  2. Selective UV–O3 treatment for indium zinc oxide thin film transistors with solution-based multiple active layer

    NASA Astrophysics Data System (ADS)

    Kim, Yu-Jung; Jeong, Jun-Kyo; Park, Jung-Hyun; Jeong, Byung-Jun; Lee, Hi-Deok; Lee, Ga-Won

    2018-06-01

    In this study, a method to control the electrical performance of solution-based indium zinc oxide (IZO) thin film transistors (TFTs) is proposed by ultraviolet–ozone (UV–O3) treatment on the selective layer during multiple IZO active layer depositions. The IZO film is composed of triple layers formed by spin coating and UV–O3 treatment only on the first layer or last layer. The IZO films are compared by X-ray photoelectron spectroscopy, and the results show that the atomic ratio of oxygen vacancy (VO) increases in the UV–O3 treatment on the first layer, while it decreases on last layer. The device characteristics of the bottom gated structure are also improved in the UV–O3 treatment on the first layer. This indicates that the selective UV–O3 treatment in a multi-stacking active layer is an effective method to optimize TFT properties by controlling the amount of VO in the IZO interface and surface independently.

  3. Solution-processed zinc oxide nanoparticles/single-walled carbon nanotubes hybrid thin-film transistors

    NASA Astrophysics Data System (ADS)

    Liu, Fangmei; Sun, Jia; Qian, Chuan; Hu, Xiaotao; Wu, Han; Huang, Yulan; Yang, Junliang

    2016-09-01

    Solution-processed thin-film transistors (TFTs) are the essential building blocks for manufacturing the low-cost and large-area consumptive electronics. Herein, solution-processed TFTs based on the composites of zinc oxide (ZnO) nanoparticles and single-walled carbon nanotubes (SWCNTs) were fabricated by the methods of spin-coating and doctor-blading. Through controlling the weight of SWCNTs, the ZnO/SWCNTs TFTs fabricated by spin-coating demonstrated a field-effect mobility of 4.7 cm2/Vs and a low threshold voltage of 0.8 V, while the TFTs devices fabricated by doctor-blading technique showed reasonable electrical performance with a mobility of 0.22 cm2/Vs. Furthermore, the ion-gel was used as an efficient electrochemical gate dielectric because of its large electric double-layer capacitance. The operating voltage of all the TFTs devices is as low as 4.0 V. The research suggests that ZnO/SWCNTs TFTs have the potential applications in low-cost, large-area and flexible consumptive electronics, such as chemical-biological sensors and smart label.

  4. The Integration and Applications of Organic Thin Film Transistors and Ferroelectric Polymers

    NASA Astrophysics Data System (ADS)

    Hsu, Yu-Jen

    Organic thin film transistors and ferroelectric polymer (polyvinylidene difluoride) sheet material are integrated to form various sensors for stress/strain, acoustic wave, and Infrared (heat) sensing applications. Different from silicon-based transistors, organic thin film transistors can be fabricated and processed in room-temperature and integrated with a variety of substrates. On the other hand, polyvinylidene difluoride (PVDF) exhibits ferroelectric properties that are highly useful for sensor applications. The wide frequency bandwidth (0.001 Hz to 10 GHz), vast dynamic range (100n to 10M psi), and high elastic compliance (up to 3 percent) make PVDF a more suitable candidate over ceramic piezoelectric materials for thin and flexible sensor applications. However, the low Curie temperature may have impeded its integration with silicon technology. Organic thin film transistors, however, do not have the limitation of processing temperature, hence can serve as transimpedance amplifiers to convert the charge signal generated by PVDF into current signal that are more measurable and less affected by any downstream parasitics. Piezoelectric sensors are useful for a range of applications, but passive arrays suffer from crosstalk and signal attenuation which have complicated the development of array-based PVDF sensors. We have used organic field effect transistors, which are compatible with the low Curie temperature of a flexible piezoelectric polymer,PVDF, to monolithically fabricate transimpedance amplifiers directly on the sensor surface and convert the piezoelectric charge signal into a current signal which can be detected even in the presence of parasitic capacitances. The device couples the voltage generated by the PVDF film under strain into the gate of the organic thin film transistors (OFET) using an arrangement that allows the full piezoelectric voltage to couple to the channel, while also increasing the charge retention time. A bipolar detector is created by

  5. Role of order and disorder on the electronic performances of oxide semiconductor thin film transistors

    NASA Astrophysics Data System (ADS)

    Martins, R.; Barquinha, P.; Ferreira, I.; Pereira, L.; Gonçalves, G.; Fortunato, E.

    2007-02-01

    The role of order and disorder on the electronic performances of n-type ionic oxides such as zinc oxide, gallium zinc oxide, and indium zinc oxide used as active (channel) or passive (drain/source) layers in thin film transistors (TFTs) processed at room temperature are discussed, taking as reference the known behavior observed in conventional covalent semiconductors such as silicon. The work performed shows that while in the oxide semiconductors the Fermi level can be pinned up within the conduction band, independent of the state of order, the same does not happen with silicon. Besides, in the oxide semiconductors the carrier mobility is not bandtail limited and so disorder does not affect so strongly the mobility as it happens in covalent semiconductors. The electrical properties of the oxide films (resistivity, carrier concentration, and mobility) are highly dependent on the oxygen vacancies (source of free carriers), which can be controlled by changing the oxygen partial pressure during the deposition process and/or by adding other metal ions to the matrix. In this case, we make the oxide matrix less sensitive to the presence of oxygen, widening the range of oxygen partial pressures that can be used and thus improving the process control of the film resistivity. The results obtained in fully transparent TFT using polycrystalline ZnO or amorphous indium zinc oxide (IZO) as channel layers and highly conductive poly/nanocrystalline ZGO films or amorphous IZO as drain/source layers show that both devices work in the enhancement mode, but the TFT with the highest electronic saturation mobility and on/off ratio 49.9cm2/Vs and 4.3×108, respectively, are the ones in which the active and passive layers are amorphous. The ZnO TFT whose channel is based on polycrystalline ZnO, the mobility and on/off ratio are, respectively, 26cm2/Vs and 3×106. This behavior is attributed to the fact that the electronic transport is governed by the s-like metal cation conduction bands

  6. Unusual Ferroelectricity in Two-Dimensional Perovskite Oxide Thin Films.

    PubMed

    Lu, Jinlian; Luo, Wei; Feng, Junsheng; Xiang, Hongjun

    2018-01-10

    Two-dimensional (2D) ferroelectricity have attracted much attention due to their applications in novel miniaturized devices such as nonvolatile memories, field effect transistors, and sensors. Since most of the commercial ferroelectric (FE) devices are based on ABO 3 perovskite oxides, it is important to investigate the properties of 2D ferroelectricity in perovskite oxide thin films. Here, based on density functional theory (DFT) calculations, we find that there exist three kinds of in-plane FE states that originate from different microscopic mechanisms: (i) a proper FE state with the polarization along [110] due to the second-order Jahn-Teller effect related to the B ion with empty d-orbitals; (ii) a robust FE state with the polarization along [100] induced by the surface effect; (iii) a hybrid improper FE state with the polarization along [110] that is induced by the trilinear coupling between two rotational modes and the A-site displacement. Interestingly, the ferroelectricity in the latter two cases becomes stronger along with decreasing the thin film thickness, in contrast to the usual behavior. Moreover, the latter two FE states are compatible with magnetism since their stability does not depend on the occupation of the d-orbitals of the B-ion. These two novel 2D FE mechanisms provide new avenues to design 2D multiferroics, as we demonstrated in SrVO and CaFeO thin film cases. Our work not only reveals new physical mechanisms of 2D ferroelectricity in perovskite oxide thin films but also provides a new route to design the high-performance 2D FE and multiferroics.

  7. Pentacene-based organic thin film transistors, integrated circuits, and active matrix displays on polymeric substrates

    NASA Astrophysics Data System (ADS)

    Sheraw, Christopher Duncan

    2003-10-01

    low as 38 musec and clocked digital circuits that operated at 1.1 kHz. These are the fastest photolithographically patterned organic TFT circuits on polymeric substrates reported to date. Finally, 16 x 16 pentacene TFT pixel arrays were fabricated on polymeric substrates and integrated with polymer dispersed liquid crystal to build an AMLCD. The pixel arrays showed good optical response to changing data signals when standard quarter-VGA display waveforms were applied. This result marks the first organic TFT-driven active matrix liquid crystal display ever reported as well as the first active matrix liquid crystal display on a flexible polymeric substrate. Lastly, functionalized pentacene derivatives were used as the active layer in organic thin film transistor materials. Functional groups were added to the pentacene molecule to influence the molecular ordering so that the amount of pi-orbital overlap would be increased allowing the potential for improved field-effect mobility. The functionalization of these materials also improves solubility allowing for the possibility of solution-processed devices and increased oxidative stability. Organic thin film transistors were fabricated using five different functionalized pentacene active layers. Devices based on the pentacene derivative triisopropylsilyl pentacene were found to have the best performance with field-effect mobility as large as 0.4 cm 2/V-s.

  8. Synergistic approach to high-performance oxide thin film transistors using a bilayer channel architecture.

    PubMed

    Yu, Xinge; Zhou, Nanjia; Smith, Jeremy; Lin, Hui; Stallings, Katie; Yu, Junsheng; Marks, Tobin J; Facchetti, Antonio

    2013-08-28

    We report here a bilayer metal oxide thin film transistor concept (bMO TFT) where the channel has the structure: dielectric/semiconducting indium oxide (In2O3) layer/semiconducting indium gallium oxide (IGO) layer. Both semiconducting layers are grown from solution via a low-temperature combustion process. The TFT mobilities of bottom-gate/top-contact bMO TFTs processed at T = 250 °C are ~5tmex larger (~2.6 cm(2)/(V s)) than those of single-layer IGO TFTs (~0.5 cm(2)/(V s)), reaching values comparable to single-layer combustion-processed In2O3 TFTs (~3.2 cm(2)/(V s)). More importantly, and unlike single-layer In2O3 TFTs, the threshold voltage of the bMO TFTs is ~0.0 V, and the current on/off ratio is significantly enhanced to ~1 × 10(8) (vs ~1 × 10(4) for In2O3). The microstructure and morphology of the In2O3/IGO bilayers are analyzed by X-ray diffraction, atomic force microscopy, X-ray photoelectron spectroscopy, and transmission electron microscopy, revealing the polycrystalline nature of the In2O3 layer and the amorphous nature of the IGO layer. This work demonstrates that solution-processed metal oxides can be implemented in bilayer TFT architectures with significantly enhanced performance.

  9. Low-cost label-free electrical detection of artificial DNA nanostructures using solution-processed oxide thin-film transistors.

    PubMed

    Kim, Si Joon; Jung, Joohye; Lee, Keun Woo; Yoon, Doo Hyun; Jung, Tae Soo; Dugasani, Sreekantha Reddy; Park, Sung Ha; Kim, Hyun Jae

    2013-11-13

    A high-sensitivity, label-free method for detecting deoxyribonucleic acid (DNA) using solution-processed oxide thin-film transistors (TFTs) was developed. Double-crossover (DX) DNA nanostructures with different concentrations of divalent Cu ion (Cu(2+)) were immobilized on an In-Ga-Zn-O (IGZO) back-channel surface, which changed the electrical performance of the IGZO TFTs. The detection mechanism of the IGZO TFT-based DNA biosensor is attributed to electron trapping and electrostatic interactions caused by negatively charged phosphate groups on the DNA backbone. Furthermore, Cu(2+) in DX DNA nanostructures generates a current path when a gate bias is applied. The direct effect on the electrical response implies that solution-processed IGZO TFTs could be used to realize low-cost and high-sensitivity DNA biosensors.

  10. Monolithic acoustic graphene transistors based on lithium niobate thin film

    NASA Astrophysics Data System (ADS)

    Liang, J.; Liu, B.-H.; Zhang, H.-X.; Zhang, H.; Zhang, M.-L.; Zhang, D.-H.; Pang, W.

    2018-05-01

    This paper introduces an on-chip acoustic graphene transistor based on lithium niobate thin film. The graphene transistor is embedded in a microelectromechanical systems (MEMS) acoustic wave device, and surface acoustic waves generated by the resonator induce a macroscopic current in the graphene due to the acousto-electric (AE) effect. The acoustic resonator and the graphene share the lithium niobate film, and a gate voltage is applied through the back side of the silicon substrate. The AE current induced by the Rayleigh and Sezawa modes was investigated, and the transistor outputs a larger current in the Rayleigh mode because of a larger coupling to velocity ratio. The output current increases linearly with the input radiofrequency power and can be effectively modulated by the gate voltage. The acoustic graphene transistor realized a five-fold enhancement in the output current at an optimum gate voltage, outperforming its counterpart with a DC input. The acoustic graphene transistor demonstrates a paradigm for more-than-Moore technology. By combining the benefits of MEMS and graphene circuits, it opens an avenue for various system-on-chip applications.

  11. Achieving high carrier mobility exceeding 70 cm2/Vs in amorphous zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Sang Tae; Shin, Yeonwoo; Yun, Pil Sang; Bae, Jong Uk; Chung, In Jae; Jeong, Jae Kyeong

    2017-09-01

    This paper proposes a new defect engineering concept for low-cost In- and Ga-free zinc tin oxide (ZTO) thin-film transistors (TFTs). This concept is comprised of capping ZTO films with tantalum (Ta) and a subsequent modest thermal annealing treatment at 200 °C. The Ta-capped ZTO TFTs exhibited a remarkably high carrier mobility of 70.8 cm2/Vs, low subthreshold gate swing of 0.18 V/decade, threshold voltage of -1.3 V, and excellent ION/OFF ratio of 2 × 108. The improvement (> two-fold) in the carrier mobility compared to the uncapped ZTO TFT can be attributed to the effective reduction of the number of adverse tailing trap states, such as hydroxyl groups or oxygen interstitial defects, which stems from the scavenging effect of the Ta capping layer on the ZTO channel layer. Furthermore, the Ta-capped ZTO TFTs showed excellent positive and negative gate bias stress stabilities. [Figure not available: see fulltext.

  12. Recent progress in n-channel organic thin-film transistors.

    PubMed

    Wen, Yugeng; Liu, Yunqi

    2010-03-26

    Particular attention has been focused on n-channel organic thin-film transistors (OTFTs) during the last few years, and the potentially cost-effective circuitry-based applications in flexible electronics, such as flexible radiofrequency identity tags, smart labels, and simple displays, will benefit from this fast development. This article reviews recent progress in performance and molecular design of n-channel semiconductors in the past five years, and limitations and practicable solutions for n-channel OTFTs are dealt with from the viewpoint of OTFT constitution and geometry, molecular design, and thin-film growth conditions. Strategy methodology is especially highlighted with an aim to investigate basic issues in this field.

  13. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    NASA Astrophysics Data System (ADS)

    Jeon, Jun-Young; Ha, Tae-Jun

    2017-08-01

    In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  14. All 2D, high mobility, flexible, transparent thin film transistor

    DOEpatents

    Das, Saptarshi; Sumant, Anirudha V.; Roelofs, Andreas

    2017-01-17

    A two-dimensional thin film transistor and a method for manufacturing a two-dimensional thin film transistor includes layering a semiconducting channel material on a substrate, providing a first electrode material on top of the semiconducting channel material, patterning a source metal electrode and a drain metal electrode at opposite ends of the semiconducting channel material from the first electrode material, opening a window between the source metal electrode and the drain metal electrode, removing the first electrode material from the window located above the semiconducting channel material providing a gate dielectric above the semiconducting channel material, and providing a top gate above the gate dielectric, the top gate formed from a second electrode material. The semiconducting channel material is made of tungsten diselenide, the first electrode material and the second electrode material are made of graphene, and the gate dielectric is made of hexagonal boron nitride.

  15. Solution-processed high-mobility neodymium-substituted indium oxide thin-film transistors formed by facile patterning based on aqueous precursors

    NASA Astrophysics Data System (ADS)

    Lin, Zhenguo; Lan, Linfeng; Sun, Sheng; Li, Yuzhi; Song, Wei; Gao, Peixiong; Song, Erlong; Zhang, Peng; Li, Meiling; Wang, Lei; Peng, Junbiao

    2017-03-01

    Solution-processed neodymium-substituted indium oxide (InNdO) thin-film transistors (TFTs) based on gel-like aqueous precursors were fabricated with a surface-selective deposition technique associated with ultraviolet irradiation. The Nd concentration can be easily tuned by changing the ratio of Nd2O3 to In2O3 precursors. It was found that Nd played roles of suppressing grain growth, suppressing oxygen vacancy formation, and increasing the electrical stability of TFTs. The InNdO TFT with a Nd:In ratio of 0.02:1 exhibited a mobility of as high as 15.6 cm2 V-1 s-1 with improved stability under gate-bias stress.

  16. Air-Flow Navigated Crystal Growth for TIPS Pentacene-Based Organic Thin-Film Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    He, Zhengran; Chen, Jihua; Sun, Zhenzhong

    2012-01-01

    6,13-bis(triisopropylsilylethynyl)pentacene (TIPS pentacene) is a promising active channel material of organic thin-film transistors (OTFTs) due to its solubility, stability, and high mobility. However, the growth of TIPS pentacene crystals is intrinsically anisotropic and thus leads to significant variation in the performance of OTFTs. In this paper, air flow is utilized to effectively reduce the TIPS pentacene crystal anisotropy and enhance performance consistency in OTFTs, and the resulted films are examined with optical microscopy, grazing-incidence X-ray diffraction, and thin-film transistor measurements. Under air-flow navigation (AFN), TIPS pentacene drop-cast from toluene solution has been observed to form thin films with improved crystalmore » orientation and increased areal coverage on substrates, which subsequently lead to a four-fold increase of average hole mobility and one order of magnitude enhancement in performance consistency defined by the ratio of average mobility to the standard deviation of the field-effect mobilities.« less

  17. Effect of Al2O3 insulator thickness on the structural integrity of amorphous indium-gallium-zinc-oxide based thin film transistors.

    PubMed

    Kim, Hak-Jun; Hwang, In-Ju; Kim, Youn-Jea

    2014-12-01

    The current transparent oxide semiconductors (TOSs) technology provides flexibility and high performance. In this study, multi-stack nano-layers of TOSs were designed for three-dimensional analysis of amorphous indium-gallium-zinc-oxide (a-IGZO) based thin film transistors (TFTs). In particular, the effects of torsional and compressive stresses on the nano-sized active layers such as the a-IGZO layer were investigated. Numerical simulations were carried out to investigate the structural integrity of a-IGZO based TFTs with three different thicknesses of the aluminum oxide (Al2O3) insulator (δ = 10, 20, and 30 nm), respectively, using a commercial code, COMSOL Multiphysics. The results are graphically depicted for operating conditions.

  18. Facile fabrication of wire-type indium gallium zinc oxide thin-film transistors applicable to ultrasensitive flexible sensors.

    PubMed

    Kim, Yeong-Gyu; Tak, Young Jun; Kim, Hee Jun; Kim, Won-Gi; Yoo, Hyukjoon; Kim, Hyun Jae

    2018-04-03

    We fabricated wire-type indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) using a self-formed cracked template based on a lift-off process. The electrical characteristics of wire-type IGZO TFTs could be controlled by changing the width and density of IGZO wires through varying the coating conditions of template solution or multi-stacking additional layers. The fabricated wire-type devices were applied to sensors after functionalizing the surface. The wire-type pH sensor showed a sensitivity of 45.4 mV/pH, and this value was an improved sensitivity compared with that of the film-type device (27.6 mV/pH). Similarly, when the wire-type device was used as a glucose sensor, it showed more variation in electrical characteristics than the film-type device. The improved sensing properties resulted from the large surface area of the wire-type device compared with that of the film-type device. In addition, we fabricated wire-type IGZO TFTs on flexible substrates and confirmed that such structures were very resistant to mechanical stresses at a bending radius of 10 mm.

  19. Laser direct patterning of indium tin oxide for defining a channel of thin film transistor.

    PubMed

    Wang, Jian-Xun; Kwon, Sang Jik; Han, Jae-Hee; Cho, Eou Sik

    2013-11-01

    In this work, using a Q-switched diode-pumped neodymium-doped yttrium vanadate (Nd:YVO4, lambda = 1064 nm) laser, a direct patterning of indium tin oxide (ITO) channel was realized on glass substrates and the results were compared and analyzed in terms of the effect of repetition rate, scanning speed on etching characteristics. The results showed that the laser conditions of 40 kHz repetition rate with a scanning speed of 500 mm/s were appropriate for the channeling of ITO electrodes. The length of laser-patterned channel was maintained at about 55 microm. However, residual spikes (about 50 nm in height) of ITO were found to be formed at the edges of the laser ablated area and a few ITO residues remained on the glass substrate after laser scanning. By dipping the laser-ablated ITO film in ITO diluted etchant (ITO etchant/DI water: 1/10) at 50 degrees C for 3 min, the spikes and residual ITO were effectively removed. At last, using the laser direct patterning, a bottom-source-drain indium gallium zinc oxide thin film transistor (IGZO-TFT) was fabricated. It is successfully demonstrated that the laser direct patterning can be utilized instead of photolithography to simplify the fabrication process of TFT channel, resulting in the increase of productivity and reduction of cost.

  20. High-temperature performance of MoS2 thin-film transistors: Direct current and pulse current-voltage characteristics

    NASA Astrophysics Data System (ADS)

    Jiang, C.; Rumyantsev, S. L.; Samnakay, R.; Shur, M. S.; Balandin, A. A.

    2015-02-01

    We report on fabrication of MoS2 thin-film transistors (TFTs) and experimental investigations of their high-temperature current-voltage characteristics. The measurements show that MoS2 devices remain functional to temperatures of at least as high as 500 K. The temperature increase results in decreased threshold voltage and mobility. The comparison of the direct current (DC) and pulse measurements shows that the direct current sub-linear and super-linear output characteristics of MoS2 thin-films devices result from the Joule heating and the interplay of the threshold voltage and mobility temperature dependences. At temperatures above 450 K, a kink in the drain current occurs at zero gate voltage irrespective of the threshold voltage value. This intriguing phenomenon, referred to as a "memory step," was attributed to the slow relaxation processes in thin films similar to those in graphene and electron glasses. The fabricated MoS2 thin-film transistors demonstrated stable operation after two months of aging. The obtained results suggest new applications for MoS2 thin-film transistors in extreme-temperature electronics and sensors.

  1. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  2. Fully-printed high-performance organic thin-film transistors and circuitry on one-micron-thick polymer films

    NASA Astrophysics Data System (ADS)

    Fukuda, Kenjiro; Takeda, Yasunori; Yoshimura, Yudai; Shiwaku, Rei; Tran, Lam Truc; Sekine, Tomohito; Mizukami, Makoto; Kumaki, Daisuke; Tokito, Shizuo

    2014-06-01

    Thin, ultra-flexible devices that can be manufactured in a process that covers a large area will be essential to realizing low-cost, wearable electronic applications including foldable displays and medical sensors. The printing technology will be instrumental in fabricating these novel electronic devices and circuits; however, attaining fully printed devices on ultra-flexible films in large areas has typically been a challenge. Here we report on fully printed organic thin-film transistor devices and circuits fabricated on 1-μm-thick parylene-C films with high field-effect mobility (1.0 cm2 V-1 s-1) and fast operating speeds (about 1 ms) at low operating voltages. The devices were extremely light (2 g m-2) and exhibited excellent mechanical stability. The devices remained operational even under 50% compressive strain without significant changes in their performance. These results represent significant progress in the fabrication of fully printed organic thin-film transistor devices and circuits for use in unobtrusive electronic applications such as wearable sensors.

  3. Fully transparent conformal organic thin-film transistor array and its application as LED front driving.

    PubMed

    Cui, Nan; Ren, Hang; Tang, Qingxin; Zhao, Xiaoli; Tong, Yanhong; Hu, Wenping; Liu, Yichun

    2018-02-22

    A fully transparent conformal organic thin-film field-effect transistor array is demonstrated based on a photolithography-compatible ultrathin metallic grid gate electrode and a solution-processed C 8 -BTBT film. The resulting organic field-effect transistor array exhibits a high optical transparency of >80% over the visible spectrum, mobility up to 2 cm 2 V -1 s -1 , on/off ratio of 10 5 -10 6 , switching current of >0.1 mA, and excellent light stability. The transparent conformal transistor array is demonstrated to adhere well to flat and curved LEDs as front driving. These results present promising applications of the solution-processed wide-bandgap organic semiconductor thin films in future large-scale transparent conformal active-matrix displays.

  4. Low temperature fabrication of CuxO thin-film transistors and investigation on the origin of low field effect mobility

    NASA Astrophysics Data System (ADS)

    Shijeesh, M. R.; Jayaraj, M. K.

    2018-04-01

    Cuprous (Cu2O) and cupric (CuO) oxide thin films have been deposited by radio frequency magnetron sputtering with two different oxygen partial pressures. The as-deposited copper oxide films were subjected to post-annealing at 300 °C for 30 min to improve the microstructural, morphological, and optical properties of thin films. Optical absorption studies revealed the existence of a large number of subgap states inside CuO films than Cu2O films. Cu2O and CuO thin film transistors (TFTs) were fabricated in an inverted staggered structure by using a post-annealed channel layer. The field effect mobility values of Cu2O and CuO TFTs were 5.20 × 10-4 cm2 V-1 s-1 and 2.33 × 10-4 cm2 V-1 s-1, respectively. The poor values of subthreshold swing, threshold voltage, and field effect mobility of the TFTs were due to the charge trap density at the copper oxide/dielectric interface as well as defect induced trap states originated from the oxygen vacancies inside the bulk copper oxide. In order to study the distribution of the trap states in the Cu2O and CuO active layer, the temperature dependent transfer characteristics of transistors in the temperature range between 310 K and 340 K were studied. The observed subgap states were found to be decreasing exponentially inside the bandgap, with CuO TFT showing higher subgap states than Cu2O TFT. The high-density hole trap states in the CuO channel are one of the plausible reasons for the lower mobility in CuO TFT than in Cu2O TFT. The origin of these subgap states was attributed to the impurities or oxygen vacancies present in the CuO channel layer.

  5. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  6. Light-induced hysteresis and recovery behaviors in photochemically activated solution-processed metal-oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jo, Jeong-Wan; Park, Sung Kyu, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr; Kim, Yong-Hoon, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr

    2014-07-28

    In this report, photo-induced hysteresis, threshold voltage (V{sub T}) shift, and recovery behaviors in photochemically activated solution-processed indium-gallium-zinc oxide (IGZO) thin-film transistors (TFTs) are investigated. It was observed that a white light illumination caused negative V{sub T} shift along with creation of clockwise hysteresis in electrical characteristics which can be attributed to photo-generated doubly ionized oxygen vacancies at the semiconductor/gate dielectric interface. More importantly, the photochemically activated IGZO TFTs showed much reduced overall V{sub T} shift compared to thermally annealed TFTs. Reduced number of donor-like interface states creation under light illumination and more facile neutralization of ionized oxygen vacancies bymore » electron capture under positive gate potential are claimed to be the origin of the less V{sub T} shift in photochemically activated TFTs.« less

  7. High-mobility ambipolar ZnO-graphene hybrid thin film transistors

    PubMed Central

    Song, Wooseok; Kwon, Soon Yeol; Myung, Sung; Jung, Min Wook; Kim, Seong Jun; Min, Bok Ki; Kang, Min-A; Kim, Sung Ho; Lim, Jongsun; An, Ki-Seok

    2014-01-01

    In order to combine advantages of ZnO thin film transistors (TFTs) with a high on-off ratio and graphene TFTs with extremely high carrier mobility, we present a facile methodology for fabricating ZnO thin film/graphene hybrid two-dimensional TFTs. Hybrid TFTs exhibited ambipolar behavior, an outstanding electron mobility of 329.7 ± 16.9 cm2/V·s, and a high on-off ratio of 105. The ambipolar behavior of the ZnO/graphene hybrid TFT with high electron mobility could be due to the superimposed density of states involving the donor states in the bandgap of ZnO thin films and the linear dispersion of monolayer graphene. We further established an applicable circuit model for understanding the improvement in carrier mobility of ZnO/graphene hybrid TFTs. PMID:24513629

  8. High-mobility ambipolar ZnO-graphene hybrid thin film transistors.

    PubMed

    Song, Wooseok; Kwon, Soon Yeol; Myung, Sung; Jung, Min Wook; Kim, Seong Jun; Min, Bok Ki; Kang, Min-A; Kim, Sung Ho; Lim, Jongsun; An, Ki-Seok

    2014-02-11

    In order to combine advantages of ZnO thin film transistors (TFTs) with a high on-off ratio and graphene TFTs with extremely high carrier mobility, we present a facile methodology for fabricating ZnO thin film/graphene hybrid two-dimensional TFTs. Hybrid TFTs exhibited ambipolar behavior, an outstanding electron mobility of 329.7 ± 16.9 cm(2)/V·s, and a high on-off ratio of 10(5). The ambipolar behavior of the ZnO/graphene hybrid TFT with high electron mobility could be due to the superimposed density of states involving the donor states in the bandgap of ZnO thin films and the linear dispersion of monolayer graphene. We further established an applicable circuit model for understanding the improvement in carrier mobility of ZnO/graphene hybrid TFTs.

  9. IGZO thin film transistor biosensors functionalized with ZnO nanorods and antibodies.

    PubMed

    Shen, Yi-Chun; Yang, Chun-Hsu; Chen, Shu-Wen; Wu, Shou-Hao; Yang, Tsung-Lin; Huang, Jian-Jang

    2014-04-15

    We demonstrate a biosensor structure consisting of an IGZO (Indium-Gallium-Zinc-Oxide) TFT (thin film transistor) and an extended sensing pad. The TFT acts as the sensing and readout device, while the sensing pad ensures the isolation of biological solution from the transistor channel layer, and meanwhile increases the sensing area. The biosensor is functionalized by first applying ZnO nanorods to increase the surface area for attracting electrical charges of EGFR (epidermal growth factor receptor) antibodies. The device is able to selectively detect 36.2 fM of EGFR in the total protein solution of 0.1 ng/ml extracted from squamous cell carcinoma (SCC). Furthermore, the conjugation duration of the functionalized device with EGFR can be limited to 3 min, implying that the biosensor has the advantage for real-time detection. © 2013 Elsevier B.V. All rights reserved.

  10. Optically transparent thin-film transistors based on 2D multilayer MoS₂ and indium zinc oxide electrodes.

    PubMed

    Kwon, Junyeon; Hong, Young Ki; Kwon, Hyuk-Jun; Park, Yu Jin; Yoo, Byungwook; Kim, Jiwan; Grigoropoulos, Costas P; Oh, Min Suk; Kim, Sunkook

    2015-01-21

    We report on optically transparent thin film transistors (TFTs) fabricated using multilayered molybdenum disulfide (MoS2) as the active channel, indium tin oxide (ITO) for the back-gated electrode and indium zinc oxide (IZO) for the source/drain electrodes, respectively, which showed more than 81% transmittance in the visible wavelength. In spite of a relatively large Schottky barrier between MoS2 and IZO, the n-type behavior with a field-effect mobility (μ(eff)) of 1.4 cm(2) V(-1) s(-1) was observed in as-fabricated transparent MoS2 TFT. In order to enhance the performances of transparent MoS2 TFTs, a picosecond pulsed laser was selectively irradiated onto the contact region of the IZO electrodes. Following laser annealing, μ(eff) increased to 4.5 cm(2) V(-1) s(-1), and the on-off current ratio (I(on)/I(off)) increased to 10(4), which were attributed to the reduction of the contact resistance between MoS2 and IZO.

  11. Homogeneous double-layer amorphous Si-doped indium oxide thin-film transistors for control of turn-on voltage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kizu, Takio, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Tsukagoshi, Kazuhito, E-mail: KIZU.Takio@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Aikawa, Shinya

    We fabricated homogeneous double-layer amorphous Si-doped indium oxide (ISO) thin-film transistors (TFTs) with an insulating ISO cap layer on top of a semiconducting ISO bottom channel layer. The homogeneously stacked ISO TFT exhibited high mobility (19.6 cm{sup 2}/V s) and normally-off characteristics after annealing in air. It exhibited normally-off characteristics because the ISO insulator suppressed oxygen desorption, which suppressed the formation of oxygen vacancies (V{sub O}) in the semiconducting ISO. Furthermore, we investigated the recovery of the double-layer ISO TFT, after a large negative shift in turn-on voltage caused by hydrogen annealing, by treating it with annealing in ozone. The recoverymore » in turn-on voltage indicates that the dense V{sub O} in the semiconducting ISO can be partially filled through the insulator ISO. Controlling molecule penetration in the homogeneous double layer is useful for adjusting the properties of TFTs in advanced oxide electronics.« less

  12. Fabrication and characterization of low temperature polycrystalline silicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Krishnan, Anand Thiruvengadathan

    2000-10-01

    The proliferation of devices with built-in displays, such as personal digital assistants and cellular phones has created a demand for rugged light-weight displays. Polymeric substrates could be suited for these applications, and they offer the possibility of flexible displays also. However, driver circuitry needs to be integrated in the display if the cost is to be reduced. Low temperature (<350°C) polycrystalline silicon (poly-Si) thin film transistors, if developed, offer driver circuitry integration during pixel transistor fabrication on top of flexible substrates. This thesis addresses several issues related to the fabrication of thin film transistors at low temperatures on glass substrates. A high-density plasma (electron cyclotron resonance (ECR)) based approach was adopted for deposition of thin films. A process for deposition of n-type doped silicon (n-type doped Si) at T < 350°C and having resistivity <1 ohm/cm has been developed. Intrinsic poly-Si was deposited under different conditions of microwave power, RF bias and deposition times. The properties of n-type doped Si and intrinsic poly-Si were correlated with the structure and the deposition conditions. A novel TFT structure has been proposed and implemented in this work. This top gate TFT structure uses n-type doped Si and utilizes only two masks and one alignment step. There are no critical etch steps and good interface quality could be obtained even without post-processing hydrogenation as the poly-Si surface was not exposed to air before deposition of the gate dielectric. TFTs using this top gate structure were fabricated with no process step exceeding 340°C electrode temperature (surface temperature <300°C). These TFTs show ON/OFF ratios in excess of 105. Their sub-threshold swing is ˜0.5 V/decade and mobility is 1--10 cm2/V-s. Several TFTs were also fabricated using alternative dielectrics such as oxide deposited from tetramethyl silane in an RFPECVD chamber and silicon nitride deposited in

  13. Polycrystalline silicon thin-film transistors fabricated by Joule-heating-induced crystallization

    NASA Astrophysics Data System (ADS)

    Hong, Won-Eui; Ro, Jae-Sang

    2015-01-01

    Joule-heating-induced crystallization (JIC) of amorphous silicon (a-Si) films is carried out by applying an electric pulse to a conductive layer located beneath or above the films. Crystallization occurs across the whole substrate surface within few tens of microseconds. Arc instability, however, is observed during crystallization, and is attributed to dielectric breakdown in the conductor/insulator/transformed polycrystalline silicon (poly-Si) sandwich structures at high temperatures during electrical pulsing for crystallization. In this study, we devised a method for the crystallization of a-Si films while preventing arc generation; this method consisted of pre-patterning an a-Si active layer into islands and then depositing a gate oxide and gate electrode. Electric pulsing was then applied to the gate electrode formed using a Mo layer. The Mo layer was used as a Joule-heat source for the crystallization of pre-patterned active islands of a-Si films. JIC-processed poly-Si thin-film transistors (TFTs) were fabricated successfully, and the proposed method was found to be compatible with the standard processing of coplanar top-gate poly-Si TFTs.

  14. Stacked color image sensor using wavelength-selective organic photoconductive films with zinc-oxide thin film transistors as a signal readout circuit

    NASA Astrophysics Data System (ADS)

    Seo, Hokuto; Aihara, Satoshi; Namba, Masakazu; Watabe, Toshihisa; Ohtake, Hiroshi; Kubota, Misao; Egami, Norifumi; Hiramatsu, Takahiro; Matsuda, Tokiyoshi; Furuta, Mamoru; Nitta, Hiroshi; Hirao, Takashi

    2010-01-01

    Our group has been developing a new type of image sensor overlaid with three organic photoconductive films, which are individually sensitive to only one of the primary color components (blue (B), green (G), or red (R) light), with the aim of developing a compact, high resolution color camera without any color separation optical systems. In this paper, we firstly revealed the unique characteristics of organic photoconductive films. Only choosing organic materials can tune the photoconductive properties of the film, especially excellent wavelength selectivities which are good enough to divide the incident light into three primary colors. Color separation with vertically stacked organic films was also shown. In addition, the high-resolution of organic photoconductive films sufficient for high-definition television (HDTV) was confirmed in a shooting experiment using a camera tube. Secondly, as a step toward our goal, we fabricated a stacked organic image sensor with G- and R-sensitive organic photoconductive films, each of which had a zinc oxide (ZnO) thin film transistor (TFT) readout circuit, and demonstrated image pickup at a TV frame rate. A color image with a resolution corresponding to the pixel number of the ZnO TFT readout circuit was obtained from the stacked image sensor. These results show the potential for the development of high-resolution prism-less color cameras with stacked organic photoconductive films.

  15. Inert gas annealing effect in solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Seungwoon; Jeong, Jaewook

    2017-08-01

    In this paper, the annealing effect of solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs), under ambient He (He-device), is systematically analyzed by comparison with those under ambient O2 (O2-device) and N2 (N2-device), respectively. The He-device shows high field-effect mobility and low subthreshold slope owing to the minimization of the ambient effect. The degradation of the O2- and N2-device performances originate from their respective deep acceptor-like and shallow donor-like characteristics, which can be verified by comparison with the He-device. However, the three devices show similar threshold voltage instability under prolonged positive bias stress due to the effect of excess oxygen. Therefore, annealing in ambient He is the most suitable method for the fabrication of reference TFTs to study the various effects of the ambient during the annealing process in solution-processed a-IGZO TFTs.

  16. Morphology and electronic transport of polycrystalline pentacene thin-film transistors

    NASA Astrophysics Data System (ADS)

    Knipp, D.; Street, R. A.; Völkel, A. R.

    2003-06-01

    Temperature-dependent measurements of thin-film transistors were performed to gain insight in the electronic transport of polycrystalline pentacene. Devices were fabricated with plasma-enhanced chemical vapor deposited silicon nitride gate dielectrics. The influence of the dielectric roughness and the deposition temperature of the thermally evaporated pentacene films were studied. Although films on rougher gate dielectrics and films prepared at low deposition temperatures exhibit similar grain size, the electronic properties are different. Increasing the dielectric roughness reduces the free carrier mobility, while low substrate temperature leads to more and deeper hole traps.

  17. Electrical instability of InGaZnO thin-film transistors with and without titanium sub-oxide layer under light illumination

    NASA Astrophysics Data System (ADS)

    Chiu, Y. C.; Zheng, Z. W.; Cheng, C. H.; Chen, P. C.; Yen, S. S.; Fan, C. C.; Hsu, H. H.; Kao, H. L.; Chang, C. Y.

    2017-03-01

    The electrical instability behaviors of amorphous indium-gallium-zinc oxide thin-film transistors with and without titanium sub-oxide passivation layer were investigated under light illumination in this study. For the unpassivated IGZO TFT device, in contrast with the dark case, a noticeable increase of the sub-threshold swing was observed when under the illumination environment, which can be attributed to the generation of ionized oxygen vacancies within the α-IGZO active layer by high energy photons. For the passivated TFT device, the much smaller SS of 70 mV/dec and high device mobility of >100 cm2/Vs at a drive voltage of 3 V with negligible degradation under light illumination are achieved due to the passivation effect of n-type titanium sub-oxide semiconductor, which may create potential application for high-performance display.

  18. Complex oxide thin films for microelectronics

    NASA Astrophysics Data System (ADS)

    Suvorova, Natalya

    The rapid scaling of the device dimensions, namely in metal oxide semiconductor field effect transistor (MOSFET), is reaching its fundamental limit which includes the increase in allowable leakage current due to direct tunneling with decrease of physical thickness of SiO2 gate dielectric. The significantly higher relative dielectric constant (in the range 9--25) of the gate dielectric beyond the 3.9 value of silicon dioxide will allow increasing the physical thickness. Among the choices for the high dielectric constant (K) materials for future generation MOSFET application, barium strontium titanate (BST) and strontium titanate (STO) possess one of the highest attainable K values making them the promising candidates for alternative gate oxide. However, the gate stack engineering does not imply the simple replacement of the SiO2 with the new dielectric. Several requirements should be met for successful integration of a new material. The major one is a production of high level of interface states (Dit) compared to that of SiO 2 on Si. An insertion of a thin SiO2 layer prior the growth of high-K thin film is a simple solution that helps to limit reaction with Si substrate and attains a high quality interface. However, the combination of two thin films reduces the overall K of the dielectric stack. An optimization of the SiO2 underlayer in order to maintain the interface quality yet minimize the effect on K is the focus of this work. The results from our study are presented with emphasis on the key process parameters that improve the dielectric film stack. For in-situ growth characterization of BST and STO films sputter deposited on thermally oxidized Si substrates spectroscopic ellipsometry in combination with time of flight ion scattering and recoil spectrometry have been employed. Studies of material properties have been complemented with analytical electron microscopy. To evaluate the interface quality the electrical characterization has been employed using

  19. High-performance carbon nanotube thin-film transistors on flexible paper substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Na; Yun, Ki Nam; Yu, Hyun-Yong

    Single-walled carbon nanotubes (SWCNTs) are promising materials as active channels for flexible transistors owing to their excellent electrical and mechanical properties. However, flexible SWCNT transistors have never been realized on paper substrates, which are widely used, inexpensive, and recyclable. In this study, we fabricated SWCNT thin-film transistors on photo paper substrates. The devices exhibited a high on/off current ratio of more than 10{sup 6} and a field-effect mobility of approximately 3 cm{sup 2}/V·s. The proof-of-concept demonstration indicates that SWCNT transistors on flexible paper substrates could be applied as low-cost and recyclable flexible electronics.

  20. Temporal and voltage stress stability of high performance indium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Song, Yang; Katsman, Alexander; Butcher, Amy L.; Paine, David C.; Zaslavsky, Alexander

    2017-10-01

    Thin film transistors (TFTs) based on transparent oxide semiconductors, such as indium zinc oxide (IZO), are of interest due to their improved characteristics compared to traditional a-Si TFTs. Previously, we reported on top-gated IZO TFTs with an in-situ formed HfO2 gate insulator and IZO active channel, showing high performance: on/off ratio of ∼107, threshold voltage VT near zero, extracted low-field mobility μ0 = 95 cm2/V·s, and near-perfect subthreshold slope at 62 mV/decade. Since device stability is essential for technological applications, in this paper we report on the temporal and voltage stress stability of IZO TFTs. Our devices exhibit a small negative VT shift as they age, consistent with an increasing carrier density resulting from an increasing oxygen vacancy concentration in the channel. Under gate bias stress, freshly annealed TFTs show a negative VT shift during negative VG gate bias stress, while aged (>1 week) TFTs show a positive VT shift during negative VG stress. This indicates two competing mechanisms, which we identify as the field-enhanced generation of oxygen vacancies and the field-assisted migration of oxygen vacancies, respectively. A simplified kinetic model of the vacancy concentration evolution in the IZO channel under electrical stress is provided.

  1. Coffee-Ring Defined Short Channels for Inkjet-Printed Metal Oxide Thin-Film Transistors.

    PubMed

    Li, Yuzhi; Lan, Linfeng; Xiao, Peng; Sun, Sheng; Lin, Zhenguo; Song, Wei; Song, Erlong; Gao, Peixiong; Wu, Weijing; Peng, Junbiao

    2016-08-03

    Short-channel electronic devices several micrometers in length are difficult to implement by direct inkjet printing due to the limitation of position accuracy of the common inkjet printer system and the spread of functional ink on substrates. In this report, metal oxide thin-film transistors (TFTs) with channel lengths of 3.5 ± 0.7 μm were successfully fabricated with a common inkjet printer without any photolithography steps. Hydrophobic CYTOP coffee stripes, made by inkjet-printing and plasma-treating processes, were utilized to define the channel area of TFTs with channel lengths as short as ∼3.5 μm by dewetting the inks of the source/drain (S/D) precursors. Furthermore, by introduction of an ultrathin layer of PVA to modify the S/D surfaces, the spreading of precursor ink of the InOx semiconductor layer was well-controlled. The inkjet-printed short-channel TFTs exhibited a maximum mobility of 4.9 cm(2) V(-1) s(-1) and an on/off ratio of larger than 10(9). This approach of fabricating short-channel TFTs by inkjet printing will promote the large-area fabrication of short-channel TFTs in a cost-effective manner.

  2. Back-channel-etch amorphous indium-gallium-zinc oxide thin-film transistors: The impact of source/drain metal etch and final passivation

    NASA Astrophysics Data System (ADS)

    Nag, Manoj; Bhoolokam, Ajay; Steudel, Soeren; Chasin, Adrian; Myny, Kris; Maas, Joris; Groeseneken, Guido; Heremans, Paul

    2014-11-01

    We report on the impact of source/drain (S/D) metal (molybdenum) etch and the final passivation (SiO2) layer on the bias-stress stability of back-channel-etch (BCE) configuration based amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). It is observed that the BCE configurations TFTs suffer poor bias-stability in comparison to etch-stop-layer (ESL) TFTs. By analysis with transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS), as well as by a comparative analysis of contacts formed by other metals, we infer that this poor bias-stability for BCE transistors having Mo S/D contacts is associated with contamination of the back channel interface, which occurs by Mo-containing deposits on the back channel during the final plasma process of the physical vapor deposited SiO2 passivation.

  3. Nano-crystallization in ZnO-doped In2O3 thin films via excimer laser annealing for thin-film transistors

    NASA Astrophysics Data System (ADS)

    Fujii, Mami N.; Ishikawa, Yasuaki; Ishihara, Ryoichi; van der Cingel, Johan; Mofrad, Mohammad R. T.; Bermundo, Juan Paolo Soria; Kawashima, Emi; Tomai, Shigekazu; Yano, Koki; Uraoka, Yukiharu

    2016-06-01

    In a previous work, we reported the high field effect mobility of ZnO-doped In2O3 (IZO) thin film transistors (TFTs) irradiated by excimer laser annealing (ELA) [M. Fujii et al., Appl. Phys. Lett. 102, 122107 (2013)]. However, a deeper understanding of the effect of ELA on the IZO film characteristics based on crystallinity, carrier concentrations, and optical properties is needed to control localized carrier concentrations for fabricating self-aligned structures in the same oxide film and to adequately explain the physical characteristics. In the case of as-deposited IZO film used as the channel, a high carrier concentration due to a high density of oxygen vacancies was observed; such a film does not show the required TFT characteristics but can act as a conductive film. We achieved a decrease in the carrier concentration of IZO films by crystallization using ELA. This means that ELA can form localized conductive or semi-conductive areas on the IZO film. We confirmed that the reason for the carrier concentration decrease was the decrease of oxygen-deficient regions and film crystallization. The annealed IZO films showed nano-crystalline phase, and the temperature at the substrate was substantially less than the temperature limit for flexible films such as plastic, which is 50°C. This paves the way for the formation of self-aligned structures and separately formed conductive and semi-conductive regions in the same oxide film.

  4. Operational stability of solution-processed indium-oxide thin-film transistors: Environmental condition and electrical stress

    NASA Astrophysics Data System (ADS)

    Baang, Sungkeun; Lee, Hyeonju; Zhang, Xue; Park, Jaehoon; Kim, Won-Pyo; Ko, Young-Woong; Piao, Shang Hao; Choi, Hyoung Jin; Kwon, Jin-Hyuk; Bae, Jin-Hyuk

    2018-01-01

    We investigate the operational stability of bottom-gate/top-contact-structured indium-oxide (In2O3) thin-film transistors (TFTs) in atmospheric air and under vacuum. Based on the thermogravimetric analysis of the In2O3 precursor solution, we utilize a thermal annealing process at 400 °C for 40 min to prepare the In2O3 films. The results of X-ray photoemission spectroscopy and field-emission scanning electron microscopy show that the electron is the majority carrier in the In2O3 semiconductor film prepared by a spin-coating method and that the film has a polycrystalline morphology with grain boundaries. The fabricated In2O3 TFTs operate in an n-type enhancement mode. When constant drain and gate voltages are applied, these TFTs in atmospheric air exhibit a more acute decay in the drain currents with time compared to that observed under vacuum. In the positive gate-bias stress experiments, a decrease in the field-effect mobility and a positive shift in the threshold voltage are invariably observed both in atmospheric air and under vacuum, but such characteristic variations are also found to be more pronounced for the atmospheric-air case. These results are explained in terms of the electron-trapping phenomenon at the grain boundaries in the In2O3 semiconductor, as well as the electrostatic interactions between electrons and polar water molecules.

  5. Low-Voltage InGaZnO Thin Film Transistors with Small Sub-Threshold Swing.

    PubMed

    Cheng, C H; Chou, K I; Hsu, H H

    2015-02-01

    We demonstrate a low-voltage driven, indium-gallium-zinc oxide thin-film transistor using high-κ LaAlO3 gate dielectric. A low VT of 0.42 V, very small sub-threshold swing of 68 mV/dec, field-effect mobility of 4.1 cm2/Ns and low operation voltage of 1.4 V were reached simultaneously in LaAlO3/IGZO TFT device. This low-power and small SS TFT has the potential for fast switching speed and low power applications.

  6. 3.4-Inch Quarter High Definition Flexible Active Matrix Organic Light Emitting Display with Oxide Thin Film Transistor

    NASA Astrophysics Data System (ADS)

    Hatano, Kaoru; Chida, Akihiro; Okano, Tatsuya; Sugisawa, Nozomu; Inoue, Tatsunori; Seo, Satoshi; Suzuki, Kunihiko; Oikawa, Yoshiaki; Miyake, Hiroyuki; Koyama, Jun; Yamazaki, Shunpei; Eguchi, Shingo; Katayama, Masahiro; Sakakura, Masayuki

    2011-03-01

    In this paper, we report a 3.4-in. flexible active matrix organic light emitting display (AMOLED) display with remarkably high definition (quarter high definition: QHD) in which oxide thin film transistors (TFTs) are used. We have developed a transfer technology in which a TFT array formed on a glass substrate is separated from the substrate by physical force and then attached to a flexible plastic substrate. Unlike a normal process in which a TFT array is directly fabricated on a thin plastic substrate, our transfer technology permits a high integration of high performance TFTs, such as low-temperature polycrystalline silicon TFTs (LTPS TFTs) and oxide TFTs, on a plastic substrate, because a flat, rigid, and thermally-stable glass substrate can be used in the TFT fabrication process in our transfer technology. As a result, this technology realized an oxide TFT array for an AMOLED on a plastic substrate. Furthermore, in order to achieve a high-definition AMOLED, color filters were incorporated in the TFT array and a white organic light-emitting diode (OLED) was combined. One of the features of this device is that the whole body of the device can be bent freely because a source driver and a gate driver can be integrated on the substrate due to the high mobility of an oxide TFT. This feature means “true” flexibility.

  7. ZnO thin film transistor immunosensor with high sensitivity and selectivity

    NASA Astrophysics Data System (ADS)

    Reyes, Pavel Ivanoff; Ku, Chieh-Jen; Duan, Ziqing; Lu, Yicheng; Solanki, Aniruddh; Lee, Ki-Bum

    2011-04-01

    A zinc oxide thin film transistor-based immunosensor (ZnO-bioTFT) is presented. The back-gate TFT has an on-off ratio of 108 and a threshold voltage of 4.25 V. The ZnO channel surface is biofunctionalized with primary monoclonal antibodies that selectively bind with epidermal growth factor receptor (EGFR). Detection of the antibody-antigen reaction is achieved through channel carrier modulation via pseudo double-gating field effect caused by the biochemical reaction. The sensitivity of 10 fM detection of pure EGFR proteins is achieved. The ZnO-bioTFT immunosensor also enables selectively detecting 10 fM of EGFR in a 5 mg/ml goat serum solution containing various other proteins.

  8. Two dimensional simulation of patternable conducting polymer electrode based organic thin film transistor

    NASA Astrophysics Data System (ADS)

    Nair, Shiny; Kathiresan, M.; Mukundan, T.

    2018-02-01

    Device characteristics of organic thin film transistor (OTFT) fabricated with conducting polyaniline:polystyrene sulphonic acid (PANi-PSS) electrodes, patterned by the Parylene lift-off method are systematically analyzed by way of two dimensional numerical simulation. The device simulation was performed taking into account field-dependent mobility, low mobility layer at the electrode-semiconductor interface, trap distribution in pentacene film and trapped charge at the organic/insulator interface. The electrical characteristics of bottom contact thin film transistor with PANi-PSS electrodes and pentacene active material is superior to those with palladium electrodes due to a lower charge injection barrier. Contact resistance was extracted in both cases by the transfer line method (TLM). The extracted charge concentration and potential profile from the two dimensional numerical simulation was used to explain the observed electrical characteristics. The simulated device characteristics not only matched the experimental electrical characteristics, but also gave an insight on the charge injection, transport and trap properties of the OTFTs as a function of different electrode materials from the perspectives of transistor operation.

  9. Solvent-Free Toner Printing of Organic Semiconductor Layer in Flexible Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Sakai, Masatoshi; Koh, Tokuyuki; Toyoshima, Kenji; Nakamori, Kouta; Okada, Yugo; Yamauchi, Hiroshi; Sadamitsu, Yuichi; Shinamura, Shoji; Kudo, Kazuhiro

    2017-07-01

    A solvent-free printing process for printed electronics is successfully developed using toner-type patterning of organic semiconductor toner particles and the subsequent thin-film formation. These processes use the same principle as that used for laser printing. The organic thin-film transistors are prepared by electrically distributing the charged toner onto a Au electrode on a substrate film, followed by thermal lamination. The thermal lamination is effective for obtaining an oriented and crystalline thin film. Toner printing is environmentally friendly compared with other printing technologies because it is solvent free, saves materials, and enables easy recycling. In addition, this technology simultaneously enables both wide-area and high-resolution printing.

  10. Effect of nickel silicide gettering on metal-induced crystallized polycrystalline-silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Hyung Yoon; Seok, Ki Hwan; Chae, Hee Jae; Lee, Sol Kyu; Lee, Yong Hee; Joo, Seung Ki

    2017-06-01

    Low-temperature polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) fabricated via metal-induced crystallization (MIC) are attractive candidates for use in active-matrix flat-panel displays. However, these exhibit a large leakage current due to the nickel silicide being trapped at the grain boundaries of the poly-Si. We reduced the leakage current of the MIC poly-Si TFTs by developing a gettering method to remove the Ni impurities using a Si getter layer and natively-formed SiO2 as the etch stop interlayer. The Ni trap state density (Nt) in the MIC poly-Si film decreased after the Ni silicide gettering, and as a result, the leakage current of the MIC poly-Si TFTs decreased. Furthermore, the leakage current of MIC poly-Si TFTs gradually decreased with additional gettering. To explain the gettering effect on MIC poly-Si TFTs, we suggest an appropriate model. He received the B.S. degree in School of Advanced Materials Engineering from Kookmin University, Seoul, South Korea in 2012, and the M.S. degree in Department of Materials Science and Engineering from Seoul National University, Seoul, South Korea in 2014. He is currently pursuing the Ph.D. degree with the Department of Materials Science and Engineering, Seoul National University, Seoul. He is involved in semiconductor device fabrication technology and top-gate polycrystalline-silicon thin-film transistors. He received the M.S. degree in innovation technology from Ecol Polytechnique, Palaiseau, France in 2013. He is currently pursuing the Ph.D. degree with the Department of Materials Science and Engineering, Seoul National University, Seoul. He is involved in semiconductor device fabrication technology and bottom-gate polycrystalline-silicon thin-film transistors. He is currently pursuing the integrated M.S and Ph.D course with the Department of Materials Science and Engineering, Seoul National University, Seoul. He is involved in semiconductor device fabrication technology and copper

  11. Mapping of trap densities and hotspots in pentacene thin-film transistors by frequency-resolved scanning photoresponse microscopy.

    PubMed

    Westermeier, Christian; Fiebig, Matthias; Nickel, Bert

    2013-10-25

    Frequency-resolved scanning photoresponse microscopy of pentacene thin-film transistors is reported. The photoresponse pattern maps the in-plane distribution of trap states which is superimposed by the level of trap filling adjusted by the gate voltage of the transistor. Local hotspots in the photoresponse map thus indicate areas of high trap densities within the pentacene thin film. © 2013 WILEY-VCH Verlag GmbH 8 Co. KGaA, Weinheim.

  12. Optimization of the Solution-Based Indium-Zinc Oxide/Zinc-Tin Oxide Channel Layer for Thin-Film Transistors.

    PubMed

    Lim, Kiwon; Choi, Pyungho; Kim, Sangsub; Kim, Hyunki; Kim, Minsoo; Lee, Jeonghyun; Hyeon, Younghwan; Koo, Kwangjun; Choi, Byoungdeog

    2018-09-01

    Double stacked indium-zinc oxide (IZO)/zinc-tin oxide (ZTO) active layers were employed in amorphous-oxide-semiconductor thin-film transistors (AOS TFTs). Channel layers of the TFTs were optimized by varying the molarity of ZTO back channel layers (0.05, 0.1, 0.2, 0.3 M) and the electrical properties of IZO/ZTO double stacked TFTs were compared to single IZO and ZTO TFTs with varying the molarity and molar ratio. On the basis of the results, IZO/ZTO (0.1 M) TFTs showed the excellent electrical properties of saturation mobility (13.6 cm2/V·s), on-off ratio (7×106), and subthreshold swing (0.223 V/decade) compared to ZTO (0.1 M) of 0.73 cm2/V · s, 1 × 107, 0.416 V/decade and IZO (0.04 M) of 0.10 cm2/V · s, 5 × 106, 0.60 V/decade, respectively. This may be attributed to diffusing Sn into front layer during annealing process. In addition, with varying molarity of ZTO back channel layer, from 0.1 M to 0.3 M ZTO back channel TFTs, electrical properties and positive bias stability deteriorated with increasing molarity of back channel layer because of increasing total trap states. On the other hand, 0.05 M ZTO back channel TFT had inferior electrical properties than that of 0.1 M ZTO back channel TFT. It was related to back channel effect because of having thin thickness of channel layer. Among these devices, 0.1 M ZTO back channel TFT had a lowest total trap density, outstanding electrical properties and stability. Therefore, we recommended IZO/ZTO (0.1 M) TFT as a promising channel structure for advanced display applications.

  13. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  14. Atomic-scale visualization of oxide thin-film surfaces.

    PubMed

    Iwaya, Katsuya; Ohsawa, Takeo; Shimizu, Ryota; Okada, Yoshinori; Hitosugi, Taro

    2018-01-01

    The interfaces of complex oxide heterostructures exhibit intriguing phenomena not observed in their constituent materials. The oxide thin-film growth of such heterostructures has been successfully controlled with unit-cell precision; however, atomic-scale understandings of oxide thin-film surfaces and interfaces have remained insufficient. We examined, with atomic precision, the surface and electronic structures of oxide thin films and their growth processes using low-temperature scanning tunneling microscopy. Our results reveal that oxide thin-film surface structures are complicated in contrast to the general perception and that atomically ordered surfaces can be achieved with careful attention to the surface preparation. Such atomically ordered oxide thin-film surfaces offer great opportunities not only for investigating the microscopic origins of interfacial phenomena but also for exploring new surface phenomena and for studying the electronic states of complex oxides that are inaccessible using bulk samples.

  15. Charge carrier transport in polycrystalline organic thin film based field effect transistors

    NASA Astrophysics Data System (ADS)

    Rani, Varsha; Sharma, Akanksha; Ghosh, Subhasis

    2016-05-01

    The charge carrier transport mechanism in polycrystalline thin film based organic field effect transistors (OFETs) has been explained using two competing models, multiple trapping and releases (MTR) model and percolation model. It has been shown that MTR model is most suitable for explaining charge carrier transport in grainy polycrystalline organic thin films. The energetic distribution of traps determined independently using Mayer-Neldel rule (MNR) is in excellent agreement with the values obtained by MTR model for copper phthalocyanine and pentacene based OFETs.

  16. Tailoring indium oxide nanocrystal synthesis conditions for air-stable high-performance solution-processed thin-film transistors.

    PubMed

    Swisher, Sarah L; Volkman, Steven K; Subramanian, Vivek

    2015-05-20

    Semiconducting metal oxides (ZnO, SnO2, In2O3, and combinations thereof) are a uniquely interesting family of materials because of their high carrier mobilities in the amorphous and generally disordered states, and solution-processed routes to these materials are of particular interest to the printed electronics community. Colloidal nanocrystal routes to these materials are particularly interesting, because nanocrystals may be formulated with tunable surface properties into stable inks, and printed to form devices in an additive manner. We report our investigation of an In2O3 nanocrystal synthesis for high-performance solution-deposited semiconductor layers for thin-film transistors (TFTs). We studied the effects of various synthesis parameters on the nanocrystals themselves, and how those changes ultimately impacted the performance of TFTs. Using a sintered film of solution-deposited In2O3 nanocrystals as the TFT channel material, we fabricated devices that exhibit field effect mobility of 10 cm(2)/(V s) and an on/off current ratio greater than 1 × 10(6). These results outperform previous air-stable nanocrystal TFTs, and demonstrate the suitability of colloidal nanocrystal inks for high-performance printed electronics.

  17. Composite films of oxidized multiwall carbon nanotube and poly(3,4-ethylenedioxythiophene): polystyrene sulfonate (PEDOT:PSS) as a contact electrode for transistor and inverter devices.

    PubMed

    Yun, Dong-Jin; Rhee, Shi-Woo

    2012-02-01

    Composite films of multiwall carbon nanotube (MWNT)/poly(3,4-ethylenedioxythiophene) polymerized with poly(4-styrenesulfonate) (PEDOT:PSS) were prepared by spin-coating a mixture solution. The effect of the MWNT loading and the MWNT oxidation, with acid solution or ultraviolet (UV)-ozone treatment, on the film properties such as surface roughness, work function, surface energy, optical transparency and conductivity were studied. Also pentacene thin film transistors and inverters were made with these composite films as a contact metal and the device characteristics were measured. The oxidation of MWNT reduced the conductivity of MWNT/PEDOT:PSS composite film but increased the work function and transparency. UV-ozone treated MWNT/PEDOT:PSS composite film showed higher conductivity (14000 Ω/□) and work function (4.9 eV) than acid-oxidized MWNT/PEDOT:PSS composite film and showed better performance as a source/drain electrode in organic thin film transistor (OTFT) than other types of MWNT/PEDOT:PSS composite films. Hole injection barrier of the UV-ozone treated MWNT/PEDOT:PSS composite film with pentacene was significantly lower than any other films because of the higher work function.

  18. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    PubMed

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  19. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    NASA Astrophysics Data System (ADS)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  20. Spray-coated carbon nanotube thin-film transistors with striped transport channels

    NASA Astrophysics Data System (ADS)

    Jeong, Minho; Lee, Kunhak; Choi, Eunsuk; Kim, Ahsung; Lee, Seung-Beck

    2012-12-01

    We present results for the transfer characteristics of carbon nanotube thin-film transistors (CNT-TFTs) that utilize single-walled carbon nanotube thin-films prepared by direct spray-coating on the substrate. By varying the number of spray-coatings (Nsp) and the concentration of nanotubes in solution (CNT), it was possible to control the conductivity of the spray-coated nanotube thin-film from 129 to 0.1 kΩ/□. Also, by introducing stripes into the channel of the CNT-TFT, and thereby reducing the number of metallic percolation paths between source and drain, it was possible to enhance the on/off current ratio 1000-fold, from 10 to 104, demonstrating that it may be possible to utilize spray-coating as a method to fabricate CNT-TFTs for large area switching array applications.

  1. Effect of organic buffer layer in the electrical properties of amorphous-indium gallium zinc oxide thin film transistor.

    PubMed

    Wang, Jian-Xun; Hyung, Gun Woo; Li, Zhao-Hui; Son, Sung-Yong; Kwon, Sang Jik; Kim, Young Kwan; Cho, Eou Sik

    2012-07-01

    In this research, we reported on the fabrication of top-contact amorphous-indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) with an organic buffer layer between inorganic gate dielectric and active layer in order to improve the electrical properties of devices. By inserting an organic buffer layer, it was possible to make an affirmation of the improvements in the electrical characteristics of a-IGZO TFTs such as subthreshold slope (SS), on/off current ratio (I(ON/OFF)), off-state current, and saturation field-effect mobility (muFE). The a-IGZO TFTs with the cross-linked polyvinyl alcohol (c-PVA) buffer layer exhibited the pronounced improvements of the muFE (17.4 cm2/Vs), SS (0.9 V/decade), and I(ON/OFF) (8.9 x 10(6)).

  2. Solution-processed gadolinium doped indium-oxide thin-film transistors with oxide passivation

    NASA Astrophysics Data System (ADS)

    Lee, Seung-Hun; Kim, Taehun; Lee, Jihun; Avis, Christophe; Jang, Jin

    2017-03-01

    We studied the effect of Gd doping on the structural properties of solution processed, crystalline In2O3 for thin-film transistor (TFT) application. With increasing Gd in In2O3 up to 20%, the material structure changes into amorphous phase, and the oxygen vacancy concentration decreases from 15.4 to 8.4%, and M-OH bonds from 33.5 to 23.7%. The field-effect mobility for the Gd doped In2O3 TFTs decreases and threshold voltage shifts to the positive voltage with increasing Gd concentration. In addition, the stability of the solution processed TFTs can also be improved by increasing Gd concentration. As a result, the optimum Gd concentration is found to be ˜5% in In2O3 and the 5% Gd doped In2O3 TFTs with the Y2O3 passivation layer exhibit the linear mobility of 9.74 cm2/V s, the threshold voltage of -0.27 V, the subthreshold swing of 79 mV/dec., and excellent bias stability.

  3. Lifetime prediction of InGaZnO thin film transistor for the application of display device and BEOL-transistors

    NASA Astrophysics Data System (ADS)

    Kim, Sang Min; Cho, Won Ju; Yu, Chong Gun; Park, Jong Tae

    2018-04-01

    In this work, the lifetime prediction models of amorphous InGaZnO thin film transistors (a-IGZO TFTs) were suggested for the application of display device and BEOL (Back End Of line) transistors with embedded a-IGZO TFTs. Four different types of test devices according to the active layer thickness, source/drain electrode materials and thermal treatments have been used to verify the suggested model. The device lifetimes under high gate bias stress and hot carrier stress were extracted through fittings of the stretched-exponential equation for threshold voltage shifts and the current estimation method for drain current degradations. Our suggested lifetime prediction models could be used in any kinds of structures of a-IGZO TFTs for the application of display device and BEOL transistors. The a-IGZO TFTs with embedded ITO local conducting layer under source/drain is better for BEOL transistor application and a-IGZO TFTs with InGaZnO thin film as source/drain electrodes may be better for the application of display devices. From 1983 to 1985, he was a Researcher at Gold-Star Semiconductor, Inc., Korea, where he worked on the development of SRAM. He joined the Department of Electronics Engineering, University of Incheon, Incheon, Korea, in 1987, where he is a Professor. As a visiting scientist at Massachusetts Institute of Technology, Cambridge, in 1991, he conducted research in hot carrier reliability of CMOS. As a visiting scholar at University of California, Davis, in 2001, he conducted research on the device structure of Nano-scale SOI CMOS. His recent interests are device structure and reliability of Nano-scale CMOS devices, flash memory, and thin film transistors.

  4. Anion control as a strategy to achieve high-mobility and high-stability oxide thin-film transistors.

    PubMed

    Kim, Hyun-Suk; Jeon, Sang Ho; Park, Joon Seok; Kim, Tae Sang; Son, Kyoung Seok; Seon, Jong-Baek; Seo, Seok-Jun; Kim, Sun-Jae; Lee, Eunha; Chung, Jae Gwan; Lee, Hyungik; Han, Seungwu; Ryu, Myungkwan; Lee, Sang Yoon; Kim, Kinam

    2013-01-01

    Ultra-definition, large-area displays with three-dimensional visual effects represent megatrend in the current/future display industry. On the hardware level, such a "dream" display requires faster pixel switching and higher driving current, which in turn necessitate thin-film transistors (TFTs) with high mobility. Amorphous oxide semiconductors (AOS) such as In-Ga-Zn-O are poised to enable such TFTs, but the trade-off between device performance and stability under illumination critically limits their usability, which is related to the hampered electron-hole recombination caused by the oxygen vacancies. Here we have improved the illumination stability by substituting oxygen with nitrogen in ZnO, which may deactivate oxygen vacancies by raising valence bands above the defect levels. Indeed, the stability under illumination and electrical bias is superior to that of previous AOS-based TFTs. By achieving both mobility and stability, it is highly expected that the present ZnON TFTs will be extensively deployed in next-generation flat-panel displays.

  5. Anion control as a strategy to achieve high-mobility and high-stability oxide thin-film transistors

    PubMed Central

    Kim, Hyun-Suk; Jeon, Sang Ho; Park, Joon Seok; Kim, Tae Sang; Son, Kyoung Seok; Seon, Jong-Baek; Seo, Seok-Jun; Kim, Sun-Jae; Lee, Eunha; Chung, Jae Gwan; Lee, Hyungik; Han, Seungwu; Ryu, Myungkwan; Lee, Sang Yoon; Kim, Kinam

    2013-01-01

    Ultra-definition, large-area displays with three-dimensional visual effects represent megatrend in the current/future display industry. On the hardware level, such a “dream” display requires faster pixel switching and higher driving current, which in turn necessitate thin-film transistors (TFTs) with high mobility. Amorphous oxide semiconductors (AOS) such as In-Ga-Zn-O are poised to enable such TFTs, but the trade-off between device performance and stability under illumination critically limits their usability, which is related to the hampered electron-hole recombination caused by the oxygen vacancies. Here we have improved the illumination stability by substituting oxygen with nitrogen in ZnO, which may deactivate oxygen vacancies by raising valence bands above the defect levels. Indeed, the stability under illumination and electrical bias is superior to that of previous AOS-based TFTs. By achieving both mobility and stability, it is highly expected that the present ZnON TFTs will be extensively deployed in next-generation flat-panel displays. PMID:23492854

  6. Artificial semiconductor/insulator superlattice channel structure for high-performance oxide thin-film transistors

    PubMed Central

    Ahn, Cheol Hyoun; Senthil, Karuppanan; Cho, Hyung Koun; Lee, Sang Yeol

    2013-01-01

    High-performance thin-film transistors (TFTs) are the fundamental building blocks in realizing the potential applications of the next-generation displays. Atomically controlled superlattice structures are expected to induce advanced electric and optical performance due to two-dimensional electron gas system, resulting in high-electron mobility transistors. Here, we have utilized a semiconductor/insulator superlattice channel structure comprising of ZnO/Al2O3 layers to realize high-performance TFTs. The TFT with ZnO (5 nm)/Al2O3 (3.6 nm) superlattice channel structure exhibited high field effect mobility of 27.8 cm2/Vs, and threshold voltage shift of only < 0.5 V under positive/negative gate bias stress test during 2 hours. These properties showed extremely improved TFT performance, compared to ZnO TFTs. The enhanced field effect mobility and stability obtained for the superlattice TFT devices were explained on the basis of layer-by-layer growth mode, improved crystalline nature of the channel layers, and passivation effect of Al2O3 layers. PMID:24061388

  7. Analytical approximation of the InGaZnO thin-film transistors surface potential

    NASA Astrophysics Data System (ADS)

    Colalongo, Luigi

    2016-10-01

    Surface-potential-based mathematical models are among the most accurate and physically based compact models of thin-film transistors, and in turn of indium gallium zinc oxide TFTs, available today. However, the need of iterative computations of the surface potential limits their computational efficiency and diffusion in CAD applications. The existing closed-form approximations of the surface potential are based on regional approximations and empirical smoothing functions that could result not accurate enough in particular to model transconductances and transcapacitances. In this work we present an extremely accurate (in the range of nV) and computationally efficient non-iterative approximation of the surface potential that can serve as a basis for advanced surface-potential-based indium gallium zinc oxide TFTs models.

  8. Reduced graphene oxide/molecular imprinted polymer-organic thin film transistor for amino acid detection

    NASA Astrophysics Data System (ADS)

    Halim, Nurul Farhanah AB.; Musa, Nur Hazwani; Zakaria, Zulkhairi; Von Schleusingen, Mubaraq; Ahmad, Mohd Noor; Derman, Nazree; Shakaff, Ali Yeon Md.

    2017-03-01

    This works reports the electrical performance of reduced graphene oxide (RGO)/Molecular imprinted polymer (MIP)- organic thin film transistor (OTFT) for amino-acid detection, serine. These biomimetic sensors consider MIP as man-tailored biomimetic recognition sites that play an important role in signal transduction. MIP provides recognition sites compatible with serine molecules was developed by dispersing serine with methylacrylate acid (MAA) as functional monomer and Ethylene glycol dimethylacrylate (EGDMA) as cross-linker. The imprinted polymeric were mixed with reduced graphene oxide to produced sensing layer for the sensor. RGO-MIP layer was introduced between source and drain of OTFT via spin coating as a detecting layer for serine molecules. RGO was introduced into MIP, to allow a highly conductive sensing material thus enhanced selectivity and sensitivity of the sensor. By analyzing the electrical performance of the sensors, the performances of OTFT sensor enhanced with RGO/MIP interlayer and OTFT sensor with MIP interlayer when exposed to serine analyte were obtained. The results showed that there were remarkable shifts of drain current (ID) obtained from OTFT sensor with RGO/MIP interlayer after exposed to serine analyte. Moreover, the sensitivity of OTFT sensor with RGO/MIP interlayer was nearly higher than the OTFT sensor with MIP interlayer. Hence, it proved that RGO successfully enhanced the sensing performance of OTFT sensor.

  9. The study of VOPc thin film transistors on modified substrates

    NASA Astrophysics Data System (ADS)

    Song, De; Xu, Qi; Cheng, Hongcang; Li, Bao-zeng; Shang, Yubin

    2018-02-01

    The vanadyl phthalocyanine (VOPc) organic thin film transistors (OTFTs) were fabricated on the various organosilane self-assembled monolayer (SAM) modified substrates. And the effect of the surface properties on the performance of these transistors was studied. The atomic force morphologies and X-ray diffraction (XRD) spectrums of vanadyl phthalocyanine films on different SAM-modified surfaces were studied. They reveal that the terminal functional groups of organosilane affect the growth of VOPc film and device performance. The VOPc film on octadecyltrichlorosilane (OTS) modified substrate has larger crystal size and effective crystal thickness than those on phenyltrichlorosilane (PTS), 1H,1H,2H,2H-Perfluorodec-yltrichlorosilane (FDTS) as well as non-modified substrate, which contributes the mobility of corresponding device several and several dozen times relative to other ones. The effective crystal thickness and crystal grain size of VOPc film on PTS is between that on OTS treated and that on non-modified substrate due to the stronger attractive force between VOPc and SiO2. The VOPc films' performance and effective crystal thickness on FDTS treated are worse than that on PTS due to the existents of attractive force between -CF3 and VOPc.

  10. ZnO thin-film transistors with a polymeric gate insulator built on a polyethersulfone substrate

    NASA Astrophysics Data System (ADS)

    Hyung, Gun Woo; Park, Jaehoon; Koo, Ja Ryong; Choi, Kyung Min; Kwon, Sang Jik; Cho, Eou Sik; Kim, Yong Seog; Kim, Young Kwan

    2012-03-01

    Zinc oxide (ZnO) thin-film transistors (TFTs) with a cross-linked poly(vinyl alcohol) (c-PVA) insulator are fabricated on a polyethersulfone substrate. The ZnO film, formed by atomic layer deposition, shows a polycrystalline hexagonal structure with a band gap energy of about 3.37 eV. The fabricated ZnO TFT exhibits a field-effect mobility of 0.38 cm2/Vs and a threshold voltage of 0.2 V. The hysteresis of the device is mainly caused by trapped electrons at the c-PVA/ZnO interface, whereas the positive threshold voltage shift occurs as a consequence of constant positive gate bias stress after 5000 s due to an electron injection from the ZnO film into the c-PVA insulator.

  11. Mechanical Flexibility of Zinc Oxide Thin-Film Transistors Prepared by Transfer Printing Method

    NASA Astrophysics Data System (ADS)

    Eun, K. T.; Hwang, W. J.; Sharma, B. K.; Ahn, J. H.; Lee, Y. K.; Choa, S. H.

    In the present study, we demonstrate the performance of Zinc oxide thin film transistors (ZnO TFTs) array subjected to the strain under high bending test and the reliability of TFTs was confirmed for the bending fatigue test of 2000 cycles. Initially, ZnO TFTs were fabricated on Si substrate and subsequently transferred on flexible PET substrate using transfer printing process. It was observed that when the bending radius reached ≥ 11 mm then cracks start to initiate first at SiO2 bridges, acting as interconnecting layers among individual TFT. Whatever the strain is applied to the devices, it is almost equivalently adopted by the SiO2 bridges, as they are relatively weak compared to rest of the part. The initial cracking of destructed SiO2 bridge leads to the secondary cracks to the ITO electrodes upon further increment of bending radius. Numerical simulation suggested that the strain of SiO2 layer reached to fracture level of 0.55% which was concentrated at the edge of SiO2 bridge layer. It also suggests that the round shape of SiO2 bridge can be more fruitful to compensate the stress concentration and to prevent failure of device.

  12. Fabrication of InGaN thin-film transistors using pulsed sputtering deposition.

    PubMed

    Itoh, Takeki; Kobayashi, Atsushi; Ueno, Kohei; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-07-07

    We report the first demonstration of operational InGaN-based thin-film transistors (TFTs) on glass substrates. The key to our success was coating the glass substrate with a thin amorphous layer of HfO2, which enabled a highly c-axis-oriented growth of InGaN films using pulsed sputtering deposition. The electrical characteristics of the thin films were controlled easily by varying their In content. The optimized InGaN-TFTs exhibited a high on/off ratio of ~10(8), a field-effect mobility of ~22 cm(2) V(-1) s(-1), and a maximum current density of ~30 mA/mm. These results lay the foundation for developing high-performance electronic devices on glass substrates using group III nitride semiconductors.

  13. Crystalline-like temperature dependence of the electrical characteristics in amorphous Indium-Gallium-Zinc-Oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Estrada, M.; Hernandez-Barrios, Y.; Cerdeira, A.; Ávila-Herrera, F.; Tinoco, J.; Moldovan, O.; Lime, F.; Iñiguez, B.

    2017-09-01

    A crystalline-like temperature dependence of the electrical characteristics of amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) thin film transistors (TFTs) is reported, in which the drain current reduces as the temperature is increased. This behavior appears for values of drain and gate voltages above which a change in the predominant conduction mechanism occurs. After studying the possible conduction mechanisms, it was determined that, for gate and drain voltages below these values, hopping is the predominant mechanism with the current increasing with temperature, while for values above, the predominant conduction mechanism becomes percolation in the conduction band or band conduction and IDS reduces as the temperature increases. It was determined that this behavior appears, when the effect of trapping is reduced, either by varying the density of states, their characteristic energy or both. Simulations were used to further confirm the causes of the observed behavior.

  14. Positive Bias Instability of Bottom-Gate Zinc Oxide Thin-Film Transistors with a SiOx/SiNx-Stacked Gate Insulator

    NASA Astrophysics Data System (ADS)

    Furuta, Mamoru; Kamada, Yudai; Hiramatsu, Takahiro; Li, Chaoyang; Kimura, Mutsumi; Fujita, Shizuo; Hirao, Takashi

    2011-03-01

    The positive bias instabilities of the zinc oxide thin-film transistors (ZnO TFTs) with a SiOx/SiNx-stacked gate insulator have been investigated. The film quality of a gate insulator of SiOx, which forms an interface with the ZnO channel, was varied by changing the gas mixture ratio of SiH4/N2O/N2 during plasma-enhanced chemical vapor deposition. The positive bias stress endurance of ZnO TFT strongly depended on the deposition condition of the SiOx gate insulator. From the relaxations of the transfer curve shift after imposition of positive bias stress, transfer curves could not be recovered completely without any thermal annealing. A charge trapping in a gate insulator rather than that in bulk ZnO and its interface with a gate insulator is a dominant instability mechanism of ZnO TFTs under positive bias stress.

  15. High performance n-channel thin-film transistors with an amorphous phase C60 film on plastic substrate

    NASA Astrophysics Data System (ADS)

    Na, Jong H.; Kitamura, M.; Arakawa, Y.

    2007-11-01

    We fabricated high mobility, low voltage n-channel transistors on plastic substrates by combining an amorphous phase C60 film and a high dielectric constant gate insulator titanium silicon oxide (TiSiO2). The transistors exhibited high performance with a threshold voltage of 1.13V, an inverse subthreshold swing of 252mV/decade, and a field-effect mobility up to 1cm2/Vs at an operating voltage as low as 5V. The amorphous phase C60 films can be formed at room temperature, implying that this transistor is suitable for corresponding n-channel transistors in flexible organic logic devices.

  16. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    PubMed

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  17. Solution-processed lithium-doped zinc oxide thin-film transistors at low temperatures between 100 and 300 °C

    NASA Astrophysics Data System (ADS)

    Liu, Fangmei; Qian, Chuan; Sun, Jia; Liu, Peng; Huang, Yulan; Gao, Yongli; Yang, Junliang

    2016-04-01

    Lithium-doped zinc oxide (Li-ZnO) thin-film transistors (TFTs) were fabricated by solution process at the low temperatures ranged from 100 to 300 °C. Li-ZnO TFTs fabricated at 300 °C under nitrogen condition showed a mobility of 1.2 cm2/Vs. Most importantly, the mobility of Li-ZnO TFT devices fabricated at 100 °C could be increased significantly from 0.08 to 0.4 cm2/Vs by using double spin-coated and UV irradiation-treated Li-ZnO film, and the on-/off-current ratio is in the order of 106. Notably, the XPS analyses proved that the performance improvement was originated from the chemical composition or stoichiometry evolution, in which the hydroxide was converted into metal oxide and accelerated the formation of the oxygen vacancies. Furthermore, low-voltage operating Li-ZnO TFTs were demonstrated by using a high-capacitance ion gel gate dielectrics. The Li-ZnO TFTs with an operating voltage as low as 2 V exhibited the carrier mobilities of 2.1 and 0.65 cm2/Vs for the devices treated at 300 and 100 °C, respectively. The low-temperature, solution-processed Li-ZnO TFTs showed greatly potential applications in flexible displays, smart label, and sensors.

  18. Defect generation in amorphous-indium-gallium-zinc-oxide thin-film transistors by positive bias stress at elevated temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Um, Jae Gwang; Mativenga, Mallory; Jang, Jin, E-mail: jjang@khu.ac.kr

    2014-04-07

    We report on the generation and characterization of a hump in the transfer characteristics of amorphous indium gallium zinc-oxide thin-film transistors by positive bias temperature stress. The hump depends strongly on the gate bias stress at 100 °C. Due to the hump, the positive shift of the transfer characteristic in deep depletion is always smaller that in accumulation. Since, the latter shift is twice the former, with very good correlation, we conclude that the effect is due to creation of a double acceptor, likely to be a cation vacancy. Our results indicate that these defects are located near the gate insulator/activemore » layer interface, rather than in the bulk. Migration of donor defects from the interface towards the bulk may also occur under PBST at 100 °C.« less

  19. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition.

    PubMed

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-12-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al 2 O 3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a , which can explain the experimental observation. A high-field effect mobility of 9.4 cm 2 /Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 10 7 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  20. The Influence of Hafnium Doping on Density of States in Zinc Oxide Thin-Film Transistors Deposited via Atomic Layer Deposition

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Qin, Cunping; Song, Jiantao; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    Thin-film transistors (TFTs) with atomic layer deposition (ALD) HfZnO (HZO) as channel layer and Al2O3 as gate insulator were successfully fabricated. Compared with ZnO-TFT, the stability of HZO-TFT was obviously improved as Hf doping can suppress the generation of oxygen related defects. The transfer characteristics of TFTs at different temperatures were also investigated, and temperature stability enhancement was observed for the TFT with Hf doping. The density of states (DOS) was calculated based on the experimentally obtained E a, which can explain the experimental observation. A high-field effect mobility of 9.4 cm2/Vs, a suitable turn-on voltage of 0.26 V, a high on/off ratio of over 107 and a steep sub-threshold swing of 0.3 V/decade were obtained in HZO-TFT. The results showed that temperature stability enhancement in HfZnO thin-film transistors are attributed to the smaller DOS.

  1. Improvement in electrical characteristics of eco-friendly indium zinc oxide thin-film transistors by photocatalytic reaction.

    PubMed

    Kang, Jun Ki; Park, Sung Pyo; Na, Jae Won; Lee, Jin Hyeok; Kim, Dongwoo; Kim, Hyun Jae

    2018-05-11

    Eco-friendly solution-processed oxide thin-film transistors (TFTs) were fabricated through photocatalytic reaction of titanium dioxide (PRT). The titanium dioxide (TiO 2 ) surface reacts with H 2 O under ultraviolet (UV) light irradiation and generates hydroxyl radicals (OH∙). These hydroxyl radicals accelerate the decomposition of large organic compounds such as 2-methoxyethanol (2ME; one of the representative solvents for solution-processed metal oxides), creating smaller organic molecular structures compared with 2ME. The decomposed small organic materials have low molar masses and low boiling points, which help improving electrical properties via diminishing defect sites in oxide channel layers and fabricating low temperature solution-processed oxide TFTs. As a result, the field-effect mobility improved from 4.29 to 10.24 cm 2 /V·s for IGZO TFTs and from 2.78 to 7.82 cm 2 /V·s for IZO TFTs, and the V th shift caused by positive bias stress (PBS) and negative bias illumination stress (NBIS) over 1,000 s under 5,700 lux decreased from 6.2 to 2.9 V and from 15.3 to 2.8 V, respectively. In theory, TiO 2 has a permanent photocatalytic reaction; as such, hydroxyl radicals are generated continuously under UV irradiation, improving the electrical characteristics of solution-processed IZO TFTs even after four iterations of TiO 2 recycling in this study. Thus, the PRT method provides an eco-friendly approach for high-performance solution-processed oxide TFTs.

  2. Restorative effect of oxygen annealing on device performance in HfIZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Ha, Tae-Jun

    2015-03-01

    Metal-oxide based thin-film transistors (oxide-TFTs) are very promising for use in next generation electronics such as transparent displays requiring high switching and driving performance. In this study, we demonstrate an optimized process to secure excellent device performance with a favorable shift of the threshold voltage toward 0V in amorphous hafnium-indium-zinc-oxide (a-HfIZO) TFTs by using post-treatment with oxygen annealing. This enhancement results from the improved interfacial characteristics between gate dielectric and semiconductor layers due to the reduction in the density of interfacial states related to oxygen vacancies afforded by oxygen annealing. The device statistics confirm the improvement in the device-to-device and run-to-run uniformity. We also report on the photo-induced stability in such oxide-TFTs against long-term UV irradiation, which is significant for transparent displays.

  3. Self-aligned top-gate amorphous indium zinc oxide thin-film transistors exceeding low-temperature poly-Si transistor performance.

    PubMed

    Park, Jae Chul; Lee, Ho-Nyeon; Im, Seongil

    2013-08-14

    Thin-film transistor (TFT) is a key component of active-matrix flat-panel displays (AMFPDs). These days, the low-temperature poly silicon (LTPS) TFTs are to match with advanced AMFPDs such as the active matrix organic light-emitting diode (AMOLED) display, because of their high mobility for fast pixel switching. However, the manufacturing process of LTPS TFT is quite complicated, costly, and scale-limited. Amorphous oxide semiconductor (AOS) TFT technology is another candidate, which is as simple as that of conventioanl amorphous (a)-Si TFTs in fabrication but provides much superior device performances to those of a-Si TFTs. Hence, various AOSs have been compared with LTPS for active channel layer of the advanced TFTs, but have always been found to be relatively inferior to LTPS. In the present work, we clear the persistent inferiority, innovating the device performaces of a-IZO TFT by adopting a self-aligned coplanar top-gate structure and modifying the surface of a-IZO material. Herein, we demonstrate a high-performance simple-processed a-IZO TFT with mobility of ∼157 cm(2) V(-1) s(-1), SS of ∼190 mV dec(-1), and good bias/photostabilities, which overall surpass the performances of high-cost LTPS TFTs.

  4. The effect of annealing ambient on the characteristics of an indium-gallium-zinc oxide thin film transistor.

    PubMed

    Park, Soyeon; Bang, Seokhwan; Lee, Seungjun; Park, Joohyun; Ko, Youngbin; Jeon, Hyeongtag

    2011-07-01

    In this study, the effects of different annealing conditions (air, O2, N2, vacuum) on the chemical and electrical characteristics of amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFT) were investigated. The contact resistance and interface properties between the IGZO film and the gate dielectric improved after an annealing treatment. However, the chemical bonds in the IGZO bulk changed under various annealing atmospheres, which, in turn, altered the characteristics of the TFTs. The TFTs annealed in vacuum and N2 ambients exhibited undesired switching properties due to the high carrier concentration (>10(17) cm(-3)) of the IGZO active layer. In contrast, the IGZO TFTs annealed in air and oxygen ambients displayed clear transfer characteristics due to an adequately adjusted carrier concentration in the operating range of the TFT. Such an optimal carrier concentration arose through the stabilization of unstable chemical bonds in the IGZO film. With regard to device performance, the TFTs annealed in O2 and air exhibited saturation mobility values of 8.29 and 7.54 cm2/Vs, on-off ratios of 7.34 x 10(8) and 3.95 x 10(8), and subthreshold swing (SS) values of 0.23 and 0.19 V/decade, respectively. Therefore, proper annealing ambients contributed to internal modifications in the IGZO structure and led to an enhancement in the oxidation state of the metal. As a result, defects such as oxygen vacancies were eliminated. Oxygen annealing is thus effective for controlling the carrier concentration of the active layer, decreasing electron traps, and enhancing TFT performance.

  5. Recent progress in high-mobility thin-film transistors based on multilayer 2D materials

    NASA Astrophysics Data System (ADS)

    Hong, Young Ki; Liu, Na; Yin, Demin; Hong, Seongin; Kim, Dong Hak; Kim, Sunkook; Choi, Woong; Yoon, Youngki

    2017-04-01

    Two-dimensional (2D) layered semiconductors are emerging as promising candidates for next-generation thin-film electronics because of their high mobility, relatively large bandgap, low-power switching, and the availability of large-area growth methods. Thin-film transistors (TFTs) based on multilayer transition metal dichalcogenides or black phosphorus offer unique opportunities for next-generation electronic and optoelectronic devices. Here, we review recent progress in high-mobility transistors based on multilayer 2D semiconductors. We describe the theoretical background on characterizing methods of TFT performance and material properties, followed by their applications in flexible, transparent, and optoelectronic devices. Finally, we highlight some of the methods used in metal-semiconductor contacts, hybrid structures, heterostructures, and chemical doping to improve device performance.

  6. Metal Oxide Thin Film Transistors on Paper Substrate: Fabrication, Characterization, and Printing Process

    NASA Astrophysics Data System (ADS)

    Choi, Nack-Bong

    Flexible electronics is an emerging next-generation technology that offers many advantages such as light weight, durability, comfort, and flexibility. These unique features enable many new applications such as flexible display, flexible sensors, conformable electronics, and so forth. For decades, a variety of flexible substrates have been demonstrated for the application of flexible electronics. Most of them are plastic films and metal foils so far. For the fundamental device of flexible circuits, thin film transistors (TFTs) using poly silicon, amorphous silicon, metal oxide and organic semiconductor have been successfully demonstrated. Depending on application, low-cost and disposable flexible electronics will be required for convenience. Therefore it is important to study inexpensive substrates and to explore simple processes such as printing technology. In this thesis, paper is introduced as a new possible substrate for flexible electronics due to its low-cost and renewable property, and amorphous indium gallium zinc oxide (a-IGZO) TFTs are realized as the promising device on the paper substrate. The fabrication process and characterization of a-IGZO TFT on the paper substrate are discussed. a-IGZO TFTs using a polymer gate dielectric on the paper substrate demonstrate excellent performances with field effect mobility of ˜20 cm2 V-1 s-1, on/off current ratio of ˜106, and low leakage current, which show the enormous potential for flexible electronics application. In order to complement the n-channel a-IGZO TFTs and then enable complementary metal-oxide semiconductor (CMOS) circuit architectures, cuprous oxide is studied as a candidate material of p-channel oxide TFTs. In this thesis, a printing process is investigated as an alternative method for the fabrication of low-cost and disposable electronics. Among several printing methods, a modified offset roll printing that prints high resolution patterns is presented. A new method to fabricate a high resolution

  7. High-Performance Organic Vertical Thin Film Transistor Using Graphene as a Tunable Contact.

    PubMed

    Liu, Yuan; Zhou, Hailong; Weiss, Nathan O; Huang, Yu; Duan, Xiangfeng

    2015-11-24

    Here we present a general strategy for the fabrication of high-performance organic vertical thin film transistors (OVTFTs) based on the heterostructure of graphene and different organic semiconductor thin films. Utilizing the unique tunable work function of graphene, we show that the vertical carrier transport across the graphene-organic semiconductor junction can be effectively modulated to achieve an ON/OFF ratio greater than 10(3). Importantly, with the OVTFT design, the channel length is determined by the organic thin film thickness rather than by lithographic resolution. It can thus readily enable transistors with ultrashort channel lengths (<200 nm) to afford a delivering current greatly exceeding that of conventional planar TFTs, thus enabling a respectable operation frequency (up to 0.4 MHz) while using low-mobility organic semiconductors and low-resolution lithography. With this vertical device architecture, the entire organic channel is sandwiched and naturally protected between the source and drain electrodes, which function as the self-passivation layer to ensure stable operation of both p- and n-type OVTFTs in ambient conditions and enable complementary circuits with voltage gain. The creation of high-performance and highly robust OVTFTs can open up exciting opportunities in large-area organic macroelectronics.

  8. A Semi-Analytical Extraction Method for Interface and Bulk Density of States in Metal Oxide Thin-Film Transistors

    PubMed Central

    Chen, Weifeng; Wu, Weijing; Zhou, Lei; Xu, Miao; Wang, Lei; Peng, Junbiao

    2018-01-01

    A semi-analytical extraction method of interface and bulk density of states (DOS) is proposed by using the low-frequency capacitance–voltage characteristics and current–voltage characteristics of indium zinc oxide thin-film transistors (IZO TFTs). In this work, an exponential potential distribution along the depth direction of the active layer is assumed and confirmed by numerical solution of Poisson’s equation followed by device simulation. The interface DOS is obtained as a superposition of constant deep states and exponential tail states. Moreover, it is shown that the bulk DOS may be represented by the superposition of exponential deep states and exponential tail states. The extracted values of bulk DOS and interface DOS are further verified by comparing the measured transfer and output characteristics of IZO TFTs with the simulation results by a 2D device simulator ATLAS (Silvaco). As a result, the proposed extraction method may be useful for diagnosing and characterising metal oxide TFTs since it is fast to extract interface and bulk density of states (DOS) simultaneously. PMID:29534492

  9. Impact of SiNx capping on the formation of source/drain contact for In-Ga-Zn-O thin film transistor with self-aligned gate

    NASA Astrophysics Data System (ADS)

    Oh, Himchan; Pi, Jae-Eun; Hwang, Chi-Sun; Kwon, Oh-Sang

    2017-12-01

    Self-aligned gate structures are preferred for faster operation and scaling down of thin film transistors by reducing the overlapped region between source/drain and gate electrodes. Doping on source/drain regions is essential to fabricate such a self-aligned gate thin film transistor. For oxide semiconductors such as In-Ga-Zn-O, SiNx capping readily increases their carrier concentration. We report that the SiNx deposition temperature and thickness significantly affect the device properties, including threshold voltage, field effect mobility, and contact resistance. The reason for these variations in device characteristics mainly comes from the extension of the doped region to the gated area after the SiNx capping step. Analyses on capacitance-voltage and transfer length characteristics support this idea.

  10. Blending crystalline/liquid crystalline small molecule semiconductors: A strategy towards high performance organic thin film transistors

    NASA Astrophysics Data System (ADS)

    He, Chao; He, Yaowu; Li, Aiyuan; Zhang, Dongwei; Meng, Hong

    2016-10-01

    Solution processed small molecule polycrystalline thin films often suffer from the problems of inhomogeneity and discontinuity. Here, we describe a strategy to solve these problems through deposition of the active layer from a blended solution of crystalline (2-phenyl[1]benzothieno[3,2-b][1]benzothiophene, Ph-BTBT) and liquid crystalline (2-(4-dodecylphenyl) [1]benzothieno[3,2-b]benzothiophene, C12-Ph-BTBT) small molecule semiconductors with the hot spin-coating method. Organic thin film transistors with average hole mobility approaching 1 cm2/V s, much higher than that of single component devices, have been demonstrated, mainly due to the improved uniformity, continuity, crystallinity, and stronger intermolecular π-π stacking in blend thin films. Our results indicate that the crystalline/liquid crystalline semiconductor blend method is an effective way to enhance the performance of organic transistors.

  11. A compact model and direct parameters extraction techniques For amorphous gallium-indium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Moldovan, Oana; Castro-Carranza, Alejandra; Cerdeira, Antonio; Estrada, Magali; Barquinha, Pedro; Martins, Rodrigo; Fortunato, Elvira; Miljakovic, Slobodan; Iñiguez, Benjamin

    2016-12-01

    An advanced compact and analytical drain current model for the amorphous gallium indium zinc oxide (GIZO) thin film transistors (TFTs) is proposed. Its output saturation behavior is improved by introducing a new asymptotic function. All model parameters were extracted using an adapted version of the Universal Method and Extraction Procedure (UMEM) applied for the first time for GIZO devices in a simple and direct form. We demonstrate the correct behavior of the model for negative VDS, a necessity for a complete compact model. In this way we prove the symmetry of source and drain electrodes and extend the range of applications to both signs of VDS. The model, in Verilog-A code, is implemented in Electronic Design Automation (EDA) tools, such as Smart Spice, and compared with measurements of TFTs. It describes accurately the experimental characteristics in the whole range of GIZO TFTs operation, making the model suitable for the design of circuits using these types of devices.

  12. Recent progress in high performance and reliable n-type transition metal oxide-based thin film transistors

    NASA Astrophysics Data System (ADS)

    Kwon, Jang Yeon; Kyeong Jeong, Jae

    2015-02-01

    This review gives an overview of the recent progress in vacuum-based n-type transition metal oxide (TMO) thin film transistors (TFTs). Several excellent review papers regarding metal oxide TFTs in terms of fundamental electron structure, device process and reliability have been published. In particular, the required field-effect mobility of TMO TFTs has been increasing rapidly to meet the demands of the ultra-high-resolution, large panel size and three dimensional visual effects as a megatrend of flat panel displays, such as liquid crystal displays, organic light emitting diodes and flexible displays. In this regard, the effects of the TMO composition on the performance of the resulting oxide TFTs has been reviewed, and classified into binary, ternary and quaternary composition systems. In addition, the new strategic approaches including zinc oxynitride materials, double channel structures, and composite structures have been proposed recently, and were not covered in detail in previous review papers. Special attention is given to the advanced device architecture of TMO TFTs, such as back-channel-etch and self-aligned coplanar structure, which is a key technology because of their advantages including low cost fabrication, high driving speed and unwanted visual artifact-free high quality imaging. The integration process and related issues, such as etching, post treatment, low ohmic contact and Cu interconnection, required for realizing these advanced architectures are also discussed.

  13. Compositional tuning of atomic layer deposited MgZnO for thin film transistors

    NASA Astrophysics Data System (ADS)

    Wrench, J. S.; Brunell, I. F.; Chalker, P. R.; Jin, J. D.; Shaw, A.; Mitrovic, I. Z.; Hall, S.

    2014-11-01

    Thin film transistors (TFTs) have been fabricated using magnesium zinc oxide (MgZnO) layers deposited by atomic layer deposition at 200 °C. The composition of the MgZnO is systematically modified by varying the ratio of MgO and ZnO deposition cycles. A blue-shift of the near band-edge photoluminescence after post-deposition annealing at 300 °C indicates significant activation of the Mg dopant. A 7:1 ratio of ZnO:MgO deposition cycles was used to fabricate a device with a TFT channel width of 2000 μm and a channel length of 60 μm. This transistor yielded an effective saturation mobility of 4 cm2/V s and a threshold voltage of 7.1 V, respectively. The on/off ratio was 1.6 × 10 6 and the maximum interface state density at the ZnO/SiO2 interface is ˜ 6.5 × 10 12 cm-2.

  14. Nanometer-scale oxide thin film transistor with potential for high-density image sensor applications.

    PubMed

    Jeon, Sanghun; Park, Sungho; Song, Ihun; Hur, Ji-Hyun; Park, Jaechul; Kim, Hojung; Kim, Sunil; Kim, Sangwook; Yin, Huaxiang; Chung, U-In; Lee, Eunha; Kim, Changjung

    2011-01-01

    The integration of electronically active oxide components onto silicon circuits represents an innovative approach to improving the functionality of novel devices. Like most semiconductor devices, complementary-metal-oxide-semiconductor image sensors (CISs) have physical limitations when progressively scaled down to extremely small dimensions. In this paper, we propose a novel hybrid CIS architecture that is based on the combination of nanometer-scale amorphous In-Ga-Zn-O (a-IGZO) thin-film transistors (TFTs) and a conventional Si photo diode (PD). With this approach, we aim to overcome the loss of quantum efficiency and image quality due to the continuous miniaturization of PDs. Specifically, the a-IGZO TFT with 180 nm gate length is probed to exhibit remarkable performance including low 1/f noise and high output gain, despite fabrication temperatures as low as 200 °C. In particular, excellent device performance is achieved using a double-layer gate dielectric (Al₂O₃/SiO₂) combined with a trapezoidal active region formed by a tailored etching process. A self-aligned top gate structure is adopted to ensure low parasitic capacitance. Lastly, three-dimensional (3D) process simulation tools are employed to optimize the four-pixel CIS structure. The results demonstrate how our stacked hybrid device could be the starting point for new device strategies in image sensor architectures. Furthermore, we expect the proposed approach to be applicable to a wide range of micro- and nanoelectronic devices and systems.

  15. Titanyl phthalocyanine ambipolar thin film transistors making use of carbon nanotube electrodes

    NASA Astrophysics Data System (ADS)

    Coppedè, Nicola; Valitova, Irina; Mahvash, Farzaneh; Tarabella, Giuseppe; Ranzieri, Paolo; Iannotta, Salvatore; Santato, Clara; Martel, Richard; Cicoira, Fabio

    2014-12-01

    The capability of efficiently injecting charge carriers into organic films and finely tuning their morphology and structure is crucial to improve the performance of organic thin film transistors (OTFTs). In this work, we investigate OTFTs employing carbon nanotubes (CNTs) as the source-drain electrodes and, as the organic semiconductor, thin films of titanyl phthalocyanine (TiOPc) grown by supersonic molecular beam deposition (SuMBD). While CNT electrodes have shown an unprecedented ability to improve charge injection in OTFTs, SuMBD is an effective technique to tune film morphology and structure. Varying the substrate temperature during deposition, we were able to grow both amorphous (low substrate temperature) and polycrystalline (high substrate temperature) films of TiOPc. Regardless of the film morphology and structure, CNT electrodes led to superior charge injection and transport performance with respect to benchmark Au electrodes. Vacuum annealing of polycrystalline TiOPc films with CNT electrodes yielded ambipolar OTFTs.

  16. Modeling Thin Film Oxide Growth

    NASA Astrophysics Data System (ADS)

    Sherman, Quentin

    Thin film oxidation is investigated using two modeling techniques in the interest of better understanding the roles of space charge and non-equilibrium effects. An electrochemical phase-field model of an oxide-metal interface is formulated in one dimension and studied at equilibrium and during growth. An analogous sharp interface model is developed to validate the phase-field model in the thick film limit. Electrochemical profiles across the oxide are shown to deviate from the sharp interface prediction when the oxide film is thin compared to the Debye length, however no effect on the oxidation kinetics is found. This is attributed to the simple thermodynamic and kinetic models used therein. The phase-field model provides a framework onto to which additional physics can be added to better model thin film oxidation. A model for solute trapping during the oxidation of binary alloys is developed to study non-equilibrium effects during the early stages of oxide growth. The model is applied to NiCr alloys, and steady-state interfacial composition maps are presented for the growth of an oxide with the rock salt structure. No detailed experimental data is available to verify the predictions of the solute trapping model, however it is shown to be consistent with the trends observed during the early stages of NiCr oxidation. Lastly, experimental studies of the wet infiltration technique for decorating solid oxide fuel cell anodes with nickel nanoparticles are presented. The effect of nickel nitrate calcination parameters on the resulting nickel oxide microstructures are studied on both porous and planar substrates. Decreasing the calcination temperature and dwell time, as well as a dehydration step after nickel nitrate infiltration, are all shown to decrease the initial nickel oxide particle size, but other factors such as geometry and nickel loading per unit area also affected the final nickel particle size and morphology upon reduction.

  17. Fabrication of high performance thin-film transistors via pressure-induced nucleation.

    PubMed

    Kang, Myung-Koo; Kim, Si Joon; Kim, Hyun Jae

    2014-10-31

    We report a method to improve the performance of polycrystalline Si (poly-Si) thin-film transistors (TFTs) via pressure-induced nucleation (PIN). During the PIN process, spatial variation in the local solidification temperature occurs because of a non-uniform pressure distribution during laser irradiation of the amorphous Si layer, which is capped with an SiO2 layer. This leads to a four-fold increase in the grain size of the poly-Si thin-films formed using the PIN process, compared with those formed using conventional excimer laser annealing. We find that thin films with optimal electrical properties can be achieved with a reduction in the number of laser irradiations from 20 to 6, as well as the preservation of the interface between the poly-Si and the SiO2 gate insulator. This interface preservation becomes possible to remove the cleaning process prior to gate insulator deposition, and we report devices with a field-effect mobility greater than 160 cm(2)/Vs.

  18. Density of states-based design of metal oxide thin-film transistors for high mobility and superior photostability.

    PubMed

    Kim, Hyun-Suk; Park, Joon Seok; Jeong, Hyun-Kwang; Son, Kyoung Seok; Kim, Tae Sang; Seon, Jong-Baek; Lee, Eunha; Chung, Jae Gwan; Kim, Dae Hwan; Ryu, Myungkwan; Lee, Sang Yoon

    2012-10-24

    A novel method to design metal oxide thin-film transistor (TFT) devices with high performance and high photostability for next-generation flat-panel displays is reported. Here, we developed bilayer metal oxide TFTs, where the front channel consists of indium-zinc-oxide (IZO) and the back channel material on top of it is hafnium-indium-zinc-oxide (HIZO). Density-of-states (DOS)-based modeling and device simulation were performed in order to determine the optimum thickness ratio within the IZO/HIZO stack that results in the best balance between device performance and stability. As a result, respective values of 5 and 40 nm for the IZO and HIZO layers were determined. The TFT devices that were fabricated accordingly exhibited mobility values up to 48 cm(2)/(V s), which is much elevated compared to pure HIZO TFTs (∼13 cm(2)/(V s)) but comparable to pure IZO TFTs (∼59 cm(2)/(V s)). Also, the stability of the bilayer device (-1.18 V) was significantly enhanced compared to the pure IZO device (-9.08 V). Our methodology based on the subgap DOS model and simulation provides an effective way to enhance the device stability while retaining a relatively high mobility, which makes the corresponding devices suitable for ultradefinition, large-area, and high-frame-rate display applications.

  19. High Mobility Flexible Amorphous IGZO Thin-Film Transistors with a Low Thermal Budget Ultra-Violet Pulsed Light Process.

    PubMed

    Benwadih, M; Coppard, R; Bonrad, K; Klyszcz, A; Vuillaume, D

    2016-12-21

    Amorphous, sol-gel processed, indium gallium zinc oxide (IGZO) transistors on plastic substrate with a printable gate dielectric and an electron mobility of 4.5 cm 2 /(V s), as well as a mobility of 7 cm 2 /(V s) on solid substrate (Si/SiO 2 ) are reported. These performances are obtained using a low temperature pulsed light annealing technique. Ultraviolet (UV) pulsed light system is an innovative technique compared to conventional (furnace or hot-plate) annealing process that we successfully implemented on sol-gel IGZO thin film transistors (TFTs) made on plastic substrate. The photonic annealing treatment has been optimized to obtain IGZO TFTs with significant electrical properties. Organic gate dielectric layers deposited on this pulsed UV light annealed films have also been optimized. This technique is very promising for the development of amorphous IGZO TFTs on plastic substrates.

  20. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  1. High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned Fine Channel Bank Structure.

    PubMed

    Zhang, Qing; Shao, Shuangshuang; Chen, Zheng; Pecunia, Vincenzo; Xia, Kai; Zhao, Jianwen; Cui, Zheng

    2018-05-09

    A self-aligned inkjet printing process has been developed to construct small channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to pattern hydrophobic banks on the transparent substrate instead of commonly used self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside using bottom-gate electrodes as mask formed hydrophilic channel areas for the TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic channel region and confined by the hydrophobic bank structure, resulting in the precise deposition of semiconductor layers just above the gate electrodes. Inkjet-printed IGZO TFTs with independent gate electrodes of 10 μm width have been demonstrated, avoiding completely printed channel beyond the broad of the gate electrodes. The TFTs showed on/off ratios of 10 8 , maximum mobility of 3.3 cm 2 V -1 s -1 , negligible hysteresis, and good uniformity. This method is conductive to minimizing the area of printed TFTs so as to the development of high-resolution printing displays.

  2. Fabrication of Zinc Oxide-Based Thin-Film Transistors by Radio Frequency Sputtering for Ultraviolet Sensing Applications.

    PubMed

    Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Li, Chih-Wei; Li, Jyun-Yi; Lin, Chih-Chien

    2018-05-01

    In this study, zinc indium tin oxide thin-film transistors (ZITO TFTs) were fabricated by the radio frequency (RF) sputtering deposition method. Adding indium cations to ZnO by co-sputtering allows the development of ZITO TFTs with improved performance. Material characterization revealed that ZITO TFTs have a threshold voltage of 0.9 V, a subthreshold swing of 0.294 V/decade, a field-effect mobility of 5.32 cm2/Vs, and an on-off ratio of 4.7 × 105. Furthermore, an investigation of the photosensitivity of the fabricated devices was conducted by an illumination test. The responsivity of ZITO TFTs was 26 mA/W, with 330-nm illumination and a gate bias of -1 V. The UV-to-visible rejection ratio for ZITO TFTs was 2706. ZITO TFTs were observed to have greater UV light sensitivity than that of ZnO TFTs. We believe that these results suggest a significant step toward achieving high photosensitivity. In addition, the ZITO semiconductor system could be a promising candidate for use in high performance transparent TFTs, as well as further sensing applications.

  3. Atomic layer deposition of Nb-doped ZnO for thin film transistors

    NASA Astrophysics Data System (ADS)

    Shaw, A.; Wrench, J. S.; Jin, J. D.; Whittles, T. J.; Mitrovic, I. Z.; Raja, M.; Dhanak, V. R.; Chalker, P. R.; Hall, S.

    2016-11-01

    We present physical and electrical characterization of niobium-doped zinc oxide (NbZnO) for thin film transistor (TFT) applications. The NbZnO films were deposited using atomic layer deposition. X-ray diffraction measurements indicate that the crystallinity of the NbZnO films reduces with an increase in the Nb content and lower deposition temperature. It was confirmed using X-ray photoelectron spectroscopy that Nb5+ is present within the NbZnO matrix. Furthermore, photoluminescence indicates that the band gap of the ZnO increases with a higher Nb content, which is explained by the Burstein-Moss effect. For TFT applications, a growth temperature of 175 °C for 3.8% NbZnO provided the best TFT characteristics with a saturation mobility of 7.9 cm2/Vs, the current On/Off ratio of 1 × 108, and the subthreshold swing of 0.34 V/decade. The transport is seen to follow a multiple-trap and release mechanism at lower gate voltages and percolation thereafter.

  4. Reduction of hysteresis in solution-processed InGaZnO thin-film transistors through uni-directional pre-annealing

    NASA Astrophysics Data System (ADS)

    Kim, Young-Rae; Kwon, Jin-Hyuk; Vincent, Premkumar; Kim, Do-Kyung; Jeong, Hyeon-Seok; Hahn, Joonku; Bae, Jin-Hyuk; Park, Jaehoon

    2018-01-01

    The hysteresis of the solution-processed oxide thin-film transistors (TFTs) is fatal issue to interrupt stable operation. So, we came up with uni-directional pre-annealing to solve the problem. There are inevitable defects when solution-processed oxide TFTs are fabricated, due to the porosities by the solvent volatilization. Also oxygen vacancies needed for carrier generation in metal oxide semiconductor can be trap states inducing charge carrier trapping. Uni-directional pre-annealing improved the hysteresis, preventing randomly solvent evaporation and decreased the defects of the film. We can result in advanced stability of the solution-processed oxide TFTs, at the same time showing that the field effect mobility was enhanced from 3.35 cm2/Vs to 4.78 cm2/Vs simultaneously, and exhibiting better subthreshold swing from 0.89 V/dec to 0.23 V/dec.

  5. Carbon nanotube network thin-film transistors on flexible/stretchable substrates

    DOEpatents

    Takei, Kuniharu; Takahashi, Toshitake; Javey, Ali

    2016-03-29

    This disclosure provides systems, methods, and apparatus for flexible thin-film transistors. In one aspect, a device includes a polymer substrate, a gate electrode disposed on the polymer substrate, a dielectric layer disposed on the gate electrode and on exposed portions of the polymer substrate, a carbon nanotube network disposed on the dielectric layer, and a source electrode and a drain electrode disposed on the carbon nanotube network.

  6. Electrical instability of high-mobility zinc oxynitride thin-film transistors upon water exposure

    NASA Astrophysics Data System (ADS)

    Kim, Dae-Hwan; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-03-01

    We investigate the effects of water absorption on the electrical performance and stability in high-mobility zinc oxynitride (ZnON) thin-film transistors (TFTs). The ZnON TFT exhibits a smaller field-effect mobility, lower turn-on voltage, and higher subthreshold slope with a deteriorated electrical stability under positive gate bias stresses after being exposed to water. From the Hall measurements, an increase of the electron concentration and a decrease of the Hall mobility are observed in the ZnON thin film after water absorption. The observed phenomena are mainly attributed to the water molecule-induced increase of the defective ZnXNY bond and the oxygen vacancy inside the ZnON thin film based on the x-ray photoelectron spectroscopy analysis.

  7. Surface Modification of Solution-Processed ZrO2 Films through Double Coating for Pentacene Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Kwon, Jin-Hyuk; Bae, Jin-Hyuk; Lee, Hyeonju; Park, Jaehoon

    2018-03-01

    We report the modification of surface properties of solution-processed zirconium oxide (ZrO2) dielectric films achieved by using double-coating process. It is proven that the surface properties of the ZrO2 film are modified through the double-coating process; the surface roughness decreases and the surface energy increases. The present surface modification of the ZrO2 film contributes to an increase in grain size of the pentacene film, thereby increasing the field-effect mobility and decreasing the threshold voltage of the pentacene thin-film transistors (TFTs) having the ZrO2 gate dielectric. Herein, the molecular orientation of pentacene film is also studied based on the results of contact angle and X-ray diffraction measurements. Pentacene molecules on the double-coated ZrO2 film are found to be more tilted than those on the single-coated ZrO2 film, which is attributed to the surface modification of the ZrO2 film. However, no significant differences are observed in insulating properties between the single-and the double-coated ZrO2 dielectric films. Consequently, the characteristic improvements of the pentacene TFTs with the double-coated ZrO2 gate dielectric film can be understood through the increase in pentacene grain size and the reduction in grain boundary density.

  8. Experimental and numerical investigation of contact-area-limited doping for top-contact pentacene thin-film transistors with Schottky contact.

    PubMed

    Noda, Kei; Wada, Yasuo; Toyabe, Toru

    2015-10-28

    Effects of contact-area-limited doping for pentacene thin-film transistors with a bottom-gate, top-contact configuration were investigated. The increase in the drain current and the effective field-effect mobility was achieved by preparing hole-doped layers underneath the gold contact electrodes by coevaporation of pentacene and 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ), confirmed by using a thin-film organic transistor advanced simulator (TOTAS) incorporating Schottky contact with a thermionic field emission (TFE) model. Although the simulated electrical characteristics fit the experimental results well only in the linear regime of the transistor operation, the barrier height for hole injection and the gate-voltage-dependent hole mobility in the pentacene transistors were evaluated with the aid of the device simulation. This experimental data analysis with the simulation indicates that the highly-doped semiconducting layers prepared in the contact regions can enhance the charge carrier injection into the active semiconductor layer and concurrent trap filling in the transistor channel, caused by the mitigation of a Schottky energy barrier. This study suggests that both the contact-area-limited doping and the device simulation dealing with Schottky contact are indispensable in designing and developing high-performance organic thin-film transistors.

  9. Low leakage current gate dielectrics prepared by ion beam assisted deposition for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Chang Su; Jo, Sung Jin; Kim, Jong Bok; Ryu, Seung Yoon; Noh, Joo Hyon; Baik, Hong Koo; Lee, Se Jong; Kim, Youn Sang

    2007-12-01

    This communication reports on the fabrication of low operating voltage pentacene thin-film transistors with high-k gate dielectrics by ion beam assisted deposition (IBAD). These densely packed dielectric layers by IBAD show a much lower level of leakage current than those created by e-beam evaporation. These results, from the fact that those thin films deposited with low adatom mobility, have an open structure, consisting of spherical grains with pores in between, that acts as a significant path for leakage current. By contrast, our results demonstrate the potential to limit this leakage. The field effect mobility, on/off current ratio, and subthreshold slope obtained from pentacene thin-film transistors (TFTs) were 1.14 cm2/V s, 105, and 0.41 V/dec, respectively. Thus, the high-k gate dielectrics obtained by IBAD show promise in realizing low leakage current, low voltage, and high mobility pentacene TFTs.

  10. Electrical in-situ characterisation of interface stabilised organic thin-film transistors

    PubMed Central

    Striedinger, Bernd; Fian, Alexander; Petritz, Andreas; Lassnig, Roman; Winkler, Adolf; Stadlober, Barbara

    2015-01-01

    We report on the electrical in-situ characterisation of organic thin film transistors under high vacuum conditions. Model devices in a bottom-gate/bottom-contact (coplanar) configuration are electrically characterised in-situ, monolayer by monolayer (ML), while the organic semiconductor (OSC) is evaporated by organic molecular beam epitaxy (OMBE). Thermal SiO2 with an optional polymer interface stabilisation layer serves as the gate dielectric and pentacene is chosen as the organic semiconductor. The evolution of transistor parameters is studied on a bi-layer dielectric of a 150 nm of SiO2 and 20 nm of poly((±)endo,exo-bicyclo[2.2.1]hept-5-ene-2,3-dicarboxylic acid, diphenylester) (PNDPE) and compared to the behaviour on a pure SiO2 dielectric. The thin layer of PNDPE, which is an intrinsically photo-patternable organic dielectric, shows an excellent stabilisation performance, significantly reducing the calculated interface trap density at the OSC/dielectric interface up to two orders of magnitude, and thus remarkably improving the transistor performance. PMID:26457122

  11. Tunability of p- and n-channel TiOx thin film transistors.

    PubMed

    Peng, Wu-Chang; Chen, Yao-Ching; He, Ju-Liang; Ou, Sin-Liang; Horng, Ray-Hua; Wuu, Dong-Sing

    2018-06-18

    To acquire device-quality TiO x films usually needs high-temperature growth or additional post-thermal treatment. However, both processes make it very difficult to form the p-type TiO x even under oxygen-poor growth condition. With the aid of high energy generated by high power impulse magnetron sputtering (HIPIMS), a highly stable p-type TiO x film with good quality can be achieved. In this research, by varying the oxygen flow rate, p-type γ-TiO and n-type TiO 2 films were both prepared by HIPIMS. Furthermore, p- and n-type thin film transistors employing γ-TiO and TiO 2 as channel layers possess the field-effect carrier mobilities of 0.2 and 0.7 cm 2 /Vs, while their on/off current ratios are 1.7 × 10 4 and 2.5 × 10 5 , respectively. The first presented p-type γ-TiO TFT is a major breakthrough for fabricating the TiO x -based p-n combinational devices. Additionally, our work also confirms HIPIMS offers the possibility of growing both p- and n-type conductive oxides, significantly expanding the practical usage of this technique.

  12. Charge injection from gate electrode by simultaneous stress of optical and electrical biases in HfInZnO amorphous oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Kwon, Dae Woong; Kim, Jang Hyun; Chang, Ji Soo; Kim, Sang Wan; Sun, Min-Chul; Kim, Garam; Kim, Hyun Woo; Park, Jae Chul; Song, Ihun; Kim, Chang Jung; Jung, U. In; Park, Byung-Gook

    2010-11-01

    A comprehensive study is done regarding stabilities under simultaneous stress of light and dc-bias in amorphous hafnium-indium-zinc-oxide thin film transistors. The positive threshold voltage (Vth) shift is observed after negative gate bias and light stress, and it is completely different from widely accepted phenomenon which explains that negative-bias stress results in Vth shift in the left direction by bias-induced hole-trapping. Gate current measurement is performed to explain the unusual positive Vth shift under simultaneous application of light and negative gate bias. As a result, it is clearly found that the positive Vth shift is derived from electron injection from gate electrode to gate insulator.

  13. Photocurrent Suppression of Transparent Organic Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Chuang, Chiao-Shun; Tsai, Shu-Ting; Lin, Yung-Sheng; Chen, Fang-Chung; Shieh, Hang-Ping D.

    2007-12-01

    Organic thin-film transistors (OTFTs) with high transmittance and low photosensitivity have been demonstrated. By using titanium dioxide nanoparticles as the additives in the polymer gate insulators, the level of device photoresponse has been reduced. The device shows simultaneously a high transparence and a minimal threshold voltage shift under white light illumination. It is inferred that the localized energy levels deep in the energy gap of pentacene behave as the recombination centers, enhancing substantially the recombination process in the conducting channel of the OTFTs. Therefore, the electron trapping is relieved and the shift of threshold voltage is reduced upon illumination.

  14. Memristive Properties of Thin Film Cuprous Oxide

    DTIC Science & Technology

    2011-03-01

    Equation Chapter 1 Section 1 MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Brett C...Force Base, Ohio APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED The views expressed in this thesis are those of the...MEMRISTIVE PROPERTIES OF THIN FILM CUPROUS OXIDE THESIS Presented to the Faculty Department of Engineering Physics Graduate School of

  15. Morphological and crystalline characterization of pulsed laser deposited pentacene thin films for organic transistor applications

    NASA Astrophysics Data System (ADS)

    Pereira, Antonio; Bonhommeau, Sébastien; Sirotkin, Sergey; Desplanche, Sarah; Kaba, Mamadouba; Constantinescu, Catalin; Diallo, Abdou Karim; Talaga, David; Penuelas, Jose; Videlot-Ackermann, Christine; Alloncle, Anne-Patricia; Delaporte, Philippe; Rodriguez, Vincent

    2017-10-01

    We show that high-quality pentacene (P5) thin films of high crystallinity and low surface roughness can be produced by pulsed laser deposition (PLD) without inducing chemical degradation of the molecules. By using Raman spectroscopy and X-ray diffraction measurements, we also demonstrate that the deposition of P5 on Au layers result in highly disordered P5 thin films. While the P5 molecules arrange within the well-documented 1.54-nm thin-film phase on high-purity fused silica substrates, this ordering is indeed destroyed upon introducing an Au interlayer. This observation may be one explanation for the low electrical performances measured in P5-based organic thin film transistors (OTFTs) deposited by laser-induced forward transfer (LIFT).

  16. Simulation model for electron irradiated IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Dayananda, G. K.; Shantharama Rai, C.; Jayarama, A.; Kim, Hyun Jae

    2018-02-01

    An efficient drain current simulation model for the electron irradiation effect on the electrical parameters of amorphous In-Ga-Zn-O (IGZO) thin-film transistors is developed. The model is developed based on the specifications such as gate capacitance, channel length, channel width, flat band voltage etc. Electrical parameters of un-irradiated IGZO samples were simulated and compared with the experimental parameters and 1 kGy electron irradiated parameters. The effect of electron irradiation on the IGZO sample was analysed by developing a mathematical model.

  17. All-Printed, Foldable Organic Thin-Film Transistors on Glassine Paper.

    PubMed

    Hyun, Woo Jin; Secor, Ethan B; Rojas, Geoffrey A; Hersam, Mark C; Francis, Lorraine F; Frisbie, C Daniel

    2015-11-25

    All-printed, foldable organic thin-film transistors are demonstrated on glassine paper with a combination of advanced materials and processing techniques. Glassine paper provides a suitable surface for high-performance printing methods, while graphene electrodes and an ion-gel gate dielectric enable robust stability over 100 folding cycles. Altogether, this study features a practical platform for low-cost, large-area, and foldable electronics. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Unidirectional oxide hetero-interface thin-film diode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing amore » high feasibility for practical applications.« less

  19. Purely electronic mechanism of electrolyte gating of indium tin oxide thin films

    DOE PAGES

    Leng, X.; Bozovic, I.; Bollinger, A. T.

    2016-08-10

    Epitaxial indium tin oxide films have been grown on both LaAlO 3 and yttria-stabilized zirconia substrates using RF magnetron sputtering. Electrolyte gating causes a large change in the film resistance that occurs immediately after the gate voltage is applied, and shows no hysteresis during the charging/discharging processes. When two devices are patterned next to one another and the first one gated through an electrolyte, the second one shows no changes in conductance, in contrast to what happens in materials (like tungsten oxide) susceptible to ionic electromigration and intercalation. These findings indicate that electrolyte gating in indium tin oxide triggers amore » pure electronic process (electron depletion or accumulation, depending on the polarity of the gate voltage), with no electrochemical reactions involved. Electron accumulation occurs in a very thin layer near the film surface, which becomes highly conductive. These results contribute to our understanding of the electrolyte gating mechanism in complex oxides and may be relevant for applications of electric double layer transistor devices.« less

  20. Channel layer thickness dependence of In-Ti-Zn-O thin-film transistors fabricated using pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Zhang, Q.; Shan, F. K.; Liu, G. X.; Liu, A.; Lee, W. J.; Shin, B. C.

    2014-05-01

    Amorphous indium-titanium-zinc-oxide (ITZO) thin-film transistors (TFTs) with various channel thicknesses were fabricated at room temperature by using pulsed laser deposition. The channel layer thickness (CLT) dependence of the TFTs was investigated. All the ITZO thin films were amorphous, and the surface roughnesses decreased slightly first and then increased with increasing CLT. With increasing CLT from 35 to 140 nm, the on/off current ratio and the field-effect mobility increased, and the subthreshold swing decreased. The TFT with a CLT of 210 nm exhibited the worst performance, while the ITZO TFT with a CLT of 140 nm exhibited the best performance with a subthreshold voltage of 2.86 V, a mobility of 53.9 cm2V-1s-1, a subthreshold swing of 0.29 V/decade and an on/off current ratio of 109.

  1. Improving yield and performance in ZnO thin-film transistors made using selective area deposition.

    PubMed

    Nelson, Shelby F; Ellinger, Carolyn R; Levy, David H

    2015-02-04

    We describe improvements in both yield and performance for thin-film transistors (TFTs) fabricated by spatial atomic layer deposition (SALD). These improvements are shown to be critical in forming high-quality devices using selective area deposition (SAD) as the patterning method. Selective area deposition occurs when the precursors for the deposition are prevented from reacting with some areas of the substrate surface. Controlling individual layer quality and the interfaces between layers is essential for obtaining good-quality thin-film transistors and capacitors. The integrity of the gate insulator layer is particularly critical, and we describe a method for forming a multilayer dielectric using an oxygen plasma treatment between layers that improves crossover yield. We also describe a method to achieve improved mobility at the important interface between the semiconductor and the gate insulator by, conversely, avoiding oxygen plasma treatment. Integration of the best designs results in wide design flexibility, transistors with mobility above 15 cm(2)/(V s), and good yield of circuits.

  2. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  3. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2015-08-12

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  4. Microcrystalline silicon thin-film transistors for large area electronic applications

    NASA Astrophysics Data System (ADS)

    Chan, Kah-Yoong; Bunte, Eerke; Knipp, Dietmar; Stiebig, Helmut

    2007-11-01

    Thin-film transistors (TFTs) based on microcrystalline silicon (µc-Si:H) exhibit high charge carrier mobilities exceeding 35 cm2 V-1 s-1. The devices are fabricated by plasma-enhanced chemical vapor deposition at substrate temperatures below 200 °C. The fabrication process of the µc-Si:H TFTs is similar to the low temperature fabrication of amorphous silicon TFTs. The electrical characteristics of the µc-Si:H-based transistors will be presented. As the device charge carrier mobility of short channel TFTs is limited by the contacts, the influence of the drain and source contacts on the device parameters including the device charge carrier mobility and the device threshold voltage will be discussed. The experimental data will be described by a modified standard transistor model which accounts for the contact effects. Furthermore, the transmission line method was used to extract the device parameters including the contact resistance. The modified standard transistor model and the transmission line method will be compared in terms of the extracted device parameters and contact resistances.

  5. Synthesis, characterization and oxidation of metallic cobalt (Co) thin film into semiconducting cobalt oxide (Co3O4)thin film using microwave plasma CVD

    NASA Astrophysics Data System (ADS)

    Rahman Ansari, Akhalakur; Hussain, Shahir; Imran, Mohd; Abdel-wahab, M. Sh; Alshahrie, Ahmed

    2018-06-01

    The pure cobalt thin film was deposited on the glass substrate by using DC magnetron sputtering and then exposed to microwave assist oxygen plasma generated in microwave plasma CVD. The oxidation process of Co thin film into Co3O4 thin films with different microwave power and temperature were studied. The influences of microwave power, temperature and irradiation time were investigated on the morphology and particle size of oxide thin films. The crystal structure, chemical conformation, morphologies and optical properties of oxidized Co thin films (Co3O4) were studied by using x-ray diffraction (XRD), Field emission scanning electron microscopy (FESEM), Raman Spectroscopy and UV–vis Spectroscopy. The data of these films showed complete oxidation pure metallic cobalt (Co) into cobalt oxide (Co3O4). The optical properties were studied for calculating the direct band gaps which ranges from 1.35 to 1.8 eV.

  6. Pulsed photonic fabrication of nanostructured metal oxide thin films

    NASA Astrophysics Data System (ADS)

    Bourgeois, Briley B.; Luo, Sijun; Riggs, Brian C.; Adireddy, Shiva; Chrisey, Douglas B.

    2017-09-01

    Nanostructured metal oxide thin films with a large specific surface area are preferable for practical device applications in energy conversion and storage. Herein, we report instantaneous (milliseconds) photonic synthesis of three-dimensional (3-D) nanostructured metal oxide thin films through the pulsed photoinitiated pyrolysis of organometallic precursor films made by chemical solution deposition. High wall-plug efficiency-pulsed photonic irradiation (xenon flash lamp, pulse width of 1.93 ms, fluence of 7.7 J/cm2 and frequency of 1.2 Hz) is used for scalable photonic processing. The photothermal effect of subsequent pulses rapidly improves the crystalline quality of nanocrystalline metal oxide thin films in minutes. The following paper highlights pulsed photonic fabrication of 3-D nanostructured TiO2, Co3O4, and Fe2O3 thin films, exemplifying a promising new method for the low-cost and high-throughput manufacturing of nanostructured metal oxide thin films for energy applications.

  7. Drying Temperature Dependence of Sol-gel Spin Coated Bilayer Composite ZnO/TiO2 Thin Films for Extended Gate Field Effect Transistor pH Sensor

    NASA Astrophysics Data System (ADS)

    Rahman, R. A.; Zulkefle, M. A.; Yusoff, K. A.; Abdullah, W. F. H.; Rusop, M.; Herman, S. H.

    2018-03-01

    This study presents an investigation on zinc oxide (ZnO) and titanium dioxide (TiO2) bilayer film applied as the sensing membrane for extended-gate field effect transistor (EGFET) for pH sensing application. The influences of the drying temperatures on the pH sensing capability of ZnO/TiO2 were investigated. The sensing performance of the thin films were measured by connecting the thin film to a commercial MOSFET to form the extended gates. By varying the drying temperature, we found that the ZnO/TiO2 thin film dried at 150°C gave the highest sensitivity compared to other drying conditions, with the sensitivity value of 48.80 mV/pH.

  8. Improvement in gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors using microwave irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jo, Kwang-Won; Cho, Won-Ju, E-mail: chowj@kw.ac.kr

    In this study, we evaluated the effects of microwave irradiation (MWI) post-deposition-annealing (PDA) treatment on the gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) and compared the results with a conventional thermal annealing PDA treatment. The MWI-PDA-treated a-IGZO TFTs exhibited enhanced electrical performance as well as improved long-term stability with increasing microwave power. The positive turn-on voltage shift (ΔV{sub ON}) as a function of stress time with positive bias and varying temperature was precisely modeled on a stretched-exponential equation, suggesting that charge trapping is a dominant mechanism in the instability of MWI-PDA-treated a-IGZO TFTs. The characteristicmore » trapping time and average effective barrier height for electron transport indicate that the MWI-PDA treatment effectively reduces the defects in a-IGZO TFTs, resulting in a superior resistance against gate bias stress.« less

  9. Electrothermal Annealing (ETA) Method to Enhance the Electrical Performance of Amorphous-Oxide-Semiconductor (AOS) Thin-Film Transistors (TFTs).

    PubMed

    Kim, Choong-Ki; Kim, Eungtaek; Lee, Myung Keun; Park, Jun-Young; Seol, Myeong-Lok; Bae, Hagyoul; Bang, Tewook; Jeon, Seung-Bae; Jun, Sungwoo; Park, Sang-Hee K; Choi, Kyung Cheol; Choi, Yang-Kyu

    2016-09-14

    An electro-thermal annealing (ETA) method, which uses an electrical pulse of less than 100 ns, was developed to improve the electrical performance of array-level amorphous-oxide-semiconductor (AOS) thin-film transistors (TFTs). The practicality of the ETA method was experimentally demonstrated with transparent amorphous In-Ga-Zn-O (a-IGZO) TFTs. The overall electrical performance metrics were boosted by the proposed method: up to 205% for the trans-conductance (gm), 158% for the linear current (Ilinear), and 206% for the subthreshold swing (SS). The performance enhancement were interpreted by X-ray photoelectron microscopy (XPS), showing a reduction of oxygen vacancies in a-IGZO after the ETA. Furthermore, by virtue of the extremely short operation time (80 ns) of ETA, which neither provokes a delay of the mandatory TFTs operation such as addressing operation for the display refresh nor demands extra physical treatment, the semipermanent use of displays can be realized.

  10. Growth Of Organic Semiconductor Thin Films with Multi-Micron Domain Size and Fabrication of Organic Transistors Using a Stencil Nanosieve.

    PubMed

    Fesenko, Pavlo; Flauraud, Valentin; Xie, Shenqi; Kang, Enpu; Uemura, Takafumi; Brugger, Jürgen; Genoe, Jan; Heremans, Paul; Rolin, Cédric

    2017-07-19

    To grow small molecule semiconductor thin films with domain size larger than modern-day device sizes, we evaporate the material through a dense array of small apertures, called a stencil nanosieve. The aperture size of 0.5 μm results in low nucleation density, whereas the aperture-to-aperture distance of 0.5 μm provides sufficient crosstalk between neighboring apertures through the diffusion of adsorbed molecules. By integrating the nanosieve in the channel area of a thin-film transistor mask, we show a route for patterning both the organic semiconductor and the metal contacts of thin-film transistors using one mask only and without mask realignment.

  11. Theory and Device Modeling for Nano-Structured Transistor Channels

    DTIC Science & Technology

    2011-06-01

    zinc oxide ( ZnO ) thin film transistors ( TFTs ) that contain nanocrystalline grains on the order of ~20nm. The authors of ref. 1 present results...problem in order to determine the threshold voltage. 15. SUBJECT TERMS nano-structured transistor , mesoscopic, zinc oxide , ZnO , field-effect...and R. Neidhard, “Microwave ZnO Thin - Film Transistors ”, IEEE Electron Dev. Lett. 29, 1024 (2008); doi: 10.1109/LED.2008.2001635.

  12. Fabrication and Characteristics of High Mobility InSnZnO Thin Film Transistors.

    PubMed

    Choi, Pyungho; Lee, Junki; Park, Hyoungsun; Baek, Dohyun; Lee, Jaehyeong; Yi, Junsin; Kim, Sangsoo; Choi, Byoungdeog

    2016-05-01

    In this paper, we describe the fabrication of thin film transistors (TFTs) with amorphous indium-tin-zinc-oxide (ITZO) as the active material. A transparent ITZO channel layer was formed under an optimized oxygen partial pressure (OPP (%) = O2/(Ar + O2)) and subsequent annealing process. The electrical properties exhibited by this device include field-effect mobility (μ(eff)), sub-threshold swing (SS), and on/off current ratio (I(ON/OFF)) values of 28.97 cm2/V x s, 0.2 V/decade, and 2.64 x 10(7), respectively. The average transmittance values for each OPP condition in the visible range were greater than 80%. The positive gate bias stress resulted in a positive threshold voltage (V(th)) shift in the transfer curves and degraded the parameters μ(eff) and SS. These phenomena originated from electron trapping from the ITZO channel layer into the oxide/ITZO interface trap sites.

  13. Organic thin film transistors using a liquid crystalline palladium phthalocyanine as active layer

    NASA Astrophysics Data System (ADS)

    Jiménez Tejada, Juan A.; Lopez-Varo, Pilar; Chaure, Nandu B.; Chambrier, Isabelle; Cammidge, Andrew N.; Cook, Michael J.; Jafari-Fini, Ali; Ray, Asim K.

    2018-03-01

    70 nm thick solution-processed films of a palladium phthalocyanine (PdPc6) derivative bearing eight hexyl (-C6H13) chains at non-peripheral positions have been employed as active layers in the fabrication of bottom-gate bottom-contact organic thin film transistors (OTFTs) deposited on highly doped p-type Si (110) substrates with SiO2 gate dielectric. The dependence of the transistor electrical performance upon the mesophase behavior of the PdPc6 films has been investigated by measuring the output and transfer characteristics of the OTFT having its active layer ex situ vacuum annealed at temperatures between 500 °C and 200 °C. A clear correlation between the annealing temperature and the threshold voltage and carrier mobility of the transistors, and the transition temperatures extracted from the differential scanning calorimetric curves for bulk materials has been established. This direct relation has been obtained by means of a compact electrical model in which the contact effects are taken into account. The precise determination of the contact-voltage drain-current curves allows for obtaining such a relation.

  14. Fabrication of eco-friendly PNP transistor using RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kumar, B. Santhosh; Harinee, N.; Purvaja, K.; Shanker, N. Praveen; Manikandan, M.; Aparnadevi, N.; Mukilraj, T.; Venkateswaran, C.

    2018-05-01

    An effort has been made to fabricate a thin film transistor using eco-friendly oxide semiconductor materials. Oxide semiconductor materials are cost - effective, thermally and chemically stable with high electron/hole mobility. Copper (II) oxide is a p-type semiconductor and zinc oxide is an n-type semiconductor. A pnp thin film transistor was fabricated using RF magnetron sputtering. The films deposited have been subjected to structural characterization using AFM. I-V characterization of the fabricated device, Ag/CuO/ZnO/CuO/Ag, confirms transistor behaviour. The mechanism of electron/hole transport of the device is discussed below.

  15. Highly stable field emission from ZnO nanowire field emitters controlled by an amorphous indium–gallium–zinc-oxide thin film transistor

    NASA Astrophysics Data System (ADS)

    Li, Xiaojie; Wang, Ying; Zhang, Zhipeng; Ou, Hai; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-04-01

    Lowering the driving voltage and improving the stability of nanowire field emitters are essential for them to be applied in devices. In this study the characteristics of zinc oxide (ZnO) nanowire field emitter arrays (FEAs) controlled by an amorphous indium–gallium–zinc-oxide thin film transistor (a-IGZO TFT) were studied. A low driving voltage along with stabilization of the field emission current were achieved. Modulation of field emission currents up to three orders of magnitude was achieved at a gate voltage of 0–32 V for a constant anode voltage. Additionally, a-IGZO TFT control can dramatically reduce the emission current fluctuation (i.e., from 46.11 to 1.79% at an emission current of ∼3.7 µA). Both the a-IGZO TFT and ZnO nanowire FEAs were prepared on glass substrates in our research, demonstrating the feasibility of realizing large area a-IGZO TFT-controlled ZnO nanowire FEAs.

  16. The zinc-loss effect and mobility enhancement of DUV-patterned sol-gel IGZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Wang, Kuan-Hsun; Zan, Hsiao-Wen; Soppera, Olivier

    2018-03-01

    We investigate the composition of the DUV-patterned sol-gel indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) and observe a significant zinc loss effect during developing when the DUV exposure is insufficient. The zinc loss, however, is beneficial for increasing the mobility. Reducing zinc to indium composition ratio from 0.5 to 0.02 can effectively increase mobility from 0.27 to 7.30 cm2 V-1 s-1 when the gallium to indium ratio is fixed as 0.25 and the post annealing process is fixed as 300 °C for 2 h. On the other hand, an IGO TFT fails to deliver a uniform film and a reproducible TFT performance, revealing the critical role of zinc in forming homogeneous IGZO TFTs.

  17. Method for producing silicon thin-film transistors with enhanced forward current drive

    DOEpatents

    Weiner, Kurt H.

    1998-01-01

    A method for fabricating amorphous silicon thin film transistors (TFTs) with a polycrystalline silicon surface channel region for enhanced forward current drive. The method is particularly adapted for producing top-gate silicon TFTs which have the advantages of both amorphous and polycrystalline silicon TFTs, but without problem of leakage current of polycrystalline silicon TFTs. This is accomplished by selectively crystallizing a selected region of the amorphous silicon, using a pulsed excimer laser, to create a thin polycrystalline silicon layer at the silicon/gate-insulator surface. The thus created polysilicon layer has an increased mobility compared to the amorphous silicon during forward device operation so that increased drive currents are achieved. In reverse operation the polysilicon layer is relatively thin compared to the amorphous silicon, so that the transistor exhibits the low leakage currents inherent to amorphous silicon. A device made by this method can be used, for example, as a pixel switch in an active-matrix liquid crystal display to improve display refresh rates.

  18. Organic Field Effect Transistor Using Amorphous Fluoropolymer as Gate Insulating Film

    NASA Astrophysics Data System (ADS)

    Kitajima, Yosuke; Kojima, Kenzo; Mizutani, Teruyoshi; Ochiai, Shizuyasu

    Organic field effect transistors are fabricated by the active layer of Regioregular poly (3-hexylthiophene-2,5-diy)(P3HT) thin film. CYTOP thin film made from Amorphous Fluoropolymer and fabricated by spin-coating is adopted to a gate dielectric layer on Polyethylenenaphthalate (PEN) thin film that is the substrate of an organic field effect transistor. The surface morphology and molecular orientation of P3HT thin films is observed by atomic force microscope (AFM) and X-Ray diffractometer (XRD). Grains are observed on the CYTOP thin film via an AFM image and the P3HT molecule is oriented perpendicularly on the CYTOP thin film. Based on the performance of the organic field effect transistor, the carrier mobility is 0.092 cm2/Vs, the ON/OFF ratio is 7, and the threshold voltage is -12 V. The ON/OFF ratio is relatively low and to improve On/Off ratio, the CYTOP/Polyimide double gate insulating layer is adopted to OFET.

  19. Growth control of the oxidation state in vanadium oxide thin films

    DOE PAGES

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; ...

    2014-12-05

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research, but also technological applications that utilize the subtle change in the physical properties originating from the metalinsulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V₂⁺²O₃, V⁺⁴O₂, and V₂⁺⁵O₅. A well pronounced MIT was only observed in VO₂ films grown in a very narrow range of oxygen partial pressure P(O₂). The films grown either in lower (< 10 mTorr) or higher P(O₂) (> 25 mTorr) result in V₂O₃ and V₂O₅ phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO₂ thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an 3 improved MIT behavior.« less

  20. Growth control of the oxidation state in vanadium oxide thin films

    NASA Astrophysics Data System (ADS)

    Lee, Shinbuhm; Meyer, Tricia L.; Park, Sungkyun; Egami, Takeshi; Lee, Ho Nyung

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase pure epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V2 + 3 O 3 , V + 4 O 2 , and V2 + 5 O 5 . A well pronounced MIT was only observed in VO2 films grown in a very narrow range of oxygen partial pressure P(O2). The films grown either in lower (<10 mTorr) or higher P(O2) (>25 mTorr) result in V2O3 and V2O5 phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO2 thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.

  1. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    NASA Astrophysics Data System (ADS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  2. A Low Temperature, Solution-Processed Poly(4-vinylphenol), YO(x) Nanoparticle Composite/Polysilazane Bi-Layer Gate Insulator for ZnO Thin Film Transistor.

    PubMed

    Shin, Hyeonwoo; Kang, Chan-Mo; Chae, Hyunsik; Kim, Hyun-Gwan; Baek, Kyu-Ha; Choi, Hyoung Jin; Park, Man-Young; Do, Lee-Mi; Lee, Changhee

    2016-03-01

    Low temperature, solution-processed metal oxide thin film transistors (MEOTFTs) have been widely investigated for application in low-cost, transparent, and flexible electronics. To enlarge the application area, solution-processed gate insulators (GI) have been investigated in recent years. We investigated the effects of the organic/inorganic bi-layer GI to ZnO thin film transistors (TFTs). PVP, YO(x) nanoparticle composite, and polysilazane bi-layer showed low leakage current (-10(-8) A/cm2 in 2 MV), which are applicable in low temperature processed MEOTFTs. Polysilazane was used as an interlayer between ZnO and PVP, YO(x) nanoparticle composite as a good charge transport interface with ZnO. By applying the PVP, YO(x), nanoparticle composite/polysilazane bi-layer structure to ZnO TFTs, we successfully suppressed the off current (I(off)) to -10(-11) and fabricated good MEOTFTs in 180 degrees C.

  3. Abnormal hump in capacitance-voltage measurements induced by ultraviolet light in a-IGZO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tsao, Yu-Ching; Chang, Ting-Chang; Chen, Hua-Mao; Chen, Bo-Wei; Chiang, Hsiao-Cheng; Chen, Guan-Fu; Chien, Yu-Chieh; Tai, Ya-Hsiang; Hung, Yu-Ju; Huang, Shin-Ping; Yang, Chung-Yi; Chou, Wu-Ching

    2017-01-01

    This work demonstrates the generation of abnormal capacitance for amorphous indium-gallium-zinc oxide (a-InGaZnO4) thin-film transistors after being subjected to negative bias stress under ultraviolet light illumination stress (NBIS). At various operation frequencies, there are two-step tendencies in their capacitance-voltage curves. When gate bias is smaller than threshold voltage, the measured capacitance is dominated by interface defects. Conversely, the measured capacitance is dominated by oxygen vacancies when gate bias is larger than threshold voltage. The impact of these interface defects and oxygen vacancies on capacitance-voltage curves is verified by TCAD simulation software.

  4. Fabrication of Stretchable Organic-Inorganic Hybrid Thin-Film Transistors on Polyimide Stiff-Island Structures.

    PubMed

    Jung, Soon-Won; Koo, Jae Bon; Park, Chan Woo; Na, Bock Soon; Oh, Ji-Young; Lee, Sang Seok

    2015-10-01

    In this study, stretchable organic-inorganic hybrid thin-film transistors (TFTs) are fabricated on a polyimide (PI) stiff-island/elastomer substrate using blends of poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] and poly(methyl methacrylate) (PMMA) and oxide semiconductor In-Ga-Zn-O as the gate dielectric and semiconducting layer, respectively. Carrier mobility, Ion/Ioff ratio, and subthreshold swing (SS) values of 6.1 cm2 V(-1) s(-1), 10(7), and 0.2 V/decade, respectively, were achieved. For the hybrid TFTs, the endurable maximum strain without degradation of electrical properties was approximately 49%. These results correspond to those obtained in the first study on fabrication of stretchable hybrid-type TFTs on elastomer substrate using an organic gate insulator and oxide semiconducting active channel structure, thus indicating the feasibility of a promising device for stretchable electronic systems.

  5. Fabrication and characteristics of high-performance and high-stability aluminum-doped zinc oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Shan, Dongfang; Han, Dedong; Huang, Fuqing; Tian, Yu; Zhang, Suoming; Qi, Lin; Cong, Yingying; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2014-01-01

    Fully transparent aluminum-doped zinc oxide (AZO) thin-film transistors (TFTs) were successfully fabricated on glass substrates at room temperature. Superior properties, such as a high saturation mobility of 59.3 cm2 V-1 s-1, a positive threshold voltage of 1.3 V, a steep subthreshold swing of 122.9 mV/dec, an off-state current on the order of 10-12 A, and an on/off ratio of 2.7 × 108, were obtained. The electrical properties of the AZO TFTs were successively studied within a period of six months. Small property degenerations could be observed from the test results obtained within the study period, which proved the high-performance and high-stability characteristics of AZO TFTs. Furthermore, hysteresis loop scanning of AZO TFTs was performed, and a small hysteresis could be detected in the scanning curves, which suggested the superior properties of a dielectric and a channel-insulator interface. Lastly, we succeeded in manufacturing an organic LED (OLED) flat panel display panel driven by AZO TFTs and obtained an excellent display effect from it. We believe that AZO TFTs are a promising candidate successor to Si-based TFTs in next-generation flat panel displays.

  6. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S.

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer intomore » the IGZO and resulted in higher resistivity films.« less

  7. Stretchable transistors with buckled carbon nanotube films as conducting channels

    DOEpatents

    Arnold, Michael S; Xu, Feng

    2015-03-24

    Thin-film transistors comprising buckled films comprising carbon nanotubes as the conductive channel are provided. Also provided are methods of fabricating the transistors. The transistors, which are highly stretchable and bendable, exhibit stable performance even when operated under high tensile strains.

  8. Scanning gate study of organic thin-film field-effect transistor

    NASA Astrophysics Data System (ADS)

    Aoki, N.; Sudou, K.; Matsusaki, K.; Okamoto, K.; Ochiai, Y.

    2008-03-01

    Scanning gate microscopy (SGM) has been applied for a study of organic thin-film field effect transistor (OFET). In contrast to one-dimensional nano-material such a carbon nanonube or nano-structure such a quantum point contact, visualization a transport characteristic of OFET channel is basically rather difficult since the channel width is much larger than the size of the SGM tip. Nevertheless, Schottky barriers are successfully visualized at the boundary between the metal electrodes and the OFET channel at ambient atmosphere.

  9. Structural, electronic and chemical properties of metal/oxide and oxide/oxide interfaces and thin film structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lad, Robert J.

    1999-12-14

    This project focused on three different aspects of oxide thin film systems: (1) Model metal/oxide and oxide/oxide interface studies were carried out by depositing ultra-thin metal (Al, K, Mg) and oxide (MgO, AlO{sub x}) films on TiO{sub 2}, NiO and {alpha}-Al{sub 2}O{sub 3} single crystal oxide substrates. (2) Electron cyclotron resonance (ECR) oxygen plasma deposition was used to fabricate AlO{sub 3} and ZrO{sub 2} films on sapphire substrates, and film growth mechanisms and structural characteristics were investigated. (3) The friction and wear characteristics of ZrO{sub 2} films on sapphire substrates in unlubricated sliding contact were studied and correlated with filmmore » microstructure. In these studies, thin film and interfacial regions were characterized using diffraction (RHEED, LEED, XRD), electron spectroscopies (XPS, UPS, AES), microscopy (AFM) and tribology instruments (pin-on-disk, friction microprobe, and scratch tester). By precise control of thin film microstructure, an increased understanding of the structural and chemical stability of interface regions and tribological performance of ultra-thin oxide films was achieved in these important ceramic systems.« less

  10. Method of producing solution-derived metal oxide thin films

    DOEpatents

    Boyle, Timothy J.; Ingersoll, David

    2000-01-01

    A method of preparing metal oxide thin films by a solution method. A .beta.-metal .beta.-diketonate or carboxylate compound, where the metal is selected from groups 8, 9, 10, 11, and 12 of the Periodic Table, is solubilized in a strong Lewis base to form a homogeneous solution. This precursor solution forms within minutes and can be deposited on a substrate in a single layer or a multiple layers to form a metal oxide thin film. The substrate with the deposited thin film is heated to change the film from an amorphous phase to a ceramic metal oxide and cooled.

  11. Fabrication of amorphous InGaZnO thin-film transistor-driven flexible thermal and pressure sensors

    NASA Astrophysics Data System (ADS)

    Park, Ick-Joon; Jeong, Chan-Yong; Cho, In-Tak; Lee, Jong-Ho; Cho, Eou-Sik; Kwon, Sang Jik; Kim, Bosul; Cheong, Woo-Seok; Song, Sang-Hun; Kwon, Hyuck-In

    2012-10-01

    In this work, we present the results concerning the use of amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) as a driving transistor of the flexible thermal and pressure sensors which are applicable to artificial skin systems. Although the a-IGZO TFT has been attracting much attention as a driving transistor of the next-generation flat panel displays, no study has been performed about the application of this new device to the driving transistor of the flexible sensors yet. The proposed thermal sensor pixel is composed of the series-connected a-IGZO TFT and ZnO-based thermistor fabricated on a polished metal foil, and the ZnO-based thermistor is replaced by the pressure sensitive rubber in the pressure sensor pixel. In both sensor pixels, the a-IGZO TFT acts as the driving transistor and the temperature/pressure-dependent resistance of the ZnO-based thermistor/pressure-sensitive rubber mainly determines the magnitude of the output currents. The fabricated a-IGZO TFT-driven flexible thermal sensor shows around a seven times increase in the output current as the temperature increases from 20 °C to 100 °C, and the a-IGZO TFT-driven flexible pressure sensors also exhibit high sensitivity under various pressure environments.

  12. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  13. Growth control of the oxidation state in vanadium oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Shinbuhm; Meyer, Tricia L.; Lee, Ho Nyung, E-mail: hnlee@ornl.gov

    2014-12-01

    Precise control of the chemical valence or oxidation state of vanadium in vanadium oxide thin films is highly desirable for not only fundamental research but also technological applications that utilize the subtle change in the physical properties originating from the metal-insulator transition (MIT) near room temperature. However, due to the multivalent nature of vanadium and the lack of a good understanding on growth control of the oxidation state, stabilization of phase pure vanadium oxides with a single oxidation state is extremely challenging. Here, we systematically varied the growth conditions to clearly map out the growth window for preparing phase puremore » epitaxial vanadium oxides by pulsed laser deposition for providing a guideline to grow high quality thin films with well-defined oxidation states of V{sub 2}{sup +3}O{sub 3}, V{sup +4}O{sub 2}, and V{sub 2}{sup +5}O{sub 5}. A well pronounced MIT was only observed in VO{sub 2} films grown in a very narrow range of oxygen partial pressure P(O{sub 2}). The films grown either in lower (<10 mTorr) or higher P(O{sub 2}) (>25 mTorr) result in V{sub 2}O{sub 3} and V{sub 2}O{sub 5} phases, respectively, thereby suppressing the MIT for both cases. We have also found that the resistivity ratio before and after the MIT of VO{sub 2} thin films can be further enhanced by one order of magnitude when the films are further oxidized by post-annealing at a well-controlled oxidizing ambient. This result indicates that stabilizing vanadium into a single valence state has to compromise with insufficient oxidation of an as grown thin film and, thereby, a subsequent oxidation is required for an improved MIT behavior.« less

  14. Nonlinear Contact Effects in Staggered Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Fischer, Axel; Zündorf, Hilke; Kaschura, Felix; Widmer, Johannes; Leo, Karl; Kraft, Ulrike; Klauk, Hagen

    2017-11-01

    The static and dynamic electrical characteristics of thin-film transistors (TFTs) are often limited by the parasitic contact resistances, especially for TFTs with a small channel length. For the smallest possible contact resistance, the staggered device architecture has a general advantage over the coplanar architecture of a larger injection area. Since the charge transport occurs over an extended area, it is inherently more difficult to develop an accurate analytical device model for staggered TFTs. Most analytical models for staggered TFTs, therefore, assume that the contact resistance is linear, even though this is commonly accepted not to be the case. Here, we introduce a semiphenomenological approach to accurately fit experimental data based on a highly discretized equivalent network circuit explicitly taking into account the inherent nonlinearity of the contact resistance. The model allows us to investigate the influence of nonlinear contact resistances on the static and dynamic performance of staggered TFTs for different contact layouts with a relatively short computation time. The precise extraction of device parameters enables us to calculate the transistor behavior as well as the potential for optimization in real circuits.

  15. Evolution of Defect Structures and Deep Subgap States during Annealing of Amorphous In-Ga-Zn Oxide for Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Jia, Junjun; Suko, Ayaka; Shigesato, Yuzo; Okajima, Toshihiro; Inoue, Keiko; Hosomi, Hiroyuki

    2018-01-01

    We investigate the evolution behavior of defect structures and the subgap states in In-Ga-Zn oxide (IGZO) films with increasing postannealing temperature by means of extended x-ray absorption fine-structure (EXAFS) measurements, positron annihilation lifetime spectroscopy (PALS), and cathodoluminescence (CL) spectroscopy, aiming to understand the relationship between defect structures and subgap states. EXAFS measurements reveal the varied oxygen coordination numbers around cations during postannealing and confirm two types of point defects, namely, excess oxygen around Ga atoms and oxygen deficiency around In and/or Zn atoms. PALS suggests the existence of cation-vacancy (VM )-related clusters with neutral or negative charge in both amorphous and polycrystalline IGZO films. CL spectra show a main emission band at approximately 1.85 eV for IGZO films, and a distinct shoulder located at about 2.15 eV for IGZO films postannealed above 600 °C . These two emission bands are assigned to a recombination between the electrons in the conduction band and/or in the shallow donor levels near the conduction band and the acceptors trapped above the valence-band maximum. The shallow donors are attributed to the oxygen deficiency, and the acceptors are thought to possibly arise from the excess oxygen or the VM-related clusters. These results open up an alternative route for understanding the device instability of amorphous IGZO-based thin-film transistors, especially the presence of the neutral or negatively charged VM-related clusters in amorphous IGZO films.

  16. Thin film hydrous metal oxide catalysts

    DOEpatents

    Dosch, Robert G.; Stephens, Howard P.

    1995-01-01

    Thin film (<100 nm) hydrous metal oxide catalysts are prepared by 1) synthesis of a hydrous metal oxide, 2) deposition of the hydrous metal oxide upon an inert support surface, 3) ion exchange with catalytically active metals, and 4) activating the hydrous metal oxide catalysts.

  17. Enhanced performance of solution-processed organic thin-film transistors with a low-temperature-annealed alumina interlayer between the polyimide gate insulator and the semiconductor.

    PubMed

    Yoon, Jun-Young; Jeong, Sunho; Lee, Sun Sook; Kim, Yun Ho; Ka, Jae-Won; Yi, Mi Hye; Jang, Kwang-Suk

    2013-06-12

    We studied a low-temperature-annealed sol-gel-derived alumina interlayer between the organic semiconductor and the organic gate insulator for high-performance organic thin-film transistors. The alumina interlayer was deposited on the polyimide gate insulator by a simple spin-coating and 200 °C-annealing process. The leakage current density decreased by the interlayer deposition: at 1 MV/cm, the leakage current densities of the polyimide and the alumina/polyimide gate insulators were 7.64 × 10(-7) and 3.01 × 10(-9) A/cm(2), respectively. For the first time, enhancement of the organic thin-film transistor performance by introduction of an inorganic interlayer between the organic semiconductor and the organic gate insulator was demonstrated: by introducing the interlayer, the field-effect mobility of the solution-processed organic thin-film transistor increased from 0.35 ± 0.15 to 1.35 ± 0.28 cm(2)/V·s. Our results suggest that inorganic interlayer deposition could be a simple and efficient surface treatment of organic gate insulators for enhancing the performance of solution-processed organic thin-film transistors.

  18. Analyte chemisorption and sensing on n- and p-channel copper phthalocyanine thin-film transistors.

    PubMed

    Yang, Richard D; Park, Jeongwon; Colesniuc, Corneliu N; Schuller, Ivan K; Royer, James E; Trogler, William C; Kummel, Andrew C

    2009-04-28

    Chemical sensing properties of phthalocyanine thin-film transistors have been investigated using nearly identical n- and p-channel devices. P-type copper phthalocyanine (CuPc) has been modified with fluorine groups to convert the charge carriers from holes to electrons. The sensor responses to the tight binding analyte dimethyl methylphosphonate (DMMP) and weak binding analyte methanol (MeOH) were compared in air and N(2). The results suggest that the sensor response involves counterdoping of pre-adsorbed oxygen (O(2)). A linear dependence of chemical response to DMMP concentration was observed in both n- and p- type devices. For DMMP, there is a factor of 2.5 difference in the chemical sensitivity between n- and p-channel CuPc thin-film transistors, even though it has similar binding strength to n- and p-type CuPc molecules as indicated by the desorption times. The effect is attributed to the difference in the analyte perturbation of electron and hole trap energies in n- and p-type materials.

  19. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  20. Thin film transistors on plastic substrates with reflective coatings for radiation protection

    DOEpatents

    Wolfe, Jesse D.; Theiss, Steven D.; Carey, Paul G.; Smith, Patrick M.; Wickboldt, Paul

    2003-11-04

    Fabrication of silicon thin film transistors (TFT) on low-temperature plastic substrates using a reflective coating so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The TFT can be used in large area low cost electronics, such as flat panel displays and portable electronics such as video cameras, personal digital assistants, and cell phones.

  1. Thin film transistors on plastic substrates with reflective coatings for radiation protection

    DOEpatents

    Wolfe, Jesse D [Fairfield, CA; Theiss, Steven D [Woodbury, MN; Carey, Paul G [Mountain View, CA; Smith, Patrick M [San Ramon, CA; Wickbold, Paul [Walnut Creek, CA

    2006-09-26

    Fabrication of silicon thin film transistors (TFT) on low-temperature plastic substrates using a reflective coating so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The TFT can be used in large area low cost electronics, such as flat panel displays and portable electronics such as video cameras, personal digital assistants, and cell phones.

  2. Nonlinear Transport in Organic Thin Film Transistors with Soluble Small Molecule Semiconductor.

    PubMed

    Kim, Hyeok; Song, Dong-Seok; Kwon, Jin-Hyuk; Jung, Ji-Hoon; Kim, Do-Kyung; Kim, SeonMin; Kang, In Man; Park, Jonghoo; Tae, Heung-Sik; Battaglini, Nicolas; Lang, Philippe; Horowitz, Gilles; Bae, Jin-Hyuk

    2016-03-01

    Nonlinear transport is intensively explained through Poole-Frenkel (PF) transport mechanism in organic thin film transistors with solution-processed small molecules, which is, 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene. We outline a detailed electrical study that identifies the source to drain field dependent mobility. Devices with diverse channel lengths enable the extensive exhibition of field dependent mobility due to thermal activation of carriers among traps.

  3. Interface and gate bias dependence responses of sensing organic thin-film transistors.

    PubMed

    Tanese, Maria Cristina; Fine, Daniel; Dodabalapur, Ananth; Torsi, Luisa

    2005-11-15

    The effects of the exposure of organic thin-film transistors, comprising different organic semiconductors and gate dielectrics, to 1-pentanol are investigated. The transistor sensors exhibited an increase or a decrease of the transient source-drain current in the presence of the analyte, most likely as a result of a trapping or of a doping process of the organic active layer. The occurrence of these two effects, that can also coexist, depend on the gate-dielectric/organic semiconductor interface and on the applied gate field. Evidence of a systematic and sizable response enhancement for an OTFT sensor operated in the enhanced mode is also presented.

  4. High performance thin film transistor with ZnO channel layer deposited by DC magnetron sputtering.

    PubMed

    Moon, Yeon-Keon; Moon, Dae-Yong; Lee, Sang-Ho; Jeong, Chang-Oh; Park, Jong-Wan

    2008-09-01

    Research in large area electronics, especially for low-temperature plastic substrates, focuses commonly on limitations of the semiconductor in thin film transistors (TFTs), in particular its low mobility. ZnO is an emerging example of a semiconductor material for TFTs that can have high mobility, while a-Si and organic semiconductors have low mobility (<1 cm2/Vs). ZnO-based TFTs have achieved high mobility, along with low-voltage operation low off-state current, and low gate leakage current. In general, ZnO thin films for the channel layer of TFTs are deposited with RF magnetron sputtering methods. On the other hand, we studied ZnO thin films deposited with DC magnetron sputtering for the channel layer of TFTs. After analyzing the basic physical and chemical properties of ZnO thin films, we fabricated a TFT-unit cell using ZnO thin films for the channel layer. The field effect mobility (micro(sat)) of 1.8 cm2/Vs and threshold voltage (Vth) of -0.7 V were obtained.

  5. Improvement in performance and reliability with CF4 plasma pretreatment on the buffer oxide layer for low-temperature polysilicon thin-film transistor

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Lin, Yi-Yan; Yang, Chun-Chieh

    2012-03-01

    This study applies CF4 plasma pretreatment to a buffer oxide layer to improve the performance of low-temperature polysilicon thin-film transistors (LTPS TFTs). Results show that the fluorine atoms piled up at the interface between the bulk channel and buffer oxide layer and accumulated in the bulk channel. The reduction of the trap states density by fluorine passivation can improve the electrical characteristics of the LTPS TFTs. It is found that the threshold voltage reduced from 4.32 to 3.03 V and the field-effect mobility increased from 29.71 to 45.65 cm2 V-1 S-1. In addition, the on current degradation and threshold voltage shift after stressing were significantly improved about 31% and 70%, respectively. We believe that the proposed CF4 plasma pretreatment on the buffer oxide layer can passivate the trap states and avoid the plasma induced damage on the polysilicon channel surface, resulting in the improvement in performance and reliability for LTPS-TFT mass production application on AMOLED displays with critical reliability requirement.

  6. Alumina nanoparticle/polymer nanocomposite dielectric for flexible amorphous indium-gallium-zinc oxide thin film transistors on plastic substrate with superior stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lai, Hsin-Cheng; Pei, Zingway, E-mail: zingway@dragon.nchu.edu.tw; Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung 40227, Taiwan

    In this study, the Al{sub 2}O{sub 3} nanoparticles were incorporated into polymer as a nono-composite dielectric for used in a flexible amorphous Indium-Gallium-Zinc Oxide (a-IGZO) thin-film transistor (TFT) on a polyethylene naphthalate substrate by solution process. The process temperature was well below 100 °C. The a-IGZO TFT exhibit a mobility of 5.13 cm{sup 2}/V s on the flexible substrate. After bending at a radius of 4 mm (strain = 1.56%) for more than 100 times, the performance of this a-IGZO TFT was nearly unchanged. In addition, the electrical characteristics are less altered after positive gate bias stress at 10 V for 1500 s. Thus, this technology ismore » suitable for use in flexible displays.« less

  7. All-Aluminum Thin Film Transistor Fabrication at Room Temperature.

    PubMed

    Yao, Rihui; Zheng, Zeke; Zeng, Yong; Liu, Xianzhe; Ning, Honglong; Hu, Shiben; Tao, Ruiqiang; Chen, Jianqiu; Cai, Wei; Xu, Miao; Wang, Lei; Lan, Linfeng; Peng, Junbiao

    2017-02-23

    Bottom-gate all-aluminum thin film transistors with multi conductor/insulator nanometer heterojunction were investigated in this article. Alumina (Al₂O₃) insulating layer was deposited on the surface of aluminum doping zinc oxide (AZO) conductive layer, as one AZO/Al₂O₃ heterojunction unit. The measurements of transmittance electronic microscopy (TEM) and X-ray reflectivity (XRR) revealed the smooth interfaces between ~2.2-nm-thick Al₂O₃ layers and ~2.7-nm-thick AZO layers. The devices were entirely composited by aluminiferous materials, that is, their gate and source/drain electrodes were respectively fabricated by aluminum neodymium alloy (Al:Nd) and pure Al, with Al₂O₃/AZO multilayered channel and AlO x :Nd gate dielectric layer. As a result, the all-aluminum TFT with two Al₂O₃/AZO heterojunction units exhibited a mobility of 2.47 cm²/V·s and an I on / I off ratio of 10⁶. All processes were carried out at room temperature, which created new possibilities for green displays industry by allowing for the devices fabricated on plastic-like substrates or papers, mainly using no toxic/rare materials.

  8. All-Aluminum Thin Film Transistor Fabrication at Room Temperature

    PubMed Central

    Yao, Rihui; Zheng, Zeke; Zeng, Yong; Liu, Xianzhe; Ning, Honglong; Hu, Shiben; Tao, Ruiqiang; Chen, Jianqiu; Cai, Wei; Xu, Miao; Wang, Lei; Lan, Linfeng; Peng, Junbiao

    2017-01-01

    Bottom-gate all-aluminum thin film transistors with multi conductor/insulator nanometer heterojunction were investigated in this article. Alumina (Al2O3) insulating layer was deposited on the surface of aluminum doping zinc oxide (AZO) conductive layer, as one AZO/Al2O3 heterojunction unit. The measurements of transmittance electronic microscopy (TEM) and X-ray reflectivity (XRR) revealed the smooth interfaces between ~2.2-nm-thick Al2O3 layers and ~2.7-nm-thick AZO layers. The devices were entirely composited by aluminiferous materials, that is, their gate and source/drain electrodes were respectively fabricated by aluminum neodymium alloy (Al:Nd) and pure Al, with Al2O3/AZO multilayered channel and AlOx:Nd gate dielectric layer. As a result, the all-aluminum TFT with two Al2O3/AZO heterojunction units exhibited a mobility of 2.47 cm2/V·s and an Ion/Ioff ratio of 106. All processes were carried out at room temperature, which created new possibilities for green displays industry by allowing for the devices fabricated on plastic-like substrates or papers, mainly using no toxic/rare materials. PMID:28772579

  9. Enhanced Performance Consistency in Nanoparticle/TIPS Pentacene-Based Organic Thin Film Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    He, Zhengran; Xiao, Kai; Durant, William Mark

    2011-01-01

    In this study, inorganic silica nanoparticles are used to manipulate the morphology of 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS pentacene) thin films and the performance of solution-processed organic thin-film transistors (OTFTs). This approach is taken to control crystal anisotropy, which is the origin of poor consistency in TIPS pentacene based OTFT devices. Thin film active layers are produced by drop-casting mixtures of SiO{sub 2} nanoparticles and TIPS pentacene. The resultant drop-cast films yield improved morphological uniformity at {approx}10% SiO{sub 2} loading, which also leads to a 3-fold increase in average mobility and nearly 4 times reduction in the ratio of measured mobility standard deviationmore » ({mu}{sub Stdev}) to average mobility ({mu}{sub Avg}). Grazing-incidence X-ray diffraction, scanning and transmission electron microscopy as well as polarized optical microscopy are used to investigate the nanoparticle-mediated TIPS pentacene crystallization. The experimental results suggest that the SiO{sub 2} nanoparticles mostly aggregate at TIPS pentacene grain boundaries, and 10% nanoparticle concentration effectively reduces the undesirable crystal misorientation without considerably compromising TIPS pentacene crystallinity.« less

  10. A flexible organic active matrix circuit fabricated using novel organic thin film transistors and organic light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Gutiérrez-Heredia, G.; González, L. A.; Alshareef, H. N.; Gnade, B. E.; Quevedo-López, M.

    2010-11-01

    We present an active matrix circuit fabricated on plastic (polyethylene naphthalene, PEN) and glass substrates using organic thin film transistors and organic capacitors to control organic light-emitting diodes (OLEDs). The basic circuit is fabricated using two pentacene-based transistors and a capacitor using a novel aluminum oxide/parylene stack (Al2O3/parylene) as the dielectric for both the transistor and the capacitor. We report that our circuit can deliver up to 15 µA to each OLED pixel. To achieve 200 cd m-2 of brightness a 10 µA current is needed; therefore, our approach can initially deliver 1.5× the required current to drive a single pixel. In contrast to parylene-only devices, the Al2O3/parylene stack does not fail after stressing at a field of 1.7 MV cm-1 for >10 000 s, whereas 'parylene only' devices show breakdown at approximately 1000 s. Details of the integration scheme are presented.

  11. Effective mobility enhancement of amorphous In-Ga-Zn-O thin-film transistors by holographically generated periodic conductor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeong, Jaewook; Kim, Joonwoo; Jeong, Soon Moon

    In this study, we demonstrate a mobility enhancement structure for fully transparent amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs) by embedding a holographically generated periodic nano-conductor in the back-channel regions. The intrinsic field-effect mobility was enhanced up to 2 times compared to that of a reference sample. The enhancement originated from a decrease in the effective channel length due to the highly conductive nano-conductor region. By combining conventional and holographic lithography, the performance of the a-IGZO TFT can be effectively improved without varying the composition of the channel layer.

  12. Effective mobility enhancement of amorphous In-Ga-Zn-O thin-film transistors by holographically generated periodic conductor

    NASA Astrophysics Data System (ADS)

    Jeong, Jaewook; Kim, Joonwoo; Kim, Donghyun; Jeon, Heonsu; Jeong, Soon Moon; Hong, Yongtaek

    2016-08-01

    In this study, we demonstrate a mobility enhancement structure for fully transparent amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs) by embedding a holographically generated periodic nano-conductor in the back-channel regions. The intrinsic field-effect mobility was enhanced up to 2 times compared to that of a reference sample. The enhancement originated from a decrease in the effective channel length due to the highly conductive nano-conductor region. By combining conventional and holographic lithography, the performance of the a-IGZO TFT can be effectively improved without varying the composition of the channel layer.

  13. Black Phosphorus Flexible Thin Film Transistors at Gighertz Frequencies.

    PubMed

    Zhu, Weinan; Park, Saungeun; Yogeesh, Maruthi N; McNicholas, Kyle M; Bank, Seth R; Akinwande, Deji

    2016-04-13

    Black phosphorus (BP) has attracted rapidly growing attention for high speed and low power nanoelectronics owing to its compelling combination of tunable bandgap (0.3 to 2 eV) and high carrier mobility (up to ∼1000 cm(2)/V·s) at room temperature. In this work, we report the first radio frequency (RF) flexible top-gated (TG) BP thin-film transistors on highly bendable polyimide substrate for GHz nanoelectronic applications. Enhanced p-type charge transport with low-field mobility ∼233 cm(2)/V·s and current density of ∼100 μA/μm at VDS = -2 V were obtained from flexible BP transistor at a channel length L = 0.5 μm. Importantly, with optimized dielectric coating for air-stability during microfabrication, flexible BP RF transistors afforded intrinsic maximum oscillation frequency fMAX ∼ 14.5 GHz and unity current gain cutoff frequency fT ∼ 17.5 GHz at a channel length of 0.5 μm. Notably, the experimental fT achieved here is at least 45% higher than prior results on rigid substrate, which is attributed to the improved air-stability of fabricated BP devices. In addition, the high-frequency performance was investigated through mechanical bending test up to ∼1.5% tensile strain, which is ultimately limited by the inorganic dielectric film rather than the 2D material. Comparison of BP RF devices to other 2D semiconductors clearly indicates that BP offers the highest saturation velocity, an important metric for high-speed and RF flexible nanosystems.

  14. Method for producing silicon thin-film transistors with enhanced forward current drive

    DOEpatents

    Weiner, K.H.

    1998-06-30

    A method is disclosed for fabricating amorphous silicon thin film transistors (TFTs) with a polycrystalline silicon surface channel region for enhanced forward current drive. The method is particularly adapted for producing top-gate silicon TFTs which have the advantages of both amorphous and polycrystalline silicon TFTs, but without problem of leakage current of polycrystalline silicon TFTs. This is accomplished by selectively crystallizing a selected region of the amorphous silicon, using a pulsed excimer laser, to create a thin polycrystalline silicon layer at the silicon/gate-insulator surface. The thus created polysilicon layer has an increased mobility compared to the amorphous silicon during forward device operation so that increased drive currents are achieved. In reverse operation the polysilicon layer is relatively thin compared to the amorphous silicon, so that the transistor exhibits the low leakage currents inherent to amorphous silicon. A device made by this method can be used, for example, as a pixel switch in an active-matrix liquid crystal display to improve display refresh rates. 1 fig.

  15. Improved organic thin-film transistor performance using novel self-assembled monolayers

    NASA Astrophysics Data System (ADS)

    McDowell, M.; Hill, I. G.; McDermott, J. E.; Bernasek, S. L.; Schwartz, J.

    2006-02-01

    Pentacene-based organic thin-film transistors have been fabricated using a phosphonate-linked anthracene self-assembled monolayer as a buffer between the silicon dioxide gate dielectric and the active pentacene channel region. Vast improvements in the subthreshold slope and threshold voltage are observed compared to control devices fabricated without the buffer. Both observations are consistent with a greatly reduced density of charge trapping states at the semiconductor-dielectric interface effected by introduction of the self-assembled monolayer.

  16. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972

  17. Thermal Molding of Organic Thin-Film Transistor Arrays on Curved Surfaces.

    PubMed

    Sakai, Masatoshi; Watanabe, Kento; Ishimine, Hiroto; Okada, Yugo; Yamauchi, Hiroshi; Sadamitsu, Yuichi; Kudo, Kazuhiro

    2017-12-01

    In this work, a thermal molding technique is proposed for the fabrication of plastic electronics on curved surfaces, enabling the preparation of plastic films with freely designed shapes. The induced strain distribution observed in poly(ethylene naphthalate) films when planar sheets were deformed into hemispherical surfaces clearly indicated that natural thermal contraction played an important role in the formation of the curved surface. A fingertip-shaped organic thin-film transistor array molded from a real human finger was fabricated, and slight deformation induced by touching an object was detected from the drain current response. This type of device will lead to the development of robot fingers equipped with a sensitive tactile sense for precision work such as palpation or surgery.

  18. Thermal Molding of Organic Thin-Film Transistor Arrays on Curved Surfaces

    NASA Astrophysics Data System (ADS)

    Sakai, Masatoshi; Watanabe, Kento; Ishimine, Hiroto; Okada, Yugo; Yamauchi, Hiroshi; Sadamitsu, Yuichi; Kudo, Kazuhiro

    2017-05-01

    In this work, a thermal molding technique is proposed for the fabrication of plastic electronics on curved surfaces, enabling the preparation of plastic films with freely designed shapes. The induced strain distribution observed in poly(ethylene naphthalate) films when planar sheets were deformed into hemispherical surfaces clearly indicated that natural thermal contraction played an important role in the formation of the curved surface. A fingertip-shaped organic thin-film transistor array molded from a real human finger was fabricated, and slight deformation induced by touching an object was detected from the drain current response. This type of device will lead to the development of robot fingers equipped with a sensitive tactile sense for precision work such as palpation or surgery.

  19. Static and Dynamic Water Motion-Induced Instability in Oxide Thin-Film Transistors and Its Suppression by Using Low-k Fluoropolymer Passivation.

    PubMed

    Choi, Seungbeom; Jo, Jeong-Wan; Kim, Jaeyoung; Song, Seungho; Kim, Jaekyun; Park, Sung Kyu; Kim, Yong-Hoon

    2017-08-09

    Here, we report static and dynamic water motion-induced instability in indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) and its effective suppression with the use of a simple, solution-processed low-k (ε ∼ 1.9) fluoroplastic resin (FPR) passivation layer. The liquid-contact electrification effect, in which an undesirable drain current modulation is induced by a dynamic motion of a charged liquid such as water, can cause a significant instability in IGZO TFTs. It was found that by adopting a thin (∼44 nm) FPR passivation layer for IGZO TFTs, the current modulation induced by the water-contact electrification was greatly reduced in both off- and on-states of the device. In addition, the FPR-passivated IGZO TFTs exhibited an excellent stability to static water exposure (a threshold voltage shift of +0.8 V upon 3600 s of water soaking), which is attributed to the hydrophobicity of the FPR passivation layer. Here, we discuss the origin of the current instability caused by the liquid-contact electrification as well as various static and dynamic stability tests for IGZO TFTs. On the basis of our findings, we believe that the use of a thin, solution-processed FPR passivation layer is effective in suppressing the static and dynamic water motion-induced instabilities, which may enable the realization of high-performance and environment-stable oxide TFTs for emerging wearable and skin-like electronics.

  20. Pulsed direct flame deposition and thermal annealing of transparent amorphous indium zinc oxide films as active layers in field effect transistors.

    PubMed

    Kilian, Daniel; Polster, Sebastian; Vogeler, Isabell; Jank, Michael P M; Frey, Lothar; Peukert, Wolfgang

    2014-08-13

    Indium-zinc oxide (IZO) films were deposited via flame spray pyrolysis (FSP) by pulsewise shooting a Si/SiO2 substrate directly into the combustion area of the flame. Based on UV-vis measurements of thin-films deposited on glass substrates, the optimal deposition parameters with respect to low haze values and film thicknesses of around 100 nm were determined. Thermal annealing of the deposited films at temperatures between 300 and 700 °C was carried out and staggered bottom gate thin-film transistors (TFT) were fabricated. The thin films were investigated by scanning electron microscopy, atomic force microscopy, X-ray diffraction, Fourier transformed infrared spectroscopy, and room-temperature photoluminescence measurements. The outcome of these investigations lead to two major requirements in order to implement a working TFT: (i) organic residues from the deposition process need to be removed and (ii) the net free charge carrier concentration has to be minimized by controlling the trap states in the semiconductor. The optimal annealing temperature was 300 °C as both requirements are fulfilled best in this case. This leads to field effect transistors with a low hysteresis, a saturation mobility of μSat = 0.1 cm(2)/(V s), a threshold voltage of Vth = -18.9 V, and an Ion/Ioff ratio on the order of 10(7). Depending on thermal treatment, the defect density changes significantly strongly influencing the transfer characteristics of the device.

  1. Integration of Multi-Functional Oxide Thin Film Heterostructures with III-V Semiconductors

    NASA Astrophysics Data System (ADS)

    Rahman, Md. Shafiqur

    Integration of multi-functional oxide thin films with semiconductors has attracted considerable attention in recent years due to their potential applications in sensing and logic functionalities that can be incorporated in future system-on-a-chip devices. III-V semiconductor, for example, GaAs, have higher saturated electron velocity and mobility allowing transistors based on GaAs to operate at a much higher frequency with less noise compared to Si. In addition, because of its direct bandgap a number of efficient optical devices are possible and by oxide integrating with other III-V semiconductors the wavelengths can be made tunable through hetero-engineering of the bandgap. This study, based on the use of SrTiO3 (STO) films grown on GaAs (001) substrates by molecular beam epitaxy (MBE) as an intermediate buffer layer for the hetero-epitaxial growth of ferromagnetic La0.7Sr 0.3MnO3 (LSMO) and room temperature multiferroic BiFeO 3 (BFO) thin films and superlattice structures using pulsed laser deposition (PLD). The properties of the multilayer thin films in terms of growth modes, lattice spacing/strain, interface structures and texture were characterized by the in-situ reflection high energy electron diffraction (RHEED). The crystalline quality and chemical composition of the complex oxide heterostructures were investigated by a combination of X-ray diffraction (XRD) and X-ray photoelectron absorption spectroscopy (XPS). Surface morphology, piezo-response with domain structure, and ferroelectric switching observations were carried out on the thin film samples using a scanning probe microscope operated as a piezoresponse force microscopy (PFM) in the contact mode. The magnetization measurements with field cooling exhibit a surprising increment in magnetic moment with enhanced magnetic hysteresis squareness. This is the effect of exchange interaction between the antiferromagnetic BFO and the ferromagnetic LSMO at the interface. The integration of BFO materials with

  2. Lanthanum aluminum oxide thin-film dielectrics from aqueous solution.

    PubMed

    Plassmeyer, Paul N; Archila, Kevin; Wager, John F; Page, Catherine J

    2015-01-28

    Amorphous LaAlO3 dielectric thin films were fabricated via solution processing from inorganic nitrate precursors. Precursor solutions contained soluble oligomeric metal-hydroxyl and/or -oxo species as evidenced by dynamic light scattering (DLS) and Raman spectroscopy. Thin-film formation was characterized as a function of annealing temperature using Fourier transform infrared (FTIR), X-ray diffraction (XRD), X-ray reflectivity (XRR), scanning electron microscopy (SEM), and an array of electrical measurements. Annealing temperatures ≥500 °C result in thin films with low leakage-current densities (∼1 × 10(-8) A·cm(-2)) and dielectric constants ranging from 11.0 to 11.5. When incorporated as the gate dielectric layer in a-IGZO thin-film transistors (TFTs), LaAlO3 thin films annealed at 600 °C in air yielded TFTs with relatively low average mobilities (∼4.5 cm(2)·V(-1)·s(-1)) and high turn-on voltages (∼26 V). Interestingly, reannealing the LaAlO3 in 5%H2/95%N2 at 300 °C before deposition of a-IGZO channel layers resulted in TFTs with increased average mobilities (11.1 cm(2)·V(-1)·s(-1)) and lower turn-on voltages (∼6 V).

  3. Fabrication of Organic Thin Film Transistors Using Layer-By-Layer Assembly (Preprint)

    DTIC Science & Technology

    2007-03-01

    thin-film transistors ( TFTs ) have received considerable attention as a low- cost, light-weight, flexible alternative to traditional amorphous silicon...Previous studies have investigated the use of a number of materials for both the active layer and the gate dielectric in various TFT architectures. These...performance. Conjugated small molecules, such as pentacene, or polymers, such as poly(3- hexylthiophene), are commonly used as the active layer in organic TFT

  4. Coplanar amorphous-indium-gallium-zinc-oxide thin film transistor with He plasma treated heavily doped layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeong, Ho-young; LG Display R and D Center, 245 Lg-ro, Wollong-myeon, Paju-si, Gyeonggi-do 413-811; Lee, Bok-young

    We report thermally stable coplanar amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with heavily doped n{sup +} a-IGZO source/drain regions. Doping is through He plasma treatment in which the resistivity of the a-IGZO decreases from 2.98 Ω cm to 2.79 × 10{sup −3} Ω cm after treatment, and then it increases to 7.92 × 10{sup −2} Ω cm after annealing at 300 °C. From the analysis of X-ray photoelectron spectroscopy, the concentration of oxygen vacancies in He plasma treated n{sup +}a-IGZO does not change much after thermal annealing at 300 °C, indicating thermally stable n{sup +} a-IGZO, even for TFTs with channel length L = 4 μm. Field-effect mobility of the coplanar a-IGZO TFTsmore » with He plasma treatment changes from 10.7 to 9.2 cm{sup 2}/V s after annealing at 300 °C, but the performance of the a-IGZO TFT with Ar or H{sub 2} plasma treatment degrades significantly after 300 °C annealing.« less

  5. Effect of top gate potential on bias-stress for dual gate amorphous indium-gallium-zinc-oxide thin film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chun, Minkyu; Um, Jae Gwang; Park, Min Sang

    We report the abnormal behavior of the threshold voltage (V{sub TH}) shift under positive bias Temperature stress (PBTS) and negative bias temperature stress (NBTS) at top/bottom gate in dual gate amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). It is found that the PBTS at top gate shows negative transfer shift and NBTS shows positive transfer shift for both top and bottom gate sweep. The shift of bottom/top gate sweep is dominated by top gate bias (V{sub TG}), while bottom gate bias (V{sub BG}) is less effect than V{sub TG}. The X-ray photoelectron spectroscopy (XPS) depth profile provides the evidence of Inmore » metal diffusion to the top SiO{sub 2}/a-IGZO and also the existence of large amount of In{sup +} under positive top gate bias around top interfaces, thus negative transfer shift is observed. On the other hand, the formation of OH{sup −} at top interfaces under the stress of negative top gate bias shows negative transfer shift. The domination of V{sub TG} both on bottom/top gate sweep after PBTS/NBTS is obviously occurred due to thin active layer.« less

  6. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    NASA Astrophysics Data System (ADS)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  7. Effect of O2 plasma treatment on density-of-states in a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Ding, Xingwei; Huang, Fei; Li, Sheng; Zhang, Jianhua; Jiang, Xueyin; Zhang, Zhilin

    2017-01-01

    This work reports an efficient route for enhancing the performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFT). The mobility was greatly improved by about 38% by means of O2 plasma treatment. Temperature-stress was carried out to investigate the stability and extract the parameters related to activation energy ( E a) and density-of-states (DOS). The DOS was calculated on the basis of the experimentally obtained E a, which can explain the experimental observation. A lower activation energy ( E a, 0.72 eV) and a smaller DOS were obtained in the O2 plasma treatment TFT based on the temperature-dependent transfer curves. The results showed that temperature stability and electrical properties enhancements in a-IGZO thin film transistors were attributed to the smaller DOS. [Figure not available: see fulltext.

  8. Poly(vinyl acetate)/clay nanocomposite materials for organic thin film transistor application.

    PubMed

    Park, B J; Sung, J H; Park, J H; Choi, J S; Choi, H J

    2008-05-01

    Nanocomposite materials of poly(vinyl acetate) (PVAc) and organoclay were fabricated, in order to be utilized as dielectric materials of the organic thin film transistor (OTFT). Spin coating condition of the nanocomposite solution was examined considering shear viscosity of the composite materials dissolved in chloroform. Intercalated structure of the PVAc/clay nanocomposites was characterized using both wide-angle X-ray diffraction and TEM. Fracture morphology of the composite film on silicon wafer was also observed by SEM. Dielectric constant (4.15) of the nanocomposite materials shows that the PVAc/clay nanocomposites are applicable for the gate dielectric materials.

  9. Determination of bulk and interface density of states in metal oxide semiconductor thin-film transistors by using capacitance-voltage characteristics

    NASA Astrophysics Data System (ADS)

    Wei, Xixiong; Deng, Wanling; Fang, Jielin; Ma, Xiaoyu; Huang, Junkai

    2017-10-01

    A physical-based straightforward extraction technique for interface and bulk density of states in metal oxide semiconductor thin film transistors (TFTs) is proposed by using the capacitance-voltage (C-V) characteristics. The interface trap density distribution with energy has been extracted from the analysis of capacitance-voltage characteristics. Using the obtained interface state distribution, the bulk trap density has been determined. With this method, for the interface trap density, it is found that deep state density nearing the mid-gap is approximately constant and tail states density increases exponentially with energy; for the bulk trap density, it is a superposition of exponential deep states and exponential tail states. The validity of the extraction is verified by comparisons with the measured current-voltage (I-V) characteristics and the simulation results by the technology computer-aided design (TCAD) model. This extraction method uses non-numerical iteration which is simple, fast and accurate. Therefore, it is very useful for TFT device characterization.

  10. Light/negative bias stress instabilities in indium gallium zinc oxide thin film transistors explained by creation of a double donor

    NASA Astrophysics Data System (ADS)

    Migliorato, Piero; Delwar Hossain Chowdhury, Md; Gwang Um, Jae; Seok, Manju; Jang, Jin

    2012-09-01

    The analysis of current-voltage (I-V) and capacitance-voltage (C-V) characteristics for amorphous indium gallium zinc oxide Thin film transistors as a function of active layer thickness shows that negative bias under illumination stress (NBIS) is quantitatively explained by creation of a bulk double donor, with a shallow singly ionized state ɛ(0/+) > EC-0.073 eV and a deep doubly ionized state ɛ(++/+) < EC-0.3 eV. The gap density of states, extracted from the capacitance-voltage curves, shows a broad peak between EC-E = 0.3 eV and 1.0 eV, which increases in height with NBIS stress time and corresponds to the broadened transition energy between singly and doubly ionized states. We propose that the center responsible is an oxygen vacancy and that the presence of a stable singly ionized state, necessary to explain our experimental results, could be due to the defect environment provided by the amorphous network.

  11. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    PubMed

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  12. Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film Transistors Fabricated on Flexible Plastic Foil.

    PubMed

    Knobelspies, Stefan; Bierer, Benedikt; Daus, Alwin; Takabayashi, Alain; Salvatore, Giovanni Antonio; Cantarella, Giuseppe; Ortiz Perez, Alvaro; Wöllenstein, Jürgen; Palzer, Stefan; Tröster, Gerhard

    2018-01-26

    We present a gas sensitive thin-film transistor (TFT) based on an amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) semiconductor as the sensing layer, which is fabricated on a free-standing flexible polyimide foil. The photo-induced sensor response to NO₂ gas at room temperature and the cross-sensitivity to humidity are investigated. We combine the advantages of a transistor based sensor with flexible electronics technology to demonstrate the first flexible a-IGZO based gas sensitive TFT. Since flexible plastic substrates prohibit the use of high operating temperatures, the charge generation is promoted with the help of UV-light absorption, which ultimately triggers the reversible chemical reaction with the trace gas. Furthermore, the device fabrication process flow can be directly implemented in standard TFT technology, allowing for the parallel integration of the sensor and analog or logical circuits.

  13. Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film Transistors Fabricated on Flexible Plastic Foil

    PubMed Central

    Bierer, Benedikt; Takabayashi, Alain; Ortiz Perez, Alvaro; Wöllenstein, Jürgen

    2018-01-01

    We present a gas sensitive thin-film transistor (TFT) based on an amorphous Indium–Gallium–Zinc–Oxide (a-IGZO) semiconductor as the sensing layer, which is fabricated on a free-standing flexible polyimide foil. The photo-induced sensor response to NO2 gas at room temperature and the cross-sensitivity to humidity are investigated. We combine the advantages of a transistor based sensor with flexible electronics technology to demonstrate the first flexible a-IGZO based gas sensitive TFT. Since flexible plastic substrates prohibit the use of high operating temperatures, the charge generation is promoted with the help of UV-light absorption, which ultimately triggers the reversible chemical reaction with the trace gas. Furthermore, the device fabrication process flow can be directly implemented in standard TFT technology, allowing for the parallel integration of the sensor and analog or logical circuits. PMID:29373524

  14. Grain Boundary Induced Bias Instability in Soluble Acene-Based Thin-Film Transistors.

    PubMed

    Nguyen, Ky V; Payne, Marcia M; Anthony, John E; Lee, Jung Hun; Song, Eunjoo; Kang, Boseok; Cho, Kilwon; Lee, Wi Hyoung

    2016-09-12

    Since the grain boundaries (GBs) within the semiconductor layer of organic field-effect transistors (OFETs) have a strong influence on device performance, a substantial number of studies have been devoted to controlling the crystallization characteristics of organic semiconductors. We studied the intrinsic effects of GBs within 5,11-bis(triethylsilylethynyl) anthradithiophene (TES-ADT) thin films on the electrical properties of OFETs. The GB density was easily changed by controlling nulceation event in TES-ADT thin films. When the mixing time was increased, the number of aggregates in as-spun TES-ADT thin films were increased and subsequent exposure of the films to 1,2-dichloroethane vapor led to a significant increase in the number of nuleation sites, thereby increasing the GB density of TES-ADT spherulites. The density of GBs strongly influences the angular spread and crystallographic orientation of TES-ADT spherulites. Accordingly, the FETs with higher GB densities showed much poorer electrical characteristics than devices with lower GB density. Especially, GBs provide charge trapping sites which are responsible for bias-stress driven electrical instability. Dielectric surface treatment with a polystyrene brush layer clarified the GB-induced charge trapping by reducing charge trapping at the semiconductor-dielectric interface. Our study provides an understanding on GB induced bias instability for the development of high performance OFETs.

  15. Understanding mobility degeneration mechanism in organic thin-film transistors (OTFT)

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Wang, Long; Xu, Guangwei; Gao, Nan; Wang, Lingfei; Ji, Zhuoyu; Lu, Congyan; Lu, Nianduan; Li, Ling; Liu, Miwng

    2017-08-01

    Mobility degradation at high gate bias is often observed in organic thin film transistors. We propose a mechanism for this confusing phenomenon, based on the percolation theory with the presence of disordered energy landscape with an exponential density of states. Within a simple model we show how the surface states at insulator/organic interface trap a portion of channel carriers, and result in decrease of mobility as well as source/drain current with gate voltage. Depending on the competition between the carrier accumulation and surface trapping effect, two different carrier density dependences of mobility are obtained, in excellent agreement with experiment data.

  16. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    PubMed

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  17. Electrical and mechanical characteristics of fully transparent IZO thin-film transistors on stress-relieving bendable substrates

    NASA Astrophysics Data System (ADS)

    Park, Sukhyung; Cho, Kyoungah; Oh, Hyungon; Kim, Sangsig

    2016-10-01

    In this study, we report the electrical and mechanical characteristics of fully transparent indium zinc oxide (IZO) thin-film transistors (TFTs) fabricated on stress-relieving bendable substrates. An IZO TFT on a stress-relieving substrate can operate normally at a bending radius of 6 mm, while an IZO TFT on a normal plastic substrate fails to operate normally at a bending radius of 15 mm. A plastic island with high Young's modulus embedded on a soft elastomer layer with low Young's modulus plays the role of a stress-relieving substrate for the operation of the bent IZO TFT. The stress and strain distributions over the IZO TFT will be analyzed in detail in this paper.

  18. Enhancement of the Device Performance and the Stability with a Homojunction-structured Tungsten Indium Zinc Oxide Thin Film Transistor.

    PubMed

    Park, Hyun-Woo; Song, Aeran; Choi, Dukhyun; Kim, Hyung-Jun; Kwon, Jang-Yeon; Chung, Kwun-Bum

    2017-09-14

    Tungsten-indium-zinc-oxide thin-film transistors (WIZO-TFTs) were fabricated using a radio frequency (RF) co-sputtering system with two types of source/drain (S/D)-electrode material of conducting WIZO (homojunction structure) and the indium-tin oxide (ITO) (heterojunction structure) on the same WIZO active-channel layer. The electrical properties of the WIZO layers used in the S/D electrode and the active-channel layer were adjusted through oxygen partial pressure during the deposition process. To explain enhancements of the device performance and stability of the homojunction-structured WIZO-TFT, a systematic investigation of correlation between device performance and physical properties at the interface between the active layer and the S/D electrodes such as the contact resistance, surface/interfacial roughness, interfacial-trap density, and interfacial energy-level alignments was conducted. The homojunction-structured WIZO-TFT exhibited a lower contact resistance, smaller interfacial-trap density, and flatter interfacial roughness than the WIZO-TFT with the heterojunction structure. The 0.09 eV electron barrier of the homojunction-structured WIZO-TFT is lower than the 0.21 eV value that was obtained for the heterojunction-structured WIZO-TFT. This reduced electron barrier may be attributed to enhancements of device performance and stability, that are related to the carrier transport.

  19. Fabrication of assembled ZnO/TiO2 heterojunction thin film transistors using solution processing technique

    NASA Astrophysics Data System (ADS)

    Liau, Leo Chau-Kuang; Lin, Yun-Guo

    2015-01-01

    Ceramic-based metal-oxide-semiconductor (MOS) field-effect thin film transistors (TFTs), which were assembled by ZnO and TiO2 heterojunction films coated using solution processing technique, were fabricated and characterized. The fabrication of the device began with the preparation of ZnO and TiO2 films by spin coating. The ZnO and TiO2 films that were stacked together and annealed at 450 °C were characterized as a p-n junction diode. Two types of the devices, p-channel and n-channel TFTs, were produced using different assemblies of ZnO and TiO2 films. Results show that the p-channel TFTs (p-TFTs) and n-channel TFTs (n-TFTs) using the assemblies of ZnO and TiO2 films were demonstrated by source-drain current vs. drain voltage (IDS-VDS) measurements. Several electronic properties of the p- and n- TFTs, such as threshold voltage (Vth), on-off ratio, channel mobility, and subthreshold swing (SS), were determined by current-voltage (I-V) data analysis. The ZnO/TiO2-based TFTs can be produced using solution processing technique and an assembly approach.

  20. High-pressure Gas Activation for Amorphous Indium-Gallium-Zinc-Oxide Thin-Film Transistors at 100 °C.

    PubMed

    Kim, Won-Gi; Tak, Young Jun; Du Ahn, Byung; Jung, Tae Soo; Chung, Kwun-Bum; Kim, Hyun Jae

    2016-03-14

    We investigated the use of high-pressure gases as an activation energy source for amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs). High-pressure annealing (HPA) in nitrogen (N2) and oxygen (O2) gases was applied to activate a-IGZO TFTs at 100 °C at pressures in the range from 0.5 to 4 MPa. Activation of the a-IGZO TFTs during HPA is attributed to the effect of the high-pressure environment, so that the activation energy is supplied from the kinetic energy of the gas molecules. We reduced the activation temperature from 300 °C to 100 °C via the use of HPA. The electrical characteristics of a-IGZO TFTs annealed in O2 at 2 MPa were superior to those annealed in N2 at 4 MPa, despite the lower pressure. For O2 HPA under 2 MPa at 100 °C, the field effect mobility and the threshold voltage shift under positive bias stress were improved by 9.00 to 10.58 cm(2)/V.s and 3.89 to 2.64 V, respectively. This is attributed to not only the effects of the pressurizing effect but also the metal-oxide construction effect which assists to facilitate the formation of channel layer and reduces oxygen vacancies, served as electron trap sites.

  1. Contact resistance and overlapping capacitance in flexible sub-micron long oxide thin-film transistors for above 100 MHz operation

    NASA Astrophysics Data System (ADS)

    Münzenrieder, Niko; Salvatore, Giovanni A.; Petti, Luisa; Zysset, Christoph; Büthe, Lars; Vogt, Christian; Cantarella, Giuseppe; Tröster, Gerhard

    2014-12-01

    In recent years new forms of electronic devices such as electronic papers, flexible displays, epidermal sensors, and smart textiles have become reality. Thin-film transistors (TFTs) are the basic blocks of the circuits used in such devices and need to operate above 100 MHz to efficiently treat signals in RF systems and address pixels in high resolution displays. Beyond the choice of the semiconductor, i.e., silicon, graphene, organics, or amorphous oxides, the junctionless nature of TFTs and its geometry imply some limitations which become evident and important in devices with scaled channel length. Furthermore, the mechanical instability of flexible substrates limits the feature size of flexible TFTs. Contact resistance and overlapping capacitance are two parasitic effects which limit the transit frequency of transistors. They are often considered independent, while a deeper analysis of TFTs geometry imposes to handle them together; in fact, they both depend on the overlapping length (LOV) between source/drain and the gate contacts. Here, we conduct a quantitative analysis based on a large number of flexible ultra-scaled IGZO TFTs. Devices with three different values of overlap length and channel length down to 0.5 μm are fabricated to experimentally investigate the scaling behavior of the transit frequency. Contact resistance and overlapping capacitance depend in opposite ways on LOV. These findings establish routes for the optimization of the dimension of source/drain contact pads and suggest design guidelines to achieve megahertz operation in flexible IGZO TFTs and circuits.

  2. Effect of mesa structure formation on the electrical properties of zinc oxide thin film transistors.

    PubMed

    Singh, Shaivalini; Chakrabarti, P

    2014-05-01

    ZnO based bottom-gate thin film transistor (TFT) with SiO2 as insulating layer has been fabricated with two different structures. The effect of formation of mesa structure on the electrical characteristics of the TFTs has been studied. The formation of mesa structure of ZnO channel region can definitely result in better control over channel region and enhance value of channel mobility of ZnO TFT. As a result, by fabricating a mesa structured TFT, a better value of mobility and on-state current are achieved at low voltages. A typical saturation current of 1.85 x 10(-7) A under a gate bias of 50 V is obtained for non mesa structure TFT while for mesa structured TFT saturation current of 5 x 10(-5) A can be obtained at comparatively very low gate bias of 6.4 V.

  3. Wireless thin film transistor based on micro magnetic induction coupling antenna.

    PubMed

    Jun, Byoung Ok; Lee, Gwang Jun; Kang, Jong Gu; Kim, Seunguk; Choi, Ji-Woong; Cha, Seung Nam; Sohn, Jung Inn; Jang, Jae Eun

    2015-12-22

    A wireless thin film transistor (TFT) structure in which a source/drain or a gate is connected directly to a micro antenna to receive or transmit signals or power can be an important building block, acting as an electrical switch, a rectifier or an amplifier, for various electronics as well as microelectronics, since it allows simple connection with other devices, unlike conventional wire connections. An amorphous indium gallium zinc oxide (α-IGZO) TFT with magnetic antenna structure was fabricated and studied for this purpose. To enhance the induction coupling efficiency while maintaining the same small antenna size, a magnetic core structure consisting of Ni and nanowires was formed under the antenna. With the micro-antenna connected to a source/drain or a gate of the TFT, working electrical signals were well controlled. The results demonstrated the device as an alternative solution to existing wire connections which cause a number of problems in various fields such as flexible/wearable devices, body implanted devices, micro/nano robots, and sensors for the 'internet of things' (IoT).

  4. Wireless thin film transistor based on micro magnetic induction coupling antenna

    PubMed Central

    Jun, Byoung Ok; Lee, Gwang Jun; Kang, Jong Gu; Kim, Seunguk; Choi, Ji-Woong; Cha, Seung Nam; Sohn, Jung Inn; Jang, Jae Eun

    2015-01-01

    A wireless thin film transistor (TFT) structure in which a source/drain or a gate is connected directly to a micro antenna to receive or transmit signals or power can be an important building block, acting as an electrical switch, a rectifier or an amplifier, for various electronics as well as microelectronics, since it allows simple connection with other devices, unlike conventional wire connections. An amorphous indium gallium zinc oxide (α-IGZO) TFT with magnetic antenna structure was fabricated and studied for this purpose. To enhance the induction coupling efficiency while maintaining the same small antenna size, a magnetic core structure consisting of Ni and nanowires was formed under the antenna. With the micro-antenna connected to a source/drain or a gate of the TFT, working electrical signals were well controlled. The results demonstrated the device as an alternative solution to existing wire connections which cause a number of problems in various fields such as flexible/wearable devices, body implanted devices, micro/nano robots, and sensors for the ‘internet of things’ (IoT). PMID:26691929

  5. Wireless thin film transistor based on micro magnetic induction coupling antenna

    NASA Astrophysics Data System (ADS)

    Jun, Byoung Ok; Lee, Gwang Jun; Kang, Jong Gu; Kim, Seunguk; Choi, Ji-Woong; Cha, Seung Nam; Sohn, Jung Inn; Jang, Jae Eun

    2015-12-01

    A wireless thin film transistor (TFT) structure in which a source/drain or a gate is connected directly to a micro antenna to receive or transmit signals or power can be an important building block, acting as an electrical switch, a rectifier or an amplifier, for various electronics as well as microelectronics, since it allows simple connection with other devices, unlike conventional wire connections. An amorphous indium gallium zinc oxide (α-IGZO) TFT with magnetic antenna structure was fabricated and studied for this purpose. To enhance the induction coupling efficiency while maintaining the same small antenna size, a magnetic core structure consisting of Ni and nanowires was formed under the antenna. With the micro-antenna connected to a source/drain or a gate of the TFT, working electrical signals were well controlled. The results demonstrated the device as an alternative solution to existing wire connections which cause a number of problems in various fields such as flexible/wearable devices, body implanted devices, micro/nano robots, and sensors for the ‘internet of things’ (IoT).

  6. Enhanced stability of thin film transistors with double-stacked amorphous IWO/IWO:N channel layer

    NASA Astrophysics Data System (ADS)

    Lin, Dong; Pi, Shubin; Yang, Jianwen; Tiwari, Nidhi; Ren, Jinhua; Zhang, Qun; Liu, Po-Tsun; Shieh, Han-Ping

    2018-06-01

    In this work, bottom-gate top-contact thin film transistors with double-stacked amorphous IWO/IWO:N channel layer were fabricated. Herein, amorphous IWO and N-doped IWO were deposited as front and back channel layers, respectively, by radio-frequency magnetron sputtering. The electrical characteristics of the bi-layer-channel thin film transistors (TFTs) were examined and compared with those of single-layer-channel (i.e., amorphous IWO or IWO:N) TFTs. It was demonstrated to exhibit a high mobility of 27.2 cm2 V‑1 s‑1 and an on/off current ratio of 107. Compared to the single peers, bi-layer a-IWO/IWO:N TFTs showed smaller hysteresis and higher stability under negative bias stress and negative bias temperature stress. The enhanced performance could be attributed to its unique double-stacked channel configuration, which successfully combined the merits of the TFTs with IWO and IWO:N channels. The underlying IWO thin film provided percolation paths for electron transport, meanwhile, the top IWO:N layer reduced the bulk trap densities. In addition, the IWO channel/gate insulator interface had reduced defects, and IWO:N back channel surface was insensitive to the ambient atmosphere. Overall, the proposed bi-layer a-IWO/IWO:N TFTs show potential for practical applications due to its possibly long-term serviceability.

  7. High-performance single-crystalline arsenic-doped indium oxide nanowires for transparent thin-film transistors and active matrix organic light-emitting diode displays.

    PubMed

    Chen, Po-Chiang; Shen, Guozhen; Chen, Haitian; Ha, Young-geun; Wu, Chao; Sukcharoenchoke, Saowalak; Fu, Yue; Liu, Jun; Facchetti, Antonio; Marks, Tobin J; Thompson, Mark E; Zhou, Chongwu

    2009-11-24

    We report high-performance arsenic (As)-doped indium oxide (In(2)O(3)) nanowires for transparent electronics, including their implementation in transparent thin-film transistors (TTFTs) and transparent active-matrix organic light-emitting diode (AMOLED) displays. The As-doped In(2)O(3) nanowires were synthesized using a laser ablation process and then fabricated into TTFTs with indium-tin oxide (ITO) as the source, drain, and gate electrodes. The nanowire TTFTs on glass substrates exhibit very high device mobilities (approximately 1490 cm(2) V(-1) s(-1)), current on/off ratios (5.7 x 10(6)), steep subthreshold slopes (88 mV/dec), and a saturation current of 60 microA for a single nanowire. By using a self-assembled nanodielectric (SAND) as the gate dielectric, the device mobilities and saturation current can be further improved up to 2560 cm(2) V(-1) s(-1) and 160 microA, respectively. All devices exhibit good optical transparency (approximately 81% on average) in the visible spectral range. In addition, the nanowire TTFTs were utilized to control green OLEDs with varied intensities. Furthermore, a fully integrated seven-segment AMOLED display was fabricated with a good transparency of 40% and with each pixel controlled by two nanowire transistors. This work demonstrates that the performance enhancement possible by combining nanowire doping and self-assembled nanodielectrics enables silicon-free electronic circuitry for low power consumption, optically transparent, high-frequency devices assembled near room temperature.

  8. Fabrication and electrical properties of low temperature-processed thin-film-transistors with chemical-bath deposited ZnO layer.

    PubMed

    Ahn, Joo-Seob; Kwon, Ji-Hye; Yang, Heesun

    2013-06-01

    ZnO film was grown on ZnO quantum dot seed layer-coated substrate by a low-temperature chemical bath deposition, where sodium citrate serves as a complexing agent for Zn2+ ion. The ZnO film deposited under the optimal condition exhibited a highly uniform surface morphology with a thickness of approimately 30 nm. For the fabrication of thin-film-transistor with a bottom-gate structure, ZnO film was chemically deposited on the transparent substrate of a seed layer-coated SiN(x)/ITO (indium tin oxide)/glass. As-deposited ZnO channel was baked at low temperatures of 60-200 degrees C to investigate the effect of baking temperature on electrical performances. Compared to the device with 60 degrees C-baked ZnO channel, the TFT performances of one with 200 degrees C-baked channel were substantially improved, exhibiting an on-off current ratio of 3.6 x 10(6) and a saturated field-effect mobility of 0.27 cm2/V x s.

  9. Linking Precursor Alterations to Nanoscale Structure and Optical Transparency in Polymer Assisted Fast-Rate Dip-Coating of Vanadium Oxide Thin Films

    PubMed Central

    Glynn, Colm; Creedon, Donal; Geaney, Hugh; Armstrong, Eileen; Collins, Timothy; Morris, Michael A.; Dwyer, Colm O’

    2015-01-01

    Solution processed metal oxide thin films are important for modern optoelectronic devices ranging from thin film transistors to photovoltaics and for functional optical coatings. Solution processed techniques such as dip-coating, allow thin films to be rapidly deposited over a large range of surfaces including curved, flexible or plastic substrates without extensive processing of comparative vapour or physical deposition methods. To increase the effectiveness and versatility of dip-coated thin films, alterations to commonly used precursors can be made that facilitate controlled thin film deposition. The effects of polymer assisted deposition and changes in solvent-alkoxide dilution on the morphology, structure, optoelectronic properties and crystallinity of vanadium pentoxide thin films was studied using a dip-coating method using a substrate withdrawal speed within the fast-rate draining regime. The formation of sub-100 nm thin films could be achieved rapidly from dilute alkoxide based precursor solutions with high optical transmission in the visible, linked to the phase and film structure. The effects of the polymer addition was shown to change the crystallized vanadium pentoxide thin films from a granular surface structure to a polycrystalline structure composed of a high density of smaller in-plane grains, resulting in a uniform surface morphology with lower thickness and roughness. PMID:26123117

  10. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    NASA Astrophysics Data System (ADS)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  11. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    PubMed

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  12. Low-Temperature Postfunctionalization of Highly Conductive Oxide Thin-Films toward Solution-Based Large-Scale Electronics.

    PubMed

    Ban, Seok-Gyu; Kim, Kyung-Tae; Choi, Byung Doo; Jo, Jeong-Wan; Kim, Yong-Hoon; Facchetti, Antonio; Kim, Myung-Gil; Park, Sung Kyu

    2017-08-09

    Although transparent conducting oxides (TCOs) have played a key role in a wide range of solid-state electronics from conventional optoelectronics to emerging electronic systems, the processing temperature and conductivity of solution-processed materials seem to be far exceeding the thermal limitations of soft materials and insufficient for high-perfomance large-area systems, respectively. Here, we report a strategy to form highly conductive and scalable solution-processed oxide materials and their successful translation into large-area electronic applications, which is enabled by photoassisted postfunctionalization at low temperature. The low-temperature fabrication of indium-tin-oxide (ITO) thin films was achieved by using photoignited combustion synthesis combined with photoassisted reduction process under hydrogen atmosphere. It was noteworthy that the photochemically activated hydrogens on ITO surface could be triggered to facilitate highly crystalline oxygen deficient structure allowing significant increase of carrier concentration and mobility through film microstructure modifications. The low-temperature postfunctionalized ITO films demonstrated conductivity of >1607 S/cm and sheet resistance of <104 Ω/□ under the process temperature of less than 300 °C, which are comparable to those of vacuum-deposited and high-temperature annealed ITO films. Based on the photoassisted postfunctionalization route, all-solution-processed transparent metal-oxide thin-film-transistors and large-area integrated circuits with the ITO bus lines were demonstrated, showing field-effect mobilities of >6.5 cm 2 V -1 s -1 with relatively good operational stability and oscillation frequency of more than 1 MHz in 7-stage ring oscillators, respectively.

  13. Comparative analysis of breakdown mechanism in thin SiO2 oxide films in metal-oxide-semiconductor structures under the action of heavy charged particles and a pulsed voltage

    NASA Astrophysics Data System (ADS)

    Zinchenko, V. F.; Lavrent'ev, K. V.; Emel'yanov, V. V.; Vatuev, A. S.

    2016-02-01

    Regularities in the breakdown of thin SiO2 oxide films in metal-oxide-semiconductors structures of power field-effect transistors under the action of single heavy charged particles and a pulsed voltage are studied experimentally. Using a phenomenological approach, we carry out comparative analysis of physical mechanisms and energy criteria of the SiO2 breakdown in extreme conditions of excitation of the electron subsystem in the subpicosecond time range.

  14. Effects of various oxygen partial pressures on Ti-doped ZnO thin film transistors fabricated on flexible plastic substrate

    NASA Astrophysics Data System (ADS)

    Cui, Guodong; Han, Dedong; Yu, Wen; Shi, Pan; Zhang, Yi; Huang, Lingling; Cong, Yingying; Zhou, Xiaoliang; Zhang, Xiaomi; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2016-04-01

    By applying a novel active layer of titanium zinc oxide (TiZO), we have successfully fabricated fully transparent thin-film transistors (TFTs) with a bottom gate structure fabricated on a flexible plastic substrate at low temperatures. The effects of various oxygen partial pressures during channel deposition were studied to improve the device performance. We found that the oxygen partial pressure during channel deposition has a significant impact on the performance of TiZO TFTs, and that the TFT developed under 10% oxygen partial pressure exhibits superior performance with a low threshold voltage (V th) of 2.37 V, a high saturation mobility (μsat) of 125.4 cm2 V-1 s-1, a steep subthreshold swing (SS) of 195 mV/decade and a high I on/I off ratio of 3.05 × 108. These results suggest that TiZO thin films are promising for high-performance fully transparent flexible TFTs and displays.

  15. Use of cermet thin film resistors with nitride passivated metal insulator field effect transistor

    NASA Technical Reports Server (NTRS)

    Brown, G. A.; Harrap, V.

    1971-01-01

    Film deposition of cermet resistors on same chip with metal nitride oxide silicon field effect transistors permits protection of contamination sensitive active devices from contaminants produced in cermet deposition and definition processes. Additional advantages include lower cost, greater reliability, and space savings.

  16. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr

    We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasingmore » temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.« less

  17. Channel length dependence of negative-bias-illumination-stress in amorphous-indium-gallium-zinc-oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Um, Jae Gwang; Mativenga, Mallory; Jang, Jin, E-mail: jjang@khu.ac.kr

    2015-06-21

    We have investigated the dependence of Negative-Bias-illumination-Stress (NBIS) upon channel length, in amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The negative shift of the transfer characteristic associated with NBIS decreases for increasing channel length and is practically suppressed in devices with L = 100-μm. The effect is consistent with creation of donor defects, mainly in the channel regions adjacent to source and drain contacts. Excellent agreement with experiment has been obtained by an analytical treatment, approximating the distribution of donors in the active layer by a double exponential with characteristic length L{sub D} ∼ L{sub n} ∼ 10-μm, the latter being the electron diffusion length. The model alsomore » shows that a device with a non-uniform doping distribution along the active layer is in all equivalent, at low drain voltages, to a device with the same doping averaged over the active layer length. These results highlight a new aspect of the NBIS mechanism, that is, the dependence of the effect upon the relative magnitude of photogenerated holes and electrons, which is controlled by the device potential/band profile. They may also provide the basis for device design solutions to minimize NBIS.« less

  18. Improvement of bias-stability in amorphous-indium-gallium-zinc-oxide thin-film transistors by using solution-processed Y{sub 2}O{sub 3} passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    An, Sungjin; Mativenga, Mallory; Kim, Youngoo

    2014-08-04

    We demonstrate back channel improvement of back-channel-etch amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors by using solution-processed yttrium oxide (Y{sub 2}O{sub 3}) passivation. Two different solvents, which are acetonitrile (35%) + ethylene glycol (65%), solvent A and deionized water, solvent B are investigated for the spin-on process of the Y{sub 2}O{sub 3} passivation—performed after patterning source/drain (S/D) Mo electrodes by a conventional HNO{sub 3}-based wet-etch process. Both solvents yield devices with good performance but those passivated by using solvent B exhibit better light and bias stability. Presence of yttrium at the a-IGZO back interface, where it occupies metal vacancy sites, is confirmed by X-ray photoelectronmore » spectroscopy. The passivation effect of yttrium is more significant when solvent A is used because of the existence of more metal vacancies, given that the alcohol (65% ethylene glycol) in solvent A may dissolve the metal oxide (a-IGZO) through the formation of alkoxides and water.« less

  19. Understanding charge transport in lead iodide perovskite thin-film field-effect transistors

    PubMed Central

    Senanayak, Satyaprasad P.; Yang, Bingyan; Thomas, Tudor H.; Giesbrecht, Nadja; Huang, Wenchao; Gann, Eliot; Nair, Bhaskaran; Goedel, Karl; Guha, Suchi; Moya, Xavier; McNeill, Christopher R.; Docampo, Pablo; Sadhanala, Aditya; Friend, Richard H.; Sirringhaus, Henning

    2017-01-01

    Fundamental understanding of the charge transport physics of hybrid lead halide perovskite semiconductors is important for advancing their use in high-performance optoelectronics. We use field-effect transistors (FETs) to probe the charge transport mechanism in thin films of methylammonium lead iodide (MAPbI3). We show that through optimization of thin-film microstructure and source-drain contact modifications, it is possible to significantly minimize instability and hysteresis in FET characteristics and demonstrate an electron field-effect mobility (μFET) of 0.5 cm2/Vs at room temperature. Temperature-dependent transport studies revealed a negative coefficient of mobility with three different temperature regimes. On the basis of electrical and spectroscopic studies, we attribute the three different regimes to transport limited by ion migration due to point defects associated with grain boundaries, polarization disorder of the MA+ cations, and thermal vibrations of the lead halide inorganic cages. PMID:28138550

  20. Channel scaling and field-effect mobility extraction in amorphous InZnO thin film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Sunghwan; Song, Yang; Park, Hongsik; Zaslavsky, A.; Paine, D. C.

    2017-09-01

    Amorphous oxide semiconductors (AOSs) based on indium oxides are of great interest for next generation ultra-high definition displays that require much smaller pixel driving elements. We describe the scaling behavior in amorphous InZnO thin film transistors (TFTs) with a significant decrease in the extracted field-effect mobility μFE with channel length L (from 39.3 to 9.9 cm2/V·s as L is reduced from 50 to 5 μm). Transmission line model measurements reveal that channel scaling leads to a significant μFE underestimation due to contact resistance (RC) at the metallization/channel interface. Therefore, we suggest a method of extracting correct μFE when the TFT performance is significantly affected by RC. The corrected μFE values are higher (45.4 cm2/V·s) and nearly independent of L. The results show the critical effect of contact resistance on μFE measurements and suggest strategies to determine accurate μFE when a TFT channel is scaled.

  1. Radiation sensitivity of graphene field effect transistors and other thin film architectures

    NASA Astrophysics Data System (ADS)

    Cazalas, Edward

    An important contemporary motivation for advancing radiation detection science and technology is the need for interdiction of nuclear and radiological materials, which may be used to fabricate weapons of mass destruction. The detection of such materials by nuclear techniques relies on achieving high sensitivity and selectivity to X-rays, gamma-rays, and neutrons. To be attractive in field deployable instruments, it is desirable for detectors to be lightweight, inexpensive, operate at low voltage, and consume low power. To address the relatively low particle flux in most passive measurements for nuclear security applications, detectors scalable to large areas that can meet the high absolute detection efficiency requirements are needed. Graphene-based and thin-film-based radiation detectors represent attractive technologies that could meet the need for inexpensive, low-power, size-scalable detection architectures, which are sensitive to X-rays, gamma-rays, and neutrons. The utilization of graphene to detect ionizing radiation relies on the modulation of graphene charge carrier density by changes in local electric field, i.e. the field effect in graphene. Built on the principle of a conventional field effect transistor, the graphene-based field effect transistor (GFET) utilizes graphene as a channel and a semiconducting substrate as an absorber medium with which the ionizing radiation interacts. A radiation interaction event that deposits energy within the substrate creates electron-hole pairs, which modify the electric field and modulate graphene charge carrier density. A detection event in a GFET is therefore measured as a change in graphene resistance or current. Thin (micron-scale) films can also be utilized for radiation detection of thermal neutrons provided nuclides with high neutron absorption cross section are present with appreciable density. Detection in thin-film detectors could be realized through the collection of charge carriers generated within the

  2. Grain Boundary Induced Bias Instability in Soluble Acene-Based Thin-Film Transistors

    PubMed Central

    Nguyen, Ky V.; Payne, Marcia M.; Anthony, John E.; Lee, Jung Hun; Song, Eunjoo; Kang, Boseok; Cho, Kilwon; Lee, Wi Hyoung

    2016-01-01

    Since the grain boundaries (GBs) within the semiconductor layer of organic field-effect transistors (OFETs) have a strong influence on device performance, a substantial number of studies have been devoted to controlling the crystallization characteristics of organic semiconductors. We studied the intrinsic effects of GBs within 5,11-bis(triethylsilylethynyl) anthradithiophene (TES-ADT) thin films on the electrical properties of OFETs. The GB density was easily changed by controlling nulceation event in TES-ADT thin films. When the mixing time was increased, the number of aggregates in as-spun TES-ADT thin films were increased and subsequent exposure of the films to 1,2-dichloroethane vapor led to a significant increase in the number of nuleation sites, thereby increasing the GB density of TES-ADT spherulites. The density of GBs strongly influences the angular spread and crystallographic orientation of TES-ADT spherulites. Accordingly, the FETs with higher GB densities showed much poorer electrical characteristics than devices with lower GB density. Especially, GBs provide charge trapping sites which are responsible for bias-stress driven electrical instability. Dielectric surface treatment with a polystyrene brush layer clarified the GB-induced charge trapping by reducing charge trapping at the semiconductor-dielectric interface. Our study provides an understanding on GB induced bias instability for the development of high performance OFETs. PMID:27615358

  3. Influence of the morphology of the copper(II) phthalocyanine thin film on the performance of organic field-effect transistors

    NASA Astrophysics Data System (ADS)

    Xu, Jing; Liu, Xueqiang; Wang, Hailong; Hou, Wenlong; Zhao, Lele; Zhang, Haiquan

    2017-01-01

    Organic thin-film transistors (OTFTs) with high crystallization copper phthalocyanine (CuPc) active layers were fabricated. The performance of CuPc OTFTs was studied without and with treatment by Solvent Vapor Annealing on CuPc film. The values of the threshold voltage without and with solvent-vapor annealing are -17 V and -10.5 V respectively. The field-effect mobility values in saturation region of CuPc thin-film transistors without and with Solvent Vapor Annealing are 0.00027 cm2/V s and 0.0025 cm2/V s respectively. Meanwhile, the high crystallization of the CuPc film with a larger grain size and less grain boundaries can be observed by investigating the morphology of the CuPc active layer through scanning electron microscopy and X-ray diffraction. The experimental results showed the decreased of the resistance of the conducting channel, that led to a performance improvement of the OTFTs.

  4. Reliability of gamma-irradiated n-channel ZnO thin-film transistors: electronic and interface properties

    NASA Astrophysics Data System (ADS)

    Lee, Kin Kiong; Wang, Danna; Shinobu, Onoda; Ohshima, Takeshi

    2018-04-01

    Radiation-induced charge trapping and interface traps in n-channel ZnO thin film transistors are characterised as a function of total dose and irradiation bias following exposure to gamma-rays. Devices were irradiated up to ∼60 kGy(SiO?) and the electrical characteristic exhibits two distinct regimes. In the first regime, up to a total dose of 40 kGy(SiO?), the threshold voltage increases positively. However, in the second regime with irradiation greater than 40 kGy(SiO?), the threshold voltage moves in the opposite direction. This reversal of threshold voltage is attributed to the influence of the radiation-induced interface and oxide- charge, in which both have opposite polarity, on the electrical performance of the transistors. In the first regime, the generation of the oxide- charge is initially greater than the density of interface traps and caused a positive shift. In the second regime, when the total doses were greater than 40 kGy(SiO?), the radiation-induced interface traps are greater than the density of oxide- charge and caused the threshold voltage to switch direction. Further, the generated interface traps contributed to the degradation of the effective channel mobility, whereas the density of traps at the grain-boundaries did not increase significantly upon irradiation. Isothermal annealing of the devices at 363 K results in a reduction in the trap density and an improvement of the effective channel mobility to ∼90% of its pre-irradiation value.

  5. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    PubMed Central

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-01-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V−1 sec−1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process. PMID:27184121

  6. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    NASA Astrophysics Data System (ADS)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  7. Investigation on the negative bias illumination stress-induced instability of amorphous indium-tin-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Jang, Jaeman; Kim, Dae Geun; Kim, Dong Myong; Choi, Sung-Jin; Lim, Jun-Hyung; Lee, Je-Hun; Kim, Yong-Sung; Ahn, Byung Du; Kim, Dae Hwan

    2014-10-01

    The quantitative analysis of mechanism on negative bias illumination stress (NBIS)-induced instability of amorphous indium-tin-zinc-oxide thin-film transistor (TFT) was suggested along with the effect of equivalent oxide thickness (EOT) of gate insulator. The analysis was implemented through combining the experimentally extracted density of subgap states and the device simulation. During NBIS, it was observed that the thicker EOT causes increase in both the shift of threshold voltage and the variation of subthreshold swing as well as the hump-like feature in a transfer curve. We found that the EOT-dependence of NBIS instability can be clearly explicated with the donor creation model, in which a larger amount of valence band tail states is transformed into either the ionized oxygen vacancy VO2+ or peroxide O22- with the increase of EOT. It was also found that the VO2+-related extrinsic factor accounts for 80%-92% of the total donor creation taking place in the valence band tail states while the rest is taken by the O22- related intrinsic factor. The ratio of extrinsic factor compared to the total donor creation also increased with the increase of EOT, which could be explained by more prominent oxygen deficiency. The key founding of our work certainly represents that the established model should be considered very effective for analyzing the instability of the post-indium-gallium-zinc-oxide (IGZO) ZnO-based compound semiconductor TFTs with the mobility, which is much higher than those of a-IGZO TFTs.

  8. Fabrication of an a-IGZO thin film transistor using selective deposition of cobalt by the self-assembly monolayer (SAM) process.

    PubMed

    Cho, Young-Je; Kim, HyunHo; Park, Kyoung-Yun; Lee, Jaegab; Bobade, Santosh M; Wu, Fu-Chung; Choi, Duck-Kyun

    2011-01-01

    Interest in transparent oxide thin film transistors utilizing ZnO material has been on the rise for many years. Recently, however, IGZO has begun to draw more attention due to its higher stability and superior electric field mobility when compared to ZnO. In this work, we address an improved method for patterning an a-IGZO film using the SAM process, which employs a cost-efficient micro-contact printing method instead of the conventional lithography process. After a-IGZO film deposition on the surface of a SiO2-layered Si wafer, the wafer was illuminated with UV light; sources and drains were then patterned using n-octadecyltrichlorosilane (OTS) molecules by a printing method. Due to the low surface energy of OTS, cobalt was selectively deposited on the OTS-free a-IGZO surface. The selective deposition of cobalt electrodes was successful, as confirmed by an optical microscope. The a-IZGO TFT fabricated using the SAM process exhibited good transistor performance: electric field mobility (micro(FE)), threshold voltage (V(th)), subthreshold slope (SS) and on/off ratio were 2.1 cm2/Vs, 2.4 V, 0.35 V/dec and 2.9 x 10(6), respectively.

  9. Multifunctional Hybrid Multilayer Gate Dielectrics with Tunable Surface Energy for Ultralow-Power Organic and Amorphous Oxide Thin-Film Transistors.

    PubMed

    Byun, Hye-Ran; You, Eun-Ah; Ha, Young-Geun

    2017-03-01

    For large-area, printable, and flexible electronic applications using advanced semiconductors, novel dielectric materials with excellent capacitance, insulating property, thermal stability, and mechanical flexibility need to be developed to achieve high-performance, ultralow-voltage operation of thin-film transistors (TFTs). In this work, we first report on the facile fabrication of multifunctional hybrid multilayer gate dielectrics with tunable surface energy via a low-temperature solution-process to produce ultralow-voltage organic and amorphous oxide TFTs. The hybrid multilayer dielectric materials are constructed by iteratively stacking bifunctional phosphonic acid-based self-assembled monolayers combined with ultrathin high-k oxide layers. The nanoscopic thickness-controllable hybrid dielectrics exhibit the superior capacitance (up to 970 nF/cm 2 ), insulating property (leakage current densities <10 -7 A/cm 2 ), and thermal stability (up to 300 °C) as well as smooth surfaces (root-mean-square roughness <0.35 nm). In addition, the surface energy of the hybrid multilayer dielectrics are easily changed by switching between mono- and bifunctional phosphonic acid-based self-assembled monolayers for compatible fabrication with both organic and amorphous oxide semiconductors. Consequently, the hybrid multilayer dielectrics integrated into TFTs reveal their excellent dielectric functions to achieve high-performance, ultralow-voltage operation (< ± 2 V) for both organic and amorphous oxide TFTs. Because of the easily tunable surface energy, the multifunctional hybrid multilayer dielectrics can also be adapted for various organic and inorganic semiconductors, and metal gates in other device configurations, thus allowing diverse advanced electronic applications including ultralow-power and large-area electronic devices.

  10. Development and Research on the Mechanism of Novel Mist Etching Method for Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Kawaharamura, Toshiyuki; Hirao, Takashi

    2012-03-01

    A novel etching process with etchant mist was developed and applied to oxide thin films such as zinc oxide (ZnO), zinc magnesium oxide (ZnMgO), and indium tin oxide (ITO). By using this process, it was shown that precise control of the etching characteristics is possible with a reasonable etching rate, for example, in the range of 10-100 nm/min, and a fine pattern of high accuracy can also be realized, even though this is usually very difficult by conventional wet etching processes, for ZnO and ZnMgO. The mist etching process was found to be similarly and successfully applied to ITO. The mechanism of mist etching has been studied by examining the etching temperature dependence of pattern accuracy, and it was shown that the mechanism was different from that of conventional liquid-phase spray etching. It was ascertained that fine pattern etching was attained using mist droplets completely (or partly) gasified by the heat applied to the substrate. This technique was applied to the fabrication of a ZnO thin-film transistor (TFT) with a ZnO active channel length of 4 µm. The electrical properties of the TFT were found to be excellent with fine uniformity over the entire 4-in. wafer.

  11. A Study of Electrical and Optical Stability of GSZO THin Film Transisitors

    DTIC Science & Technology

    2014-01-01

    introduces an overview of the research carried out on IGZO , ZnO, and GSZO thin film transistors that is relevant to the work discussed in this...dangling bonds or electron trapping near the gate insulator interface in IGZO thin film transistors . Mathews et al. [13] indicated that subjecting TFTs to...Ping David Shieh, Hideo Hosono, and Jerzy Kanicki, Photofield-Effect in Amporphous In-Ga-Zn-O (a- IGZO ) Thin - Film Transistors . Journal of Information

  12. High performance inkjet-printed metal oxide thin film transistors via addition of insulating polymer with proper molecular weight

    NASA Astrophysics Data System (ADS)

    Sun, Dawei; Chen, Cihai; Zhang, Jun; Wu, Xiaomin; Chen, Huipeng; Guo, Tailiang

    2018-01-01

    Fabrication of metal oxide thin film transistor (MOTFT) arrays using the inkjet printing process has caused tremendous interest for low-cost and large-area flexible electronic devices. However, the inkjet-printed MOTFT arrays usually exhibited a non-uniform geometry due to the coffee ring effect, which restricted their commercial application. Therefore, in this work, a strategy is reported to control the geometry and enhance device performance of inkjet-printed MOTFT arrays by the addition of an insulating polymer to the precursor solution prior to film deposition. Moreover, the impact of the polymer molecular weight (MW) on the geometry, chemical constitution, crystallization, and MOTFT properties of inkjet-printed metal oxide depositions was investigated. The results demonstrated that with an increase of MW of polystyrene (PS) from 2000 to 200 000, the coffee ring was gradually faded and the coffee ring effect was completely eliminated when MW reached 200 000, which is associated with the enhanced viscosity with the insulating polymer, providing a high resistance to the outward capillary flow, which facilitated the depinning of the contact line, leading to the elimination of the coffee ring. More importantly, the carrier mobility increased significantly from 4.2 cm2 V-1 s-1 up to 13.7 cm2 V-1 s-1 as PS MW increased from 2000 to 200 000, which was about 3 times that of the pristine In2O3 TFTs. Grazing incidence X-ray diffraction and X-ray photoelectron spectroscopy results indicated that PS doping of In2O3 films not only frustrated crystallization but also altered chemical constitution by enhancing the formation of the M-O structure, both of which facilitated the carrier transport. These results demonstrated that the simple polymer additive process provides a promising method that can efficiently control the geometry of MO arrays during inkjet printing and maximize the device performance of MOTFT arrays, which showed great potential for the application in next

  13. Consequence of oxidant concentration on XPS properties of chemically synthesized polythiophene thin films

    NASA Astrophysics Data System (ADS)

    Kamat, Sandip V.; Chhabra, Jasvinder; Patil, V. S.; Yadav, J. B.; Puri, R. K.; Puri, Vijaya

    2018-05-01

    The polythiophene thin films were prepared by a wellknown chemical bath deposition technique. The deposited thin films were characterized for structural morphological properties and the adhesion of these thin films were measured by direct pull off (DPO) method, the effect of oxidant concentration on these thin films also studied. The FTIR spectra of chemically deposited polythiophene thin films shows the absorption peak at 836 cm-1 which represents c-s stretching vibrations, shifts to 869 cm-1 as the oxidant concentration increases. The band at 666 cm-1 representing c-s-c ring deformation becomes sharper and appears with a shoulder peak due to increase in oxidant concentration.

  14. Air-stable solution-processed n-channel organic thin film transistors with polymerenhanced morphology

    DOE PAGES

    He, Zhengran; Shaik, Shoieb; Bi, Sheng; ...

    2015-05-04

    N,N 0-1H,1H-perfluorobutyl dicyanoperylenecarboxydiimide (PDIF-CN 2) is an n-type semiconductor exhibiting high electron mobility and excellent air stability. However, the reported electron mobility based on spin-coated PDIF-CN 2 film is much lower than the value of PDIF-CN 2 single crystals made from vapor phase deposition, indicating significant room for mobility enhancement. In this study, various insulating polymers, including poly(vinyl alcohol), poly(methyl methacrylate) (PMMA), and poly(alpha-methylstyrene) (PaMS), are pre-coated on silicon substrate aiming to enhance the morphology of the PDIF-CN 2 thin film, thereby improving the charge transport and air stability. Atomic force microscopy images reveal that with the pre-deposition of PaMSmore » or PMMA polymers, the morphology of the PDIF-CN 2 polycrystalline films is optimized in semiconducting crystal connectivity, domain size, and surface roughness, which leads to significant improvement of organic thin-film transistor (OTFT) performance. Particularly, an electron mobility of up to 0.55 cm 2/V s has been achieved from OTFTs based on the PDIF-CN 2 film with the pre-deposition of PaMS polymer.« less

  15. Remarkably High Mobility Thin-Film Transistor on Flexible Substrate by Novel Passivation Material.

    PubMed

    Shih, Cheng Wei; Chin, Albert

    2017-04-25

    High mobility thin-film transistor (TFT) is crucial for future high resolution and fast response flexible display. Remarkably high performance TFT, made at room temperature on flexible substrate, is achieved with record high field-effect mobility (μ FE ) of 345 cm 2 /Vs, small sub-threshold slope (SS) of 103 mV/dec, high on-current/off-current (I ON /I OFF ) of 7 × 10 6 , and a low drain-voltage (V D ) of 2 V for low power operation. The achieved mobility is the best reported data among flexible electronic devices, which is reached by novel HfLaO passivation material on nano-crystalline zinc-oxide (ZnO) TFT to improve both I ON and I OFF . From X-ray photoelectron spectroscopy (XPS) analysis, the non-passivated device has high OH-bonding intensity in nano-crystalline ZnO, which damage the crystallinity, create charged scattering centers, and form potential barriers to degrade mobility.

  16. Characteristics of Reduced Graphene Oxide Quantum Dots for a Flexible Memory Thin Film Transistor.

    PubMed

    Kim, Yo-Han; Lee, Eun Yeol; Lee, Hyun Ho; Seo, Tae Seok

    2017-05-17

    Reduced graphene oxide quantum dot (rGOQD) devices in formats of capacitor and thin film transistor (TFT) were demonstrated and examined as the first trial to achieve nonambipolar channel property. In addition, through a gold nanoparticle (Au NP) layer embedded between the rGOQD active channel and dielectric layer, memory capacitor and TFT performances were realized by capacitance-voltage (C-V) hysteresis and gate program, erase, and reprogram biases. First, capacitor structure of the rGOQD memory device was constructed to examine memory charging effect featured in hysteretic C-V behavior with a 30 nm dielectric layer of cross-linked poly(vinyl alcohol). For the intervening Au NP charging layer, self-assembled monolayer (SAM) formation of the Au NP was executed to utilize electrostatic interaction by a dip-coating process under ambient environments with a conformal fabrication uniformity. Second, the rGOQD memory TFT device was also constructed in the same format of the Au NPs SAMs on a flexible substrate. Characteristics of the rGOQD TFT output showed novel saturation curves unlike typical graphene-based TFTs. However, The rGOQD TFT device reveals relatively low on/off ratio of 10 1 and mobility of 5.005 cm 2 /V·s. For the memory capacitor, the flat-band voltage shift (ΔV FB ) was measured as 3.74 V for ±10 V sweep, and for the memory TFT, the threshold voltage shift (ΔV th ) by the Au NP charging was detected as 7.84 V. In summary, it was concluded that the rGOQD memory device could accomplish an ideal graphene-based memory performance, which could have provided a wide memory window and saturated output characteristics.

  17. Effects of V2O5/Au bi-layer electrodes on the top contact Pentacene-based organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Borthakur, Tribeni; Sarma, Ranjit

    2017-05-01

    Top-contact Pentacene-based organic thin film transistors (OTFTs) with a thin layer of Vanadium Pent-oxide between Pentacene and Au layer are fabricated. Here we have found that the devices with V2O5/Au bi-layer source-drain electrode exhibit better field-effect mobility, high on-off ratio, low threshold voltage and low sub-threshold slope than the devices with Au only. The field-effect mobility, current on-off ratio, threshold voltage and sub-threshold slope of V2O5/Au bi-layer OTFT estimated from the device with 15 nm thick V2O5 layer is .77 cm2 v-1 s-1, 7.5×105, -2.9 V and .36 V/decade respectively.

  18. Eco-Friendly and Biodegradable Biopolymer Chitosan/Y₂O₃ Composite Materials in Flexible Organic Thin-Film Transistors.

    PubMed

    Du, Bo-Wei; Hu, Shao-Ying; Singh, Ranjodh; Tsai, Tsung-Tso; Lin, Ching-Chang; Ko, Fu-Hsiang

    2017-09-03

    The waste from semiconductor manufacturing processes causes serious pollution to the environment. In this work, a non-toxic material was developed under room temperature conditions for the fabrication of green electronics. Flexible organic thin-film transistors (OTFTs) on plastic substrates are increasingly in demand due to their high visible transmission and small size for use as displays and wearable devices. This work investigates and analyzes the structured formation of aqueous solutions of the non-toxic and biodegradable biopolymer, chitosan, blended with high-k-value, non-toxic, and biocompatible Y₂O₃ nanoparticles. Chitosan thin films blended with Y₂O₃ nanoparticles were adopted as the gate dielectric thin film in OTFTs, and an improvement in the dielectric properties and pinholes was observed. Meanwhile, the on/off current ratio was increased by 100 times, and a low leakage current was observed. In general, the blended chitosan/Y₂O₃ thin films used as the gate dielectric of OTFTs are non-toxic, environmentally friendly, and operate at low voltages. These OTFTs can be used on surfaces with different curvature radii because of their flexibility.

  19. Polycrystalline silicon thin-film transistors with location-controlled crystal grains fabricated by excimer laser crystallization

    NASA Astrophysics Data System (ADS)

    Tsai, Chun-Chien; Lee, Yao-Jen; Chiang, Ko-Yu; Wang, Jyh-Liang; Lee, I.-Che; Chen, Hsu-Hsin; Wei, Kai-Fang; Chang, Ting-Kuo; Chen, Bo-Ting; Cheng, Huang-Chung

    2007-11-01

    In this paper, location-controlled silicon crystal grains are fabricated by the excimer laser crystallization method which employs amorphous silicon spacer structure and prepatterned thin films. The amorphous silicon spacer in nanometer-sized width formed using spacer technology is served as seed crystal to artificially control superlateral growth phenomenon during excimer laser irradiation. An array of 1.8-μm-sized disklike silicon grains is formed, and the n-channel thin-film transistors whose channels located inside the artificially-controlled crystal grains exhibit higher performance of field-effect-mobility reaching 308cm2/Vs as compared with the conventional ones. This position-manipulated silicon grains are essential to high-performance and good uniformity devices.

  20. Technological Innovation of Thin-Film Transistors: Technology Development, History, and Future

    NASA Astrophysics Data System (ADS)

    Yamamoto, Yoshitaka

    2012-06-01

    The scale of the liquid crystal display industry has expanded rapidly, driven by technological innovations for thin-film transistors (TFTs). The TFT technology, which started from amorphous silicon (a-Si), has produced large TVs, and low-temperature polycrystalline silicon (poly-Si) has become a core technology for small displays, such as mobile phones. Recently, various TFT technological seeds have been realized, indicating that new information appliances that match new lifestyles and information infrastructures will be available in the near future. In this article, I review the history of TFT technology and discuss the future of TFT technological development from the technological innovation viewpoint.

  1. Solution-processed small molecule-polymer blend organic thin-film transistors with hole mobility greater than 5 cm2/Vs.

    PubMed

    Smith, Jeremy; Zhang, Weimin; Sougrat, Rachid; Zhao, Kui; Li, Ruipeng; Cha, Dongkyu; Amassian, Aram; Heeney, Martin; McCulloch, Iain; Anthopoulos, Thomas D

    2012-05-08

    Using phase-separated organic semiconducting blends containing a small molecule, as the hole transporting material, and a conjugated amorphous polymer, as the binder material, we demonstrate solution-processed organic thin-film transistors with superior performance characteristics that include; hole mobility >5 cm(2) /Vs, current on/off ratio ≥10(6) and narrow transistor parameter spread. These exceptional characteristics are attributed to the electronic properties of the binder polymer and the advantageous nanomorphology of the blend film. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. The effect of thermal annealing on pentacene thin film transistor with micro contact printing.

    PubMed

    Shin, Hong-Sik; Yun, Ho-Jin; Baek, Kyu-Ha; Ham, Yong-Hyun; Park, Kun-Sik; Kim, Dong-Pyo; Lee, Ga-Won; Lee, Hi-Deok; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We used micro contact printing (micro-CP) to fabricate inverted coplanar pentacene thin film transistors (TFTs) with 1-microm channels. The patterning of micro-scale source/drain electrodes without etch process was successfully achieved using Polydimethylsiloxane (PDMS) elastomer stamp. We used the Ag nano particle ink as an electrode material, and the sheet resistance and surface roughness of the Ag electrodes were effectively reduced with the 2-step thermal annealing on a hotplate, which improved the mobility, the on-off ratio, and the subthreshold slope (SS) of the pentacene TFTs. In addition, the device annealing on a hotplate in a N2 atmosphere for 30 sec can enhance the off-current and the mobility properties of OTFTs without damaging the pentacene thin films and increase the adhesion between pentacene and dielectric layer (SiO2), which was investigated with the pentacene films phase change of the XRD spectrum after device annealing.

  3. Two-stage unified stretched-exponential model for time-dependence of threshold voltage shift under positive-bias-stresses in amorphous indium-gallium-zinc oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Jeong, Chan-Yong; Kim, Hee-Joong; Hong, Sae-Young; Song, Sang-Hun; Kwon, Hyuck-In

    2017-08-01

    In this study, we show that the two-stage unified stretched-exponential model can more exactly describe the time-dependence of threshold voltage shift (ΔV TH) under long-term positive-bias-stresses compared to the traditional stretched-exponential model in amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). ΔV TH is mainly dominated by electron trapping at short stress times, and the contribution of trap state generation becomes significant with an increase in the stress time. The two-stage unified stretched-exponential model can provide useful information not only for evaluating the long-term electrical stability and lifetime of the a-IGZO TFT but also for understanding the stress-induced degradation mechanism in a-IGZO TFTs.

  4. Investigations on the effects of electrode materials on the device characteristics of ferroelectric memory thin film transistors fabricated on flexible substrates

    NASA Astrophysics Data System (ADS)

    Yang, Ji-Hee; Yun, Da-Jeong; Seo, Gi-Ho; Kim, Seong-Min; Yoon, Myung-Han; Yoon, Sung-Min

    2018-03-01

    For flexible memory device applications, we propose memory thin-film transistors using an organic ferroelectric poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] gate insulator and an amorphous In-Ga-Zn-O (a-IGZO) active channel. The effects of electrode materials and their deposition methods on the characteristics of memory devices exploiting the ferroelectric field effect were investigated for the proposed ferroelectric memory thin-film transistors (Fe-MTFTs) at flat and bending states. It was found that the plasma-induced sputtering deposition and mechanical brittleness of the indium-tin oxide (ITO) markedly degraded the ferroelectric-field-effect-driven memory window and bending characteristics of the Fe-MTFTs. The replacement of ITO electrodes with metal aluminum (Al) electrodes prepared by plasma-free thermal evaporation greatly enhanced the memory device characteristics even under bending conditions owing to their mechanical ductility. Furthermore, poly(3,4-ethylenedioxythiophene)-poly(styrene sulfonate) (PEDOT:PSS) was introduced to achieve robust bending performance under extreme mechanical stress. The Fe-MTFTs using PEDOT:PSS source/drain electrodes were successfully fabricated and showed the potential for use as flexible memory devices. The suitable choice of electrode materials employed for the Fe-MTFTs is concluded to be one of the most important control parameters for highly functional flexible Fe-MTFTs.

  5. High-pressure Gas Activation for Amorphous Indium-Gallium-Zinc-Oxide Thin-Film Transistors at 100 °C

    PubMed Central

    Kim, Won-Gi; Tak, Young Jun; Du Ahn, Byung; Jung, Tae Soo; Chung, Kwun-Bum; Kim, Hyun Jae

    2016-01-01

    We investigated the use of high-pressure gases as an activation energy source for amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs). High-pressure annealing (HPA) in nitrogen (N2) and oxygen (O2) gases was applied to activate a-IGZO TFTs at 100 °C at pressures in the range from 0.5 to 4 MPa. Activation of the a-IGZO TFTs during HPA is attributed to the effect of the high-pressure environment, so that the activation energy is supplied from the kinetic energy of the gas molecules. We reduced the activation temperature from 300 °C to 100 °C via the use of HPA. The electrical characteristics of a-IGZO TFTs annealed in O2 at 2 MPa were superior to those annealed in N2 at 4 MPa, despite the lower pressure. For O2 HPA under 2 MPa at 100 °C, the field effect mobility and the threshold voltage shift under positive bias stress were improved by 9.00 to 10.58 cm2/V.s and 3.89 to 2.64 V, respectively. This is attributed to not only the effects of the pressurizing effect but also the metal-oxide construction effect which assists to facilitate the formation of channel layer and reduces oxygen vacancies, served as electron trap sites. PMID:26972476

  6. Solution processable semiconductor thin films: Correlation between morphological, structural, optical and charge transport properties

    NASA Astrophysics Data System (ADS)

    Isik, Dilek

    This Ph.D. thesis is a result of multidisciplinary research bringing together fundamental concepts in thin film engineering, materials science, materials processing and characterization, electrochemistry, microfabrication, and device physics. Experiments were conducted by tackling scientific problems in the field of thin films and interfaces, with the aim to correlate the morphology, crystalline structure, electronic structure of thin films with the functional properties of the films and the performances of electronic devices based thereon. Furthermore, novel strategies based on interfacial phenomena at electrolyte/thin film interfaces were explored and exploited to control the electrical conductivity of the thin films. Three main chemical systems were the object of the studies performed during this Ph.D., two types of organic semiconductors (azomethine-based oligomers and polymers and soluble pentacene derivatives) and one metal oxide semiconductor (tungsten trioxide, WO3). To explore the morphological properties of the thin films, atomic force microscopy was employed. The morphological properties were further investigated by hyperspectral fluorescence microscopy and tentatively correlated to the charge transport properties of the films. X-ray diffraction (Grazing incidence XRD, GIXRD) was used to investigate the crystallinity of the film and the effect of the heat treatment on such crystallinity, as well as to understand the molecular arrangement of the organic molecules in the thin film. The charge transport properties of the films were evaluated in thin film transistor configuration. For electrolyte gated thin film transistors, time dependent transient measurements were conducted, in parallel to more conventional transistor characterizations, to explore the specific effects played on the gating by the anion and cation constituting the electrolyte. The capacitances of the electrical double layers at the electrolyte/WO3 interface were obtained from

  7. Electrolysis-induced protonation of VO2 thin film transistor for the metal-insulator phase modulation

    NASA Astrophysics Data System (ADS)

    Katase, Takayoshi; Endo, Kenji; Ohta, Hiromichi

    2016-02-01

    Compared to state-of-the-art modulation techniques, protonation is the most ideal to control the electrical and optical properties of transition metal oxides (TMOs) due to its intrinsic non-volatile operation. However, the protonation of TMOs is not typically utilized for solid-state devices because of imperative high-temperature annealing treatment in hydrogen source. Although one solution for room temperature (RT) protonation of TMOs is liquid-phase electrochemistry, it is unsuited for practical purposes due to liquid-leakage problem. Herein we demonstrate solid-state RT-protonation of vanadium dioxide (VO2), which is a well-known thermochromic TMO. We fabricated the three terminal thin-film-transistor structure on an insulating VO2 film using a water-infiltrated nanoporous glass, which serves as a solid electrolyte. For gate voltage application, water electrolysis and protonation/deprotonation of VO2 film surface occurred, leading to reversible metal-insulator phase conversion of ~11-nm-thick VO2 layer. The protonation was clearly accompanied by the structural change from an insulating monoclinic to a metallic tetragonal phase. Present results offer a new route for the development of electro-optically active solid-state devices with TMO materials by engineering RT protonation.

  8. Fabrication of one-transistor-capacitor structure of nonvolatile TFT ferroelectric RAM devices using Ba(Zr0.1Ti0.9)O3 gated oxide film.

    PubMed

    Yang, Cheng-Fu; Chen, Kai-Huang; Chen, Ying-Chung; Chang, Ting-Chang

    2007-09-01

    In this study, the Ba(Zr0.1Ti0.9)O3 (BZ1T9) thin films have been well deposited on the Pt/Ti/SiO2/Si substrate. The optimum radio frequency (RF) deposition parameters are developed, and the BZ1T9 thin films deposition at the optimum parameters have the maximum capacitance and dielectric constant of 4.4 nF and 190. As the applied voltage is increased to 8 V, the remnant polarization and coercive field of BZ1T9 thin films are about 4.5 microC/cm2 and 80 kV/cm. The counterclockwise current hysteresis and memory window of n-channel thin-film transistor property are observed, and that can be used to indicate the switching of ferroelectric polarization of BZ1T9 thin films. One-transistor-capacitor (1TC) structure of BZ1T9 ferroelectric random access memory device using bottom-gate amorphous silicon thin-film transistor was desirable because of the smaller size and better sensitivity. The BZ1T9 ferroelectric RAM devices with channel width = 40 microm and channel length = 8 microm has been successfully fabricated and the ID-VG transfer characteristics also are investigated in this study.

  9. A thin-film microprocessor with inkjet print-programmable memory

    NASA Astrophysics Data System (ADS)

    Myny, Kris; Smout, Steve; Rockelé, Maarten; Bhoolokam, Ajay; Ke, Tung Huei; Steudel, Soeren; Cobb, Brian; Gulati, Aashini; Rodriguez, Francisco Gonzalez; Obata, Koji; Marinkovic, Marko; Pham, Duy-Vu; Hoppe, Arne; Gelinck, Gerwin H.; Genoe, Jan; Dehaene, Wim; Heremans, Paul

    2014-12-01

    The Internet of Things is driving extensive efforts to develop intelligent everyday objects. This requires seamless integration of relatively simple electronics, for example through `stick-on' electronics labels. We believe the future evolution of this technology will be governed by Wright's Law, which was first proposed in 1936 and states that the cost of a product decreases with cumulative production. This implies that a generic electronic device that can be tailored for application-specific requirements during downstream integration would be a cornerstone in the development of the Internet of Things. We present an 8-bit thin-film microprocessor with a write-once, read-many (WORM) instruction generator that can be programmed after manufacture via inkjet printing. The processor combines organic p-type and soluble oxide n-type thin-film transistors in a new flavor of the familiar complementary transistor technology with the potential to be manufactured on a very thin polyimide film, enabling low-cost flexible electronics. It operates at 6.5 V and reaches clock frequencies up to 2.1 kHz. An instruction set of 16 code lines, each line providing a 9 bit instruction, is defined by means of inkjet printing of conductive silver inks.

  10. A light-stimulated synaptic transistor with synaptic plasticity and memory functions based on InGaZnO{sub x}–Al{sub 2}O{sub 3} thin film structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, H. K.; Chen, T. P., E-mail: echentp@ntu.edu.sg; Liu, P.

    In this work, a synaptic transistor based on the indium gallium zinc oxide (IGZO)–aluminum oxide (Al{sub 2}O{sub 3}) thin film structure, which uses ultraviolet (UV) light pulses as the pre-synaptic stimulus, has been demonstrated. The synaptic transistor exhibits the behavior of synaptic plasticity like the paired-pulse facilitation. In addition, it also shows the brain's memory behaviors including the transition from short-term memory to long-term memory and the Ebbinghaus forgetting curve. The synapse-like behavior and memory behaviors of the transistor are due to the trapping and detrapping processes of the holes, which are generated by the UV pulses, at the IGZO/Al{submore » 2}O{sub 3} interface and/or in the Al{sub 2}O{sub 3} layer.« less

  11. Epitaxial Growth of MOF Thin Film for Modifying the Dielectric Layer in Organic Field-Effect Transistors.

    PubMed

    Gu, Zhi-Gang; Chen, Shan-Ci; Fu, Wen-Qiang; Zheng, Qingdong; Zhang, Jian

    2017-03-01

    Metal-organic framework (MOF) thin films are important in the application of sensors and devices. However, the application of MOF thin films in organic field effect transistors (OFETs) is still a challenge to date. Here, we first use the MOF thin film prepared by a liquid-phase epitaxial (LPE) approach (also called SURMOFs) to modify the SiO 2 dielectric layer in the OFETs. After the semiconductive polymer of PTB7-Th (poly[4,8-bis(5-(2-ethylhexyl)thiophene-2-yl)benzo[1,2-b:4,5-b']dithiophene-co-3-fluorothieno[3,4-b]thiophene-2-carboxylate]) was coated on MOF/SiO 2 and two electrodes on the semiconducting film were deposited sequentially, MOF-based OFETs were fabricated successfully. By controlling the LPE cycles of SURMOF HKUST-1 (also named Cu 3 (BTC) 2 , BTC = 1,3,5-benzenetricarboxylate), the performance of the HKUST-1/SiO 2 -based OFETs showed high charge mobility and low threshold voltage. This first report on the application of MOF thin film in OFETs will offer an effective approach for designing a new kind of materials for the OFET application.

  12. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    PubMed

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  13. A thermalization energy analysis of the threshold voltage shift in amorphous indium gallium zinc oxide thin film transistors under positive gate bias stress

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Niang, K. M.; Flewitt, A. J., E-mail: ajf@eng.cam.ac.uk; Barquinha, P. M. C.

    Thin film transistors (TFTs) employing an amorphous indium gallium zinc oxide (a-IGZO) channel layer exhibit a positive shift in the threshold voltage under the application of positive gate bias stress (PBS). The time and temperature dependence of the threshold voltage shift was measured and analysed using the thermalization energy concept. The peak energy barrier to defect conversion is extracted to be 0.75 eV and the attempt-to-escape frequency is extracted to be 10{sup 7} s{sup −1}. These values are in remarkable agreement with measurements in a-IGZO TFTs under negative gate bias illumination stress (NBIS) reported recently (Flewitt and Powell, J. Appl. Phys.more » 115, 134501 (2014)). This suggests that the same physical process is responsible for both PBS and NBIS, and supports the oxygen vacancy defect migration model that the authors have previously proposed.« less

  14. Influences of Gate Bias and Light Stresses on Device Characteristics of High-Energy Electron-Beam-Irradiated Indium Gallium Zinc Oxide Based Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Yu, Kyeong Min; Moon, Hye Ji; Ryu, Min Ki; Cho, Kyoung Ik; Yun, Eui-Jung; Bae, Byung Seong

    2012-09-01

    Under white light illumination, amorphous indium-gallium-zinc oxide (a-IGZO)-based thin-film transistors (TFTs) showed a large negative shift of threshold voltage of more than -15 V depending on the process conditions. We investigated the influences of both gate bias and white light illumination on device properties of IGZO-based TFTs untreated and treated with high-energy electron beam irradiation (HEEBI). The TFTs were treated with HEEBI in air at room temperature (RT), electron beam energy of 0.8 MeV, and a dose of 1×1014 electrons/cm2. The HEEBI-treated TFTs showed an improved stability under negative bias illumination stress (NBIS) and positive bias illumination stress (PBIS) compared with non-HEEBI-treated TFTs, suggesting that the acceptor-like defects might be generated by HEEBI treatment near the valence band edge.

  15. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    NASA Astrophysics Data System (ADS)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-02-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  16. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    NASA Astrophysics Data System (ADS)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-05-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  17. Room-Temperature-Processed Flexible Amorphous InGaZnO Thin Film Transistor.

    PubMed

    Xiao, Xiang; Zhang, Letao; Shao, Yang; Zhou, Xiaoliang; He, Hongyu; Zhang, Shengdong

    2017-12-13

    A room-temperature flexible amorphous indium-gallium-zinc oxide thin film transistor (a-IGZO TFT) technology is developed on plastic substrates, in which both the gate dielectric and passivation layers of the TFTs are formed by an anodic oxidation (anodization) technique. While the gate dielectric Al 2 O 3 is grown with a conventional anodization on an Al:Nd gate electrode, the channel passivation layer Al 2 O 3 is formed using a localized anodization technique. The anodized Al 2 O 3 passivation layer shows a superior passivation effect to that of PECVD SiO 2 . The room-temperature-processed flexible a-IGZO TFT exhibits a field-effect mobility of 7.5 cm 2 /V·s, a subthreshold swing of 0.44 V/dec, an on-off ratio of 3.1 × 10 8 , and an acceptable gate-bias stability with threshold voltage shifts of 2.65 and -1.09 V under positive gate-bias stress and negative gate-bias stress, respectively. Bending and fatigue tests confirm that the flexible a-IGZO TFT also has a good mechanical reliability, with electrical performances remaining consistent up to a strain of 0.76% as well as after 1200 cycles of fatigue testing.

  18. Precursor-route ZnO films from a mixed casting solvent for high performance aqueous electrolyte-gated transistors.

    PubMed

    Althagafi, Talal M; Algarni, Saud A; Al Naim, Abdullah; Mazher, Javed; Grell, Martin

    2015-12-14

    We significantly improved the performance of precursor-route semiconducting zinc oxide (ZnO) films in electrolyte-gated thin film transistors (TFTs). We find that the organic precursor to ZnO, zinc acetate (ZnAc), dissolves more readily in a 1 : 1 mixture of ethanol (EtOH) and acetone than in pure EtOH, pure acetone, or pure isopropanol. XPS and SEM characterisation show improved morphology of ZnO films converted from a mixed solvent cast ZnAc precursor compared to the EtOH cast precursor. When gated with a biocompatible electrolyte, phosphate buffered saline (PBS), ZnO thin film transistors (TFTs) derived from mixed solvent cast ZnAc give 4 times larger field effect current than similar films derived from ZnAc cast from pure EtOH. The sheet resistance at VG = VD = 1 V is 30 kΩ □(-1), lower than for any organic TFT, and lower than for any electrolyte-gated ZnO TFT reported to date.

  19. Characterization of sputtered iridium oxide thin films on planar and laser micro-structured platinum thin film surfaces for neural stimulation applications

    NASA Astrophysics Data System (ADS)

    Thanawala, Sachin

    Electrical stimulation of neurons provides promising results for treatment of a number of diseases and for restoration of lost function. Clinical examples include retinal stimulation for treatment of blindness and cochlear implants for deafness and deep brain stimulation for treatment of Parkinsons disease. A wide variety of materials have been tested for fabrication of electrodes for neural stimulation applications, some of which are platinum and its alloys, titanium nitride, and iridium oxide. In this study iridium oxide thin films were sputtered onto laser micro-structured platinum thin films by pulsed-DC reactive sputtering of iridium metal in oxygen-containing atmosphere, to obtain high charge capacity coatings for neural stimulation applications. The micro-structuring of platinum films was achieved by a pulsed-laser-based technique (KrF excimer laser emitting at lambda=248nm). The surface morphology of the micro-structured films was studied using different surface characterization techniques. In-vitro biocompatibility of these laser micro-structured films coated with iridium oxide thin films was evaluated using cortical neurons isolated from rat embryo brain. Characterization of these laser micro-structured films coated with iridium oxide, by cyclic voltammetry and impedance spectroscopy has revealed a considerable decrease in impedance and increase in charge capacity. A comparison between amorphous and crystalline iridium oxide thin films as electrode materials indicated that amorphous iridium oxide has significantly higher charge capacity and lower impedance making it preferable material for neural stimulation application. Our biocompatibility studies show that neural cells can grow and differentiate successfully on our laser micro-structured films coated with iridium oxide. This indicates that reactively sputtered iridium oxide (SIROF) is biocompatible.

  20. Stable and High-Performance Flexible ZnO Thin-Film Transistors by Atomic Layer Deposition.

    PubMed

    Lin, Yuan-Yu; Hsu, Che-Chen; Tseng, Ming-Hung; Shyue, Jing-Jong; Tsai, Feng-Yu

    2015-10-14

    Passivation is a challenging issue for the oxide thin-film transistor (TFT) technologies because it requires prolonged high-temperature annealing treatments to remedy defects produced in the process, which greatly limits its manufacturability as well as its compatibility with temperature-sensitive materials such as flexible plastic substrates. This study investigates the defect-formation mechanisms incurred by atomic layer deposition (ALD) passivation processes on ZnO TFTs, based on which we demonstrate for the first time degradation-free passivation of ZnO TFTs by a TiO2/Al2O3 nanolaminated (TAO) film deposited by a low-temperature (110 °C) ALD process. By combining the TAO passivation film with ALD dielectric and channel layers into an integrated low-temperature ALD process, we successfully fabricate flexible ZnO TFTs on plastics. Thanks to the exceptional gas-barrier property of the TAO film (water vapor transmission rate (WVTR)<10(-6) g m(-2) day(-1)) as well as the defect-free nature of the ALD dielectric and ZnO channel layers, the TFTs exhibit excellent device performance with high stability and flexibility: field-effect mobility>20 cm2 V(-1) s(-1), subthreshold swing<0.4 V decade(-1) after extended bias-stressing (>10,000 s), air-storage (>1200 h), and bending (1.3 cm radius for 1000 times).

  1. Effects of vacuum rapid thermal annealing on the electrical characteristics of amorphous indium gallium zinc oxide thin films

    NASA Astrophysics Data System (ADS)

    Lee, Hyun-Woo; Cho, Won-Ju

    2018-01-01

    We investigated the effects of vacuum rapid thermal annealing (RTA) on the electrical characteristics of amorphous indium gallium zinc oxide (a-IGZO) thin films. The a-IGZO films deposited by radiofrequency sputtering were subjected to vacuum annealing under various temperature and pressure conditions with the RTA system. The carrier concentration was evaluated by Hall measurement; the electron concentration of the a-IGZO film increased and the resistivity decreased as the RTA temperature increased under vacuum conditions. In a-IGZO thin-film transistors (TFTs) with a bottom-gate top-contact structure, the threshold voltage decreased and the leakage current increased as the vacuum RTA temperature increased. As the annealing pressure decreased, the threshold voltage decreased, and the leakage current increased. X-ray photoelectron spectroscopy indicated changes in the lattice oxygen and oxygen vacancies of the a-IGZO films after vacuum RTA. At higher annealing temperatures, the lattice oxygen decreased and oxygen vacancies increased, which suggests that oxygen was diffused out in a reduced pressure atmosphere. The formation of oxygen vacancies increased the electron concentration, which consequently increased the conductivity of the a-IGZO films and reduced the threshold voltage of the TFTs. The results showed that the oxygen vacancies and electron concentrations of the a-IGZO thin films changed with the vacuum RTA conditions and that high-temperature RTA treatment at low pressure converted the IGZO thin film to a conductor.

  2. Effect of direct current sputtering power on the behavior of amorphous indium-gallium-zinc-oxide thin-film transistors under negative bias illumination stress: A combination of experimental analyses and device simulation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jang, Jun Tae; Kim, Dong Myong; Choi, Sung-Jin

    The effect of direct current sputtering power of indium-gallium-zinc-oxide (IGZO) on the performance and stability of the corresponding thin-film transistor devices was studied. The field effect mobility increases as the IGZO sputter power increases, at the expense of device reliability under negative bias illumination stress (NBIS). Device simulation based on the extracted sub-gap density of states indicates that the field effect mobility is improved as a result of the number of acceptor-like states decreasing. The degradation by NBIS is suggested to be induced by the formation of peroxides in IGZO rather than charge trapping.

  3. Strong Influence of Humidity on Low-Temperature Thin-Film Fabrication via Metal Aqua Complex for High Performance Oxide Semiconductor Thin-Film Transistors.

    PubMed

    Lim, Keon-Hee; Huh, Jae-Eun; Lee, Jinwon; Cho, Nam-Kwang; Park, Jun-Woo; Nam, Bu-Il; Lee, Eungkyu; Kim, Youn Sang

    2017-01-11

    Oxide semiconductors thin film transistors (OS TFTs) with good transparency and electrical performance have great potential for future display technology. In particular, solution-processed OS TFTs have been attracted much attention due to many advantages such as continuous, large scale, and low cost processability. Recently, OS TFTs fabricated with a metal aqua complex have been focused because they have low temperature processability for deposition on flexible substrate as well as high field-effect mobility for application of advanced display. However, despite some remarkable results, important factors to optimize their electrical performance with reproducibility and uniformity have not yet been achieved. Here, we newly introduce the strong effects of humidity to enhance the electrical performance of OS TFTs fabricated with the metal aqua complex. Through humidity control during the spin-coating process and annealing process, we successfully demonstrate solution-processed InO x /SiO 2 TFTs with a good electrical uniformity of ∼5% standard deviation, showing high average field-effect mobility of 2.76 cm 2 V -1 s -1 and 15.28 cm 2 V -1 s -1 fabricated at 200 and 250 °C, respectively. Also, on the basis of the systematic analyses, we demonstrate the mechanism for the change in electrical properties of InO x TFTs depending on the humidity control. Finally, on the basis of the mechanism, we extended the humidity control to the fabrication of the AlO x insulator. Subsequently, we successfully achieved humidity-controlled InO x /AlO x TFTs fabricated at 200 °C showing high average field-effect mobility of 9.5 cm 2 V -1 s -1 .

  4. High mobility n-type organic thin-film transistors deposited at room temperature by supersonic molecular beam deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiarella, F., E-mail: fabio.chiarella@spin.cnr.it; Barra, M.; Ciccullo, F.

    In this paper, we report on the fabrication of N,N′-1H,1H-perfluorobutil dicyanoperylenediimide (PDIF-CN{sub 2}) organic thin-film transistors by Supersonic Molecular Beam Deposition. The devices exhibit mobility up to 0.2 cm{sup 2}/V s even if the substrate is kept at room temperature during the organic film growth, exceeding by three orders of magnitude the electrical performance of those grown at the same temperature by conventional Organic Molecular Beam Deposition. The possibility to get high-mobility n-type transistors avoiding thermal treatments during or after the deposition could significantly extend the number of substrates suitable to the fabrication of flexible high-performance complementary circuits by using this compound.

  5. Thin-Film Solid Oxide Fuel Cells

    NASA Technical Reports Server (NTRS)

    Chen, Xin; Wu, Nai-Juan; Ignatiev, Alex

    2009-01-01

    The development of thin-film solid oxide fuel cells (TFSOFCs) and a method of fabricating them have progressed to the prototype stage. This can result in the reduction of mass, volume, and the cost of materials for a given power level.

  6. Benzothienobenzothiophene-based conjugated oligomers as semiconductors for stable organic thin-film transistors.

    PubMed

    Yu, Han; Li, Weili; Tian, Hongkun; Wang, Haibo; Yan, Donghang; Zhang, Jingping; Geng, Yanhou; Wang, Fosong

    2014-04-09

    Two benzothienobenzothiophene (BTBT)-based conjugated oligomers, i.e., 2,2'-bi[1]benzothieno[3,2-b][1]benzothiophene (1) and 5,5'-bis([1]benzothieno[3,2-b][1]benzothiophen-2-yl)-2,2'-bithiophene (2), were prepared and characterized. Both oligomers exhibit excellent thermal stability, with 5% weight-loss temperatures (T(L)) above 370 °C; no phase transition was observed before decomposition. The highest occupied molecular orbital (HOMO) levels of 1 and 2 are -5.3 and -4.9 eV, respectively, as measured by ultraviolet photoelectron spectroscopy. Thin-film X-ray diffraction and atomic force microscopy characterizations indicate that both oligomers form highly crystalline films with large domain sizes on octadecyltrimethoxysilane-modified substrates. Organic thin-film transistors with top-contact and bottom-gate geometry based on 1 and 2 exhibited mobilities up to 2.12 cm(2)/V·s for 1 and 1.39 cm(2)/V·s for 2 in an ambient atmosphere. 1-based devices exhibited great air and thermal stabilities, as evidenced by the slight performance degradation after 2 months of storage under ambient conditions and after thermal annealing at temperatures below 250 °C.

  7. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    DOEpatents

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  8. Effects of Ga:N addition on the electrical performance of zinc tin oxide thin film transistor by solution-processing.

    PubMed

    Ahn, Byung Du; Jeon, Hye Ji; Park, Jin-Seong

    2014-06-25

    This paper addressed the effect of gallium nitrate hydrate addition on thin film transistor (TFT) performance and positive bias stability of amorphous zinc tin oxide (ZTO) TFTs by solution processing, Further, the mechanisms responsible for chemical properties and electronic band structure are explored. A broad exothermic peak accompanied by weight loss appeared in the range from about 350 to 570 °C for the ZTO solution; the thermal reaction of the Ga-ZTO:N solution was completed at 520 °C. This is because the gallium nitrate hydrate precursor promoted the decomposition and dehydroxylation reaction for Zn(CH3COO)2·2H2O and/or SnCl2·2H2O precursors. The concentrations of carbon and chloride in gallium nitrate hydrate added ZTO films annealed at 400 °C have a lower value (C 0.65, Cl 0.65 at. %) compared with those of ZTO films (C 3.15, Cl 0.82 at. %). Absorption bands at 416, 1550, and 1350 cm(-1) for GaZTO:N films indicated the presence of ZnGa2O4, N-H, and N═O groups by Fourier transform infrared spectroscopy measurement, respectively. As a result, an inverted staggered Ga-ZTO:N TFT exhibited a mobility of 4.84 cm(2) V(-1) s(-1) in the saturation region, a subthreshold swing of 0.35 V/decade, and a threshold gate voltage (Vth) of 0.04 V. In addition, the instability of Vth values of the ZTO TFTs under positive bias stress conditions was suppressed by adding Ga and N from 13.6 to 3.17 V, which caused a reduction in the oxygen-related defects located near the conduction band.

  9. Remarkable changes in interface O vacancy and metal-oxide bonds in amorphous indium-gallium-zinc-oxide thin-film transistors by long time annealing at 250 °C

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Md Delwar Hossain; Um, Jae Gwang; Jang, Jin, E-mail: jjang@khu.ac.kr

    We have studied the effect of long time post-fabrication annealing on negative bias illumination stress (NBIS) of amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film-transistors. Annealing for 100 h at 250 °C increased the field effect mobility from 14.7 cm{sup 2}/V s to 17.9 cm{sup 2}/V s and reduced the NBIS instability remarkably. Using X-ray photoelectron spectroscopy, the oxygen vacancy and OH were found to exist at the interfaces of a-IGZO with top and bottom SiO{sub 2}. Long time annealing helps to decrease the vacancy concentration and increase the metal-oxygen bonds at the interfaces; this leads to increase in the free carrier concentrations in a-IGZO and field-effect mobility.more » X-ray reflectivity measurement indicated the increment of a-IGZO film density of 5.63 g cm{sup −3} to 5.83 g cm{sup −3} (3.4% increase) by 100 h annealing at 250 °C. The increase in film density reveals the decrease of O vacancy concentration and reduction of weak metal-oxygen bonds in a-IGZO, which substantially helps to improve the NBIS stability.« less

  10. Graphene as tunable contact for high performance thin film transistor

    NASA Astrophysics Data System (ADS)

    Liu, Yuan

    performance and mechanical robustness. By using the graphene as a work-function tunable contact for amorphous indium gallium zinc oxide (IGZO) thin film, the vertical current flow across the graphene-IGZO junction can be effectively modulated by an external gate potential to enable VTFTs with a highest on-off ratio exceeding 105. The unique vertical transistor architecture can readily enable ultrashort channel devices with very high delivering current and exceptional mechanical flexibility. Furthermore, I will, demonstrate a new design strategy for vertical OTFT with ultra-short channel length without using conventional high-resolution lithography process. They can deliver a high current density over 1.8 A/ cm2 and thus enable a high cutoff frequency devices (~ 0.4 MHz) comparable with the ultra-short channel organic transistors. Importantly, with unique vertical architecture, the entire organic channel material is sandwiched between the source and drain electrodes and is thus naturally protected to ensure excellent air-stability. Finally I will present a new strategy by using graphene as the back electrodes to achieve Ohmic contact to MoS2. With a finite density of states, the Fermi level of graphene can be readily tuned by a gate potential to enable a nearly perfect band alignment with MoS2. For the first time, a transparent contact to MoS2 is demonstrated with zero contact barrier and linear output behaviour at cryogenic temperatures (down to 1.9 K) for both monolayer and multilayer MoS2. Benefiting from the barrier-free transparent contacts, we show that a metal-insulator-transition (MIT) can be observed in a two-terminal MoS2 device, a phenomenon that could be easily masked by Schottky barriers found in conventional metal-contacted MoS2 devices. With further passivation by boron nitride (BN) encapsulation, we demonstrate a record-high extrinsic (two-terminal) field effect mobility up to 1300 cm2/V s in MoS2 at low temperature. These findings can open up exciting new

  11. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  12. Observation of decreasing resistivity of amorphous indium gallium zinc oxide thin films with an increasing oxygen partial pressure

    NASA Astrophysics Data System (ADS)

    Singh, Anup K.; Adhikari, Sonachand; Gupta, Rajeev; Deepak

    2017-01-01

    We have investigated the electrical resistivity behavior in amorphous indium gallium zinc oxide (a-IGZO) thin films. It is well known that resistivity increases as the film is deposited at a higher and higher oxygen partial pressure; we also record the same. However, in process we have discovered a remarkable region, in the oxygen deficient condition, that the resistivity shows an inverse behavior. This leads to the possibility that resistive films, suitable for thin film transistors, can also be obtained in oxygen deficient deposition conditions. Optical spectroscopic investigation could discern between a-IGZO films grown in oxygen deficient and oxygen rich conditions. The related resistivity behavior could be correlated to the presence of sub-bandgap states in films deposited in oxygen deficiency. These subgap states appear to be due to defects arising from local variations around the cations or oxygen atoms. The likely cause is an increase in Ga relative to In around O atom and the nature of cation-cation interaction when an oxygen atom is missing.

  13. Enhanced electrical properties in solution-processed InGaZnO thin-film transistors by viable hydroxyl group transfer process

    NASA Astrophysics Data System (ADS)

    Kim, Do-Kyung; Jeong, Hyeon-Seok; Kwon, Hyeok Bin; Kim, Young-Rae; Kang, Shin-Won; Bae, Jin-Hyuk

    2018-05-01

    We propose a simple hydroxyl group transfer method to improve the electrical characteristics of solution-processed amorphous InGaZnO (IGZO) thin-film transistors (TFTs). Tuned poly(dimethylsiloxane) elastomer, which has a hydroxyl group as a terminal chemical group, was adhered temporarily to an IGZO thin-film during the solidification step to transfer and supply sufficient hydroxyl groups to the IGZO thin-film. The transferred hydroxyl groups led to efficient hydrolysis and condensation reactions, resulting in a denser metal–oxygen–metal network being achieved in the IGZO thin-film compared to the conventional IGZO thin-film. In addition, it was confirmed that there was no morphological deformation, including to the film thickness and surface roughness. The hydroxyl group transferred IGZO based TFTs exhibited enhanced electrical properties (field-effect mobility of 2.21 cm2 V‑1 s‑1, and on/off current ratio of 106) compared to conventional IGZO TFTs (field-effect mobility of 0.73 cm2 V‑1 s‑1 and on/off current ratio of 105).

  14. Ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory

    NASA Astrophysics Data System (ADS)

    Han, Jinhua; Wang, Wei; Ying, Jun; Xie, Wenfa

    2014-01-01

    An ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory was demonstrated, with discrete distributed gold nanoparticles, tetratetracontane (TTC), pentacene as the floating-gate layer, tunneling layer, and active layer, respectively. The electron traps at the TTC/pentacene interface were significantly suppressed, which resulted in an ambipolar operation in present memory. As both electrons and holes were supplied in the channel and trapped in the floating-gate by programming/erasing operations, respectively, i.e., one type of charge carriers was used to overwrite the other, trapped, one, a large memory window, extending on both sides of the initial threshold voltage, was realized.

  15. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    PubMed

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  16. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    PubMed

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  17. High Performance Complementary Circuits Based on p-SnO and n-IGZO Thin-Film Transistors.

    PubMed

    Zhang, Jiawei; Yang, Jia; Li, Yunpeng; Wilson, Joshua; Ma, Xiaochen; Xin, Qian; Song, Aimin

    2017-03-21

    Oxide semiconductors are regarded as promising materials for large-area and/or flexible electronics. In this work, a ring oscillator based on n-type indium-gallium-zinc-oxide (IGZO) and p-type tin monoxide (SnO) is presented. The IGZO thin-film transistor (TFT) shows a linear mobility of 11.9 cm²/(V∙s) and a threshold voltage of 12.2 V. The SnO TFT exhibits a mobility of 0.51 cm²/(V∙s) and a threshold voltage of 20.1 V which is suitable for use with IGZO TFTs to form complementary circuits. At a supply voltage of 40 V, the complementary inverter shows a full output voltage swing and a gain of 24 with both TFTs having the same channel length/channel width ratio. The three-stage ring oscillator based on IGZO and SnO is able to operate at 2.63 kHz and the peak-to-peak oscillation amplitude reaches 36.1 V at a supply voltage of 40 V. The oxide-based complementary circuits, after further optimization of the operation voltage, may have wide applications in practical large-area flexible electronics.

  18. High Performance Complementary Circuits Based on p-SnO and n-IGZO Thin-Film Transistors

    PubMed Central

    Zhang, Jiawei; Yang, Jia; Li, Yunpeng; Wilson, Joshua; Ma, Xiaochen; Xin, Qian; Song, Aimin

    2017-01-01

    Oxide semiconductors are regarded as promising materials for large-area and/or flexible electronics. In this work, a ring oscillator based on n-type indium-gallium-zinc-oxide (IGZO) and p-type tin monoxide (SnO) is presented. The IGZO thin-film transistor (TFT) shows a linear mobility of 11.9 cm2/(V∙s) and a threshold voltage of 12.2 V. The SnO TFT exhibits a mobility of 0.51 cm2/(V∙s) and a threshold voltage of 20.1 V which is suitable for use with IGZO TFTs to form complementary circuits. At a supply voltage of 40 V, the complementary inverter shows a full output voltage swing and a gain of 24 with both TFTs having the same channel length/channel width ratio. The three-stage ring oscillator based on IGZO and SnO is able to operate at 2.63 kHz and the peak-to-peak oscillation amplitude reaches 36.1 V at a supply voltage of 40 V. The oxide-based complementary circuits, after further optimization of the operation voltage, may have wide applications in practical large-area flexible electronics. PMID:28772679

  19. Thin Film Complementary Metal Oxide Semiconductor (CMOS) Device Using a Single-Step Deposition of the Channel Layer

    PubMed Central

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, M. N.; Wang, Q. X.; Alshareef, H. N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n- and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350°C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications. PMID:24728223

  20. Electric Field-aided Selective Activation for Indium-Gallium-Zinc-Oxide Thin Film Transistors

    NASA Astrophysics Data System (ADS)

    Lee, Heesoo; Chang, Ki Soo; Tak, Young Jun; Jung, Tae Soo; Park, Jeong Woo; Kim, Won-Gi; Chung, Jusung; Jeong, Chan Bae; Kim, Hyun Jae

    2016-10-01

    A new technique is proposed for the activation of low temperature amorphous InGaZnO thin film transistor (a-IGZO TFT) backplanes through application of a bias voltage and annealing at 130 °C simultaneously. In this ‘electrical activation’, the effects of annealing under bias are selectively focused in the channel region. Therefore, electrical activation can be an effective method for lower backplane processing temperatures from 280 °C to 130 °C. Devices fabricated with this method exhibit equivalent electrical properties to those of conventionally-fabricated samples. These results are analyzed electrically and thermodynamically using infrared microthermography. Various bias voltages are applied to the gate, source, and drain electrodes while samples are annealed at 130 °C for 1 hour. Without conventional high temperature annealing or electrical activation, current-voltage curves do not show transfer characteristics. However, electrically activated a-IGZO TFTs show superior electrical characteristics, comparable to the reference TFTs annealed at 280 °C for 1 hour. This effect is a result of the lower activation energy, and efficient transfer of electrical and thermal energy to a-IGZO TFTs. With this approach, superior low-temperature a-IGZO TFTs are fabricated successfully.